WO2016031437A1 - Composition de résine sensible à la lumière active ou sensible au rayonnement, film de réserve, procédé de formation de motifs, ébauche de masque d'application de réserve, masque photographique, procédé de fabrication de dispositif électronique, et dispositif électronique - Google Patents

Composition de résine sensible à la lumière active ou sensible au rayonnement, film de réserve, procédé de formation de motifs, ébauche de masque d'application de réserve, masque photographique, procédé de fabrication de dispositif électronique, et dispositif électronique Download PDF

Info

Publication number
WO2016031437A1
WO2016031437A1 PCT/JP2015/070828 JP2015070828W WO2016031437A1 WO 2016031437 A1 WO2016031437 A1 WO 2016031437A1 JP 2015070828 W JP2015070828 W JP 2015070828W WO 2016031437 A1 WO2016031437 A1 WO 2016031437A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
sensitive
radiation
acid
carbon atoms
Prior art date
Application number
PCT/JP2015/070828
Other languages
English (en)
Japanese (ja)
Inventor
健志 川端
孝太郎 高橋
土村 智孝
Original Assignee
富士フイルム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 富士フイルム株式会社 filed Critical 富士フイルム株式会社
Priority to JP2016545055A priority Critical patent/JP6255499B2/ja
Publication of WO2016031437A1 publication Critical patent/WO2016031437A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable

Definitions

  • the present invention relates to an actinic ray-sensitive or radiation-sensitive resin composition suitably used in an ultramicrolithography process such as the manufacture of VLSI and high-capacity microchips and other fabrication processes, and a resist using the same.
  • the present invention relates to a film, a pattern forming method, a resist-coated mask blank, a photomask, an electronic device manufacturing method, and an electronic device.
  • the present invention has been made in view of the present situation, and the object thereof is to have excellent solvent solubility and a fine pattern (for example, a line pattern having a line width of 100 nm or less) by a cross-linked negative pattern forming method.
  • Actinic ray-sensitive or radiation-sensitive resin composition that achieves all of high sensitivity, high resolution, excellent roughness performance, excellent pattern shape, and excellent scum performance in a high dimension, and
  • An object of the present invention is to provide a resist film, a pattern forming method, a resist coating mask blank, a photomask, an electronic device manufacturing method, and an electronic device.
  • the present invention has the following configuration, whereby the above object of the present invention is achieved.
  • R 1 to R 15 each independently represents a hydrogen atom or a substituent, and at least one of R 1 to R 15 represents a substituent containing an alcoholic hydroxyl group.
  • R 1 to R 5 Two or more of R 1 to R 5 , two or more of R 6 to R 10 , and two or more of R 11 to R 15 may be bonded to each other to form a ring.
  • X ⁇ represents an anion selected from the group consisting of a sulfonate anion, a carboxylate anion, a bis (alkylsulfonyl) amide anion, a tris (alkylsulfonyl) methide anion, and a hexafluorophosphate anion.
  • X ⁇ represents an anion selected from the group consisting of a sulfonate anion, a carboxylate anion, a bis (alkylsulfonyl) amide anion, a tris (alkylsulfonyl) methide anion, and a hexafluorophosphate anion.
  • a fine pattern for example, a line pattern having a line width of 100 nm or less
  • a crosslinking negative pattern forming method high sensitivity, high resolution, and excellent roughness Actinic ray-sensitive or radiation-sensitive resin composition that achieves all of performance, excellent pattern shape, and excellent scum performance at a high level
  • a resist film, a pattern forming method, and a resist-coated mask blank using the same A photomask, an electronic device manufacturing method, and an electronic device can be provided.
  • the notation which does not describe substitution and non-substitution includes the thing which has a substituent with the thing which does not have a substituent.
  • the “alkyl group” includes not only an alkyl group having no substituent (unsubstituted alkyl group) but also an alkyl group having a substituent (substituted alkyl group).
  • active light or “radiation” means, for example, an emission line spectrum of a mercury lamp, far ultraviolet rays represented by an excimer laser, extreme ultraviolet rays (EUV light), X-rays, electron beams (EB), and the like.
  • light means actinic rays or radiation.
  • exposure here means not only exposure by far ultraviolet rays, extreme ultraviolet rays, X-rays, EUV light, etc. represented by mercury lamps and excimer lasers, but also particle beams such as electron beams and ion beams, unless otherwise specified. Include drawing in exposure.
  • the actinic ray-sensitive or radiation-sensitive resin composition of the present invention comprises (A) a compound represented by the following general formula (I), (B) an alkali-soluble resin, and (C) a cross-linking agent.
  • An actinic ray-sensitive or radiation-sensitive resin composition wherein the content of the compound (A) is 5% by mass or more based on the total solid content of the actinic ray-sensitive or radiation-sensitive resin composition.
  • R 1 to R 15 each independently represents a hydrogen atom or a substituent, and at least one of R 1 to R 15 represents a substituent containing an alcoholic hydroxyl group. Two or more of R 1 to R 5 , two or more of R 6 to R 10 , and two or more of R 11 to R 15 may be bonded to each other to form a ring.
  • X ⁇ represents an anion selected from the group consisting of a sulfonate anion, a carboxylate anion, a bis (alkylsulfonyl) amide anion, a tris (alkylsulfonyl) methide anion, and a hexafluorophosphate anion.
  • the composition has excellent solvent solubility and high sensitivity, high resolution, and excellent in forming a fine pattern (for example, a line pattern with a line width of 100 nm or less).
  • An actinic ray-sensitive or radiation-sensitive resin composition having all of roughness performance, excellent pattern shape, and excellent scum performance in a high dimension can be obtained. The reason is not clear, but is estimated as follows.
  • the present inventors added a large amount of the compound (A) as an acid generator to a solution of an actinic ray-sensitive or radiation-sensitive resin composition (typically, the composition contains a solvent). ) was found to have very good solubility.
  • the actinic ray-sensitive or radiation-sensitive resin composition according to the present invention has excellent solvent solubility while containing the compound (A) at 5% by mass or more based on the total solid content of the composition. Can be.
  • this composition since a resist film containing an acid generator at a high concentration can be formed, the cross-linking reaction required in the exposed area can be reliably performed, and the developer can be dissolved in the developer between the exposed area and the unexposed area.
  • the present inventors have surprisingly found that the dissolution rate of the unexposed portion with respect to the developer does not decrease so much while the compound (A) is contained in the resist film at a high concentration. This is considered to be because the compound (A) has an alcoholic hydroxyl group which is a hydrophilic group, so that the compound (A) is easily soluble in an alkali developer and also easily soluble in an organic developer. As a result, it is considered that there is little undissolved residue in the developer in the unexposed area, and an excellent pattern shape and excellent scum performance have been achieved.
  • the actinic ray-sensitive or radiation-sensitive resin composition according to the present invention is an excellent solvent while containing the compound (A) at 5% by mass or more based on the total solid content of the composition. Since it has solubility, the resist film formed from this composition contains the compound (A) at a high concentration and high uniformity. This point is also considered to contribute greatly to the development of excellent scum performance.
  • the actinic ray-sensitive or radiation-sensitive resin composition of the present invention is typically a negative resist composition.
  • the actinic ray-sensitive or radiation-sensitive resin composition according to the present invention is typically a chemically amplified resist composition.
  • the actinic ray-sensitive or radiation-sensitive resin composition of the present invention is a compound that generates an acid upon irradiation with actinic rays or radiation (photoacid generator).
  • a compound represented by the following general formula (I) hereinafter also referred to as “compound (A)”.
  • R 1 to R 15 each independently represents a hydrogen atom or a substituent, and at least one of R 1 to R 15 represents a substituent containing an alcoholic hydroxyl group. Two or more of R 1 to R 5 , two or more of R 6 to R 10 , and two or more of R 11 to R 15 may be bonded to each other to form a ring.
  • X ⁇ represents an anion selected from the group consisting of a sulfonate anion, a carboxylate anion, a bis (alkylsulfonyl) amide anion, a tris (alkylsulfonyl) methide anion, and a hexafluorophosphate anion.
  • the alcoholic hydroxyl group in the present invention represents a hydroxyl group bonded to a carbon atom of an alkyl group.
  • the substituent containing an alcoholic hydroxyl group as at least one of R 1 to R 15 is typically represented by —W—Y.
  • Y is an alkyl group substituted with a hydroxyl group
  • W is a single bond or a divalent linking group.
  • the alkyl group of Y is methyl, ethyl, propyl, isopropyl, n-butyl, isobutyl, sec-butyl, pentyl, neopentyl, hexyl, heptyl, octyl, nonyl, decyl Group, undecyl group, dodecyl group, tridecyl group, tetradecyl group, pentadecyl group, hexadecyl group, heptadecyl group, octadecyl group, nonadecyl group, eicosyl group, cyclopropyl group, cyclopentyl group, cyclohexyl group, adamantyl group, norbornyl group, boronyl group Preferred are an ethyl group, a propyl group, an isopropyl group, an n-butyl group, an
  • At least one of R 1 to R 15 is preferably an alkyl group substituted with an alcoholic hydroxyl group.
  • at least one of R 1 to R 15 is preferably a hydroxymethyl group (—CH 2 OH), which can further improve resolution and roughness performance.
  • the divalent linking group represented by W is not particularly limited, and examples thereof include an alkoxy group, an acyloxy group, a carbamoyloxy group, an alkoxycarbonyloxy group, an aryloxycarbonyloxy group, an acylamino group, an aminocarbonylamino group, Alkoxycarbonylamino group, aryloxycarbonylamino group, sulfamoylamino group, alkyl and arylsulfonylamino group, alkylthio group, arylthio group, sulfamoyl group, alkyl and arylsulfinyl group, alkyl and arylsulfonyl group, acyl group, aryloxy
  • Examples thereof include a divalent group in which an arbitrary hydrogen atom in a monovalent group such as a carbonyl group, an alkoxycarbonyl group, or a carbamoyl group is replaced with a single bond.
  • W is preferably a single bond, an alkoxy group, an acyloxy group, an acylamino group, an alkyl and arylsulfonylamino group, an alkylthio group, an alkylsulfonyl group, an acyl group, an alkoxycarbonyl group, and any hydrogen atom in the carbamoyl group replaced with a single bond.
  • It is a divalent group, more preferably a divalent group in which any hydrogen atom in a single bond, acyloxy group, alkylsulfonyl group, acyl group or alkoxycarbonyl group is replaced with a single bond.
  • the substituent containing an alcoholic hydroxyl group may have two or more alcoholic hydroxyl groups.
  • the number of alcoholic hydroxyl groups having a substituent containing an alcoholic hydroxyl group is 1 to 6, preferably 1 to 3, and more preferably 1 or 2.
  • the number of alcoholic hydroxyl groups possessed by the compound (A) is 1 to 10 in total for all of R 1 to R 15 , preferably 1 to 6 and more preferably 1 to 3.
  • R 1 to R 15 are each independently a hydrogen atom or a substituent, and any substituent may be used without any particular limitation.
  • R 1 to R 15 are preferably a hydrogen atom or a halogen atom, an alkyl group (including a cycloalkyl group, a bicycloalkyl group, or a tricycloalkyl group), an alkenyl group ( Cycloalkenyl group, bicycloalkenyl group), alkynyl group, aryl group, cyano group, carboxyl group, alkoxy group, aryloxy group, acyloxy group, carbamoyloxy group, acylamino group, aminocarbonylamino group, alkoxycarbonylamino group, Aryloxycarbonylamino group, sulfamoylamino group, alkyl and arylsulfonylamino group, alkylthio group, arylthio group, sulfamoyl group, alkyl and arylsulfonyl group, aryloxy
  • R 1 to R 15 are more preferably a hydrogen atom or a halogen atom, an alkyl group (including a cycloalkyl group, a bicycloalkyl group, and a tricycloalkyl group), a cyano group , Alkoxy group, acyloxy group, acylamino group, aminocarbonylamino group, alkoxycarbonylamino group, alkyl and arylsulfonylamino group, alkylthio group, sulfamoyl group, alkyl and arylsulfonyl group, alkoxycarbonyl group and carbamoyl group.
  • R 1 to R 15 do not contain an alcoholic hydroxyl group
  • R 1 to R 15 are particularly preferably a hydrogen atom or an alkyl group (including a cycloalkyl group, a bicycloalkyl group and a tricycloalkyl group), a halogen atom, An alkoxy group;
  • R 2 , R 4 , R 7 , R 9 , R 12 and R 14 is a substituent containing an alcoholic hydroxyl group, whereby the actinic ray-sensitive or radiation-sensitive resin composition Solvent solubility and sensitivity can be further improved.
  • R 1 , R 5 , R 6 , R 10 , R 11 and R 15 are each independently preferably a hydrogen atom or a substituent other than a substituent containing an alcoholic hydroxyl group, It is more preferable that
  • R 1 to R 5 two or more of R 6 to R 10 , and two or more of R 11 to R 15 may be bonded to each other to form a ring.
  • Good That is, two or more of R 1 to R 5 may be bonded to each other to form a ring, or two or more of R 6 to R 10 may be bonded to each other to form a ring, and R 11 to R 5
  • Two or more of 15 may be bonded to each other to form a ring.
  • At least one of R 1 to R 5 (particularly R 5 ) and at least one of R 6 to R 10 (particularly R 6 ) do not combine to form a ring, and at least one of R 6 to R 10 does not form a ring.
  • the ring include aromatic or non-aromatic hydrocarbon rings or heterocyclic rings, and polycyclic fused rings formed by combining two or more of these, and specific examples include benzene rings and naphthalene rings.
  • An anion selected from the group consisting of sulfonate anion, carboxylate anion, bis (alkylsulfonyl) amide anion, tris (alkylsulfonyl) methide anion, and hexafluorophosphate anion as X ⁇ is typically non- It is a nucleophilic anion.
  • a non-nucleophilic anion is an anion that has an extremely low ability to cause a nucleophilic reaction, and is an anion that can suppress degradation over time due to an intramolecular nucleophilic reaction.
  • Examples of the sulfonate anion include an alkyl sulfonate anion, an aryl sulfonate anion, and a camphor sulfonate anion.
  • Examples of the carboxylate anion include an alkylcarboxylate anion, an arylcarboxylate anion, and an aralkylcarboxylate anion.
  • the alkyl moiety in the alkyl sulfonate anion may be an alkyl group or a cycloalkyl group, preferably an alkyl group having 1 to 30 carbon atoms and a cycloalkyl group having 3 to 30 carbon atoms, such as a methyl group, ethyl Group, propyl group, isopropyl group, n-butyl group, isobutyl group, sec-butyl group, pentyl group, neopentyl group, hexyl group, heptyl group, octyl group, nonyl group, decyl group, undecyl group, dodecyl group, tridecyl group Tetradecyl group, pentadecyl group, hexadecyl group, heptadecyl group, octadecyl group, nonadecyl group, eicosyl group, cyclopropy
  • the aryl group in the aryl sulfonate anion is preferably an aryl group having 6 to 14 carbon atoms, such as a phenyl group, a tolyl group, and a naphthyl group.
  • substituent of the alkyl group, cycloalkyl group and aryl group in the alkyl sulfonate anion and aryl sulfonate anion include, for example, a nitro group, a halogen atom (fluorine atom, chlorine atom, bromine atom, iodine atom), carboxyl group, Hydroxyl group, amino group, cyano group, alkoxy group (preferably having 1 to 5 carbon atoms), cycloalkyl group (preferably having 3 to 15 carbon atoms), aryl group (preferably having 6 to 14 carbon atoms), alkoxycarbonyl group (preferably May include 2 to 7 carbon atoms, an acyl group (preferably 2 to 12 carbon atoms), an al
  • alkyl moiety in the alkylcarboxylate anion examples include the same alkyl group and cycloalkyl group as in the alkylsulfonate anion.
  • aryl group in the arylcarboxylate anion examples include the same aryl groups as in the arylsulfonate anion.
  • the aralkyl group in the aralkylcarboxylate anion is preferably an aralkyl group having 6 to 12 carbon atoms, such as a benzyl group, a phenethyl group, a naphthylmethyl group, a naphthylethyl group, and the like.
  • alkyl group, cycloalkyl group, aryl group and aralkyl group substituent in the alkylcarboxylate anion, arylcarboxylate anion and aralkylcarboxylate anion include, for example, the same halogen atom, alkyl group as in the arylsulfonate anion, A cycloalkyl group, an alkoxy group, an alkylthio group, etc. can be mentioned.
  • the sulfonylimide anion include saccharin anion.
  • the alkyl group in the bis (alkylsulfonyl) imide anion and tris (alkylsulfonyl) methyl anion is preferably an alkyl group having 1 to 5 carbon atoms, such as a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, Examples thereof include an isobutyl group, a sec-butyl group, a pentyl group, and a neopentyl group.
  • substituent for these alkyl groups include a halogen atom, an alkyl group substituted with a halogen atom, an alkoxy group, and an alkylthio group.
  • Anion X in the compound (A) - sulfonate anion is preferably an, further preferably an aryl sulfonic acid.
  • Specific examples of anions include methanesulfonate anion, trifluoromethanesulfonate anion, pentafluoroethanesulfonate anion, heptafluoropropanesulfonate anion, perfluorobutanesulfonate anion, perfluorohexanesulfonate anion, perfluorooctane.
  • Sulfonate anion pentafluorobenzenesulfonate anion, 3,5-bistrifluoromethylbenzenesulfonate anion, 2,4,6-triisopropylbenzenesulfonate anion, perfluoroethoxyethanesulfonate anion, 2,3,5 Examples include 6-tetrafluoro-4-dodecyloxybenzenesulfonate anion, p-toluenesulfonate anion, 2,4,6-trimethylbenzenesulfonate anion.
  • the anion X - is below preferably an anion with a cyclic organic group, for example, in an embodiment of the present invention, compounds as photoacid generator (A) is exposed to actinic rays or radiation
  • a compound that generates an acid represented by formula (IIIB) or (IVB) is also preferable. Since the compound which generates an acid represented by the following general formula (IIIB) or (IVB) has a cyclic organic group, the resolution and roughness performance can be further improved. Moreover, the improvement effect of PEB temperature dependence can be heightened more according to a synergistic effect with a crosslinking agent (C).
  • the anion of X ⁇ can be an anion that generates an organic acid represented by the following general formula (IIIB) or (IVB).
  • Xf each independently represents a fluorine atom or an alkyl group substituted with at least one fluorine atom.
  • R 1 and R 2 each independently represents a hydrogen atom or an alkyl group.
  • L each independently represents a divalent linking group.
  • Cy represents a cyclic organic group.
  • Rf represents a group containing a fluorine atom.
  • x represents an integer of 1 to 20.
  • y represents an integer of 0 to 10.
  • z represents an integer of 0 to 10.
  • Xf represents a fluorine atom or an alkyl group substituted with at least one fluorine atom.
  • the alkyl group preferably has 1 to 10 carbon atoms, more preferably 1 to 4 carbon atoms.
  • the alkyl group substituted with at least one fluorine atom is preferably a perfluoroalkyl group.
  • Xf is preferably a fluorine atom or a perfluoroalkyl group having 1 to 4 carbon atoms.
  • Xf is more preferably a fluorine atom or CF 3 .
  • both Xf are fluorine atoms.
  • R 1 and R 2 are each independently a hydrogen atom or an alkyl group.
  • the alkyl group as R 1 and R 2 may have a substituent, and preferably has 1 to 4 carbon atoms.
  • R 1 and R 2 are preferably a hydrogen atom.
  • L represents a divalent linking group.
  • the divalent linking group include —COO—, —OCO—, —CONH—, —NHCO—, —CO—, —O—, —S—, —SO—, —SO 2 —, an alkylene group, and the like. (Preferably having 1 to 6 carbon atoms), a cycloalkylene group (preferably having 3 to 10 carbon atoms), an alkenylene group (preferably having 2 to 6 carbon atoms), or a divalent linking group in which a plurality of these are combined. .
  • —COO—, —OCO—, —CONH—, —NHCO—, —CO—, —O—, —SO 2 —, —COO-alkylene group—, —OCO-alkylene group—, —CONH— alkylene group - or -NHCO- alkylene group - are preferred, -COO -, - OCO -, - CONH -, - SO 2 -, - COO- alkylene group - or -OCO- alkylene group - is more preferable.
  • Cy represents a cyclic organic group.
  • the cyclic organic group include an alicyclic group, an aryl group, and a heterocyclic group.
  • the alicyclic group may be monocyclic or polycyclic.
  • the monocyclic alicyclic group include monocyclic cycloalkyl groups such as a cyclopentyl group, a cyclohexyl group, and a cyclooctyl group.
  • the polycyclic alicyclic group include polycyclic cycloalkyl groups such as a norbornyl group, a tricyclodecanyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, and an adamantyl group.
  • an alicyclic group having a bulky structure having 7 or more carbon atoms such as a norbornyl group, a tricyclodecanyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, and an adamantyl group, is a PEB (heating after exposure) step. It is preferable from the viewpoint of suppression of in-film diffusibility and improvement of MEEF (Mask Error Enhancement Factor).
  • MEEF Mesk Error Enhancement Factor
  • the aryl group may be monocyclic or polycyclic.
  • Examples of the aryl group include a phenyl group, a naphthyl group, a phenanthryl group, and an anthryl group.
  • a naphthyl group having a relatively low light absorbance at 193 nm is preferable.
  • the heterocyclic group may be monocyclic or polycyclic, but polycyclic can suppress acid diffusion more. Moreover, the heterocyclic group may have aromaticity or may not have aromaticity. Examples of the heterocyclic ring having aromaticity include a furan ring, a thiophene ring, a benzofuran ring, a benzothiophene ring, a dibenzofuran ring, a dibenzothiophene ring, and a pyridine ring. Examples of the heterocyclic ring that does not have aromaticity include a tetrahydropyran ring, a lactone ring, a sultone ring, and a decahydroisoquinoline ring.
  • heterocyclic ring in the heterocyclic group a furan ring, a thiophene ring, a pyridine ring, or a decahydroisoquinoline ring is particularly preferable.
  • lactone ring and sultone ring include the lactone structure and sultone structure exemplified in the aforementioned resin (P).
  • the cyclic organic group may have a substituent.
  • substituents include an alkyl group (which may be linear or branched, preferably 1 to 12 carbon atoms), and a cycloalkyl group (monocyclic, polycyclic or spirocyclic).
  • alkyl group which may be linear or branched, preferably 1 to 12 carbon atoms
  • a cycloalkyl group monocyclic, polycyclic or spirocyclic.
  • Well preferably having 3 to 20 carbon atoms
  • aryl group preferably having 6 to 14 carbon atoms
  • hydroxyl group alkoxy group
  • ester group amide group, urethane group, ureido group, thioether group, sulfonamide group, and sulfonic acid
  • An ester group is mentioned.
  • the carbon constituting the cyclic organic group may be a carbonyl carbon.
  • X is preferably 1 to 8, more preferably 1 to 4, and particularly preferably 1.
  • y is preferably 0 to 4, more preferably 0.
  • z is preferably 0 to 8, more preferably 0 to 4, and still more preferably 1.
  • Examples of the group containing a fluorine atom represented by Rf include an alkyl group having at least one fluorine atom, a cycloalkyl group having at least one fluorine atom, and an aryl group having at least one fluorine atom. .
  • alkyl group, cycloalkyl group and aryl group may be substituted with a fluorine atom or may be substituted with another substituent containing a fluorine atom.
  • Rf is a cycloalkyl group having at least one fluorine atom or an aryl group having at least one fluorine atom
  • other substituents containing a fluorine atom include, for example, alkyl substituted with at least one fluorine atom. Groups.
  • alkyl group, cycloalkyl group and aryl group may be further substituted with a substituent which does not contain a fluorine atom.
  • substituent the thing which does not contain a fluorine atom among what was demonstrated about Cy previously can be mentioned, for example.
  • Examples of the alkyl group having at least one fluorine atom represented by Rf include those described above as an alkyl group substituted with at least one fluorine atom represented by Xf.
  • Examples of the cycloalkyl group having at least one fluorine atom represented by Rf include a perfluorocyclopentyl group and a perfluorocyclohexyl group.
  • Examples of the aryl group having at least one fluorine atom represented by Rf include a perfluorophenyl group.
  • a particularly preferred embodiment is an embodiment in which x is 1, 2 and Xf is a fluorine atom, y is 0 to 4, all R 1 and R 2 are hydrogen atoms, and z is 1.
  • x is 1, 2 and Xf is a fluorine atom
  • y is 0 to 4
  • all R 1 and R 2 are hydrogen atoms
  • z is 1.
  • there are few fluorine atoms it is difficult to be unevenly distributed on the surface when the resist film is formed, and it is easy to disperse uniformly in the resist film.
  • the compound (A) is an acid having a volume of 130 to 3 or more (more preferably sulfonic acid) from the viewpoint of suppressing the diffusion of the acid generated by exposure to the non-exposed part and improving the resolution and pattern shape. It is preferably a compound that generates, more preferably (more preferably sulfonic acid) acid volume 190 ⁇ 3 or more in size is a compound that generates a volume 270 ⁇ 3 or more the size of the acid (more preferably More preferably, the compound generates a sulfonic acid, and particularly preferably a compound that generates an acid having a volume of 400 3 or more (more preferably a sulfonic acid).
  • the volume is preferably 2000 3 or less, and more preferably 1500 3 or less.
  • the volume value was determined using “WinMOPAC” manufactured by Fujitsu Limited. That is, first, the chemical structure of the acid according to each example is input, and then the most stable conformation of each acid is determined by molecular force field calculation using the MM3 method with this structure as the initial structure. By performing molecular orbital calculation using the PM3 method for these most stable conformations, the “accessible volume” of each acid can be calculated. Note that “1” is “0.1 nm”.
  • the content of the compound (A) is 5% by mass or more based on the total solid content of the actinic ray-sensitive or radiation-sensitive resin composition.
  • the content of the compound (A) is preferably 8% by mass or more, more preferably 12% by mass or more, based on the total solid content of the actinic ray-sensitive or radiation-sensitive resin composition. Thereby, resolution and roughness performance can be further improved.
  • the content of the compound (A) is preferably 30% by mass or less, and more preferably 25% by mass or less, based on the total solid content of the actinic ray-sensitive or radiation-sensitive resin composition.
  • the molecular weight of the compound (A) is preferably 200 to 2000, particularly preferably 400 to 1000.
  • Compound (A) is synthesized by a method in which a benzene derivative containing a hydroxyl group protected by a protecting group as a substituent and a cyclic sulfoxide compound are condensed to form a sulfonium salt, and the protecting group of the hydroxyl group is deprotected. I can do it.
  • W is a divalent linking group
  • R is an alkylene group
  • P is a protecting group.
  • Examples of the acid used for the reaction of sulfoniumation include methanesulfonic acid, ethanesulfonic acid, propanesulfonic acid, butanesulfonic acid, pentanesulfonic acid, trifluoromethanesulfonic acid, benzenesulfonic acid, p-toluenesulfonic acid, p-ethylbenzenesulfonic acid, Nonafluorobutanesulfonic acid and the like can be mentioned, and the conjugate base of the acid used becomes the anion of sulfonium.
  • Examples of the condensing agent used in the sulfoniumation reaction include acid anhydrides, such as trifluoroacetic acid anhydride, polyphosphoric acid, methanesulfonic acid anhydride, trifluoromethanesulfonic acid anhydride, p-toluenesulfonic acid anhydride, nona.
  • acid anhydrides such as trifluoroacetic acid anhydride, polyphosphoric acid, methanesulfonic acid anhydride, trifluoromethanesulfonic acid anhydride, p-toluenesulfonic acid anhydride, nona.
  • Examples include strong acid anhydrides such as fluorobutanesulfonic anhydride, tetrafluorosuccinic anhydride, hexafluoroglutaric anhydride, chlorodifluoroacetic anhydride, pentafluoropropionic anhydride, heptafluorobutanoic anhydride.
  • Examples of the hydroxyl-protecting group P include ethers and esters, and examples include methyl ether, aryl ether, benzyl ether, acetic acid ester, benzoic acid ester, and carbonic acid ester.
  • the counter anion X ⁇ can be converted into a desired anion by passing through an ion exchange resin and adding a conjugate acid of the target anion.
  • (B) Alkali-soluble resin The actinic ray-sensitive or radiation-sensitive resin composition of the present invention contains an alkali-soluble resin (hereinafter also referred to as “resin (B)”). Although resin (B) will not be specifically limited if it is alkali-soluble, It is preferable that it is resin containing a phenolic hydroxyl group.
  • the phenolic hydroxyl group is a group formed by substituting a hydrogen atom of an aromatic ring group with a hydroxy group.
  • the aromatic ring of the aromatic ring group is a monocyclic or polycyclic aromatic ring, and examples thereof include a benzene ring and a naphthalene ring.
  • composition of the present invention containing the resin (B), in the exposed portion, the resin (B) containing a phenolic hydroxyl group and a cross-linking agent described later by the action of an acid generated from the compound (A).
  • a crosslinking reaction proceeds with (C), and a negative pattern is formed.
  • Resin (B) preferably contains a repeating unit having a phenolic hydroxyl group. Although it does not specifically limit as a repeating unit which has a phenolic hydroxyl group, It is preferable that it is a repeating unit represented by the following general formula (II).
  • R 2 represents a hydrogen atom, a methyl group which may have a substituent, or a halogen atom (preferably a fluorine atom);
  • B ′ represents a single bond or a divalent linking group, Ar ′ represents an aromatic ring group, m represents an integer of 1 or more.
  • methyl group which may have a substituent for R 2 examples include a trifluoromethyl group and a hydroxymethyl group.
  • R 2 is preferably a hydrogen atom or a methyl group, and more preferably a hydrogen atom for developability reasons.
  • Examples of the divalent linking group for B ′ include a carbonyl group, an alkylene group (preferably having 1 to 10 carbon atoms, more preferably 1 to 5 carbon atoms), a sulfonyl group (—S ( ⁇ O) 2 —), —O —, —NH— or a divalent linking group in combination of these is preferred.
  • B ′ preferably represents a single bond, a carbonyloxy group (—C ( ⁇ O) —O—) or —C ( ⁇ O) —NH—, and a single bond or a carbonyloxy group (—C ( ⁇ O)) —O—) is more preferable, and a single bond is particularly preferable from the viewpoint of improving dry etching resistance.
  • the aromatic ring group as Ar ′ is a monocyclic or polycyclic aromatic ring group.
  • an aromatic ring group having 6 to 18 carbon atoms such as a benzene ring, naphthalene ring, anthracene ring, fluorene ring, phenanthrene ring or the like
  • Aromatic hydrocarbon ring groups and heterocycles such as thiophene ring, furan ring, pyrrole ring, benzothiophene ring, benzofuran ring, benzopyrrole ring, triazine ring, imidazole ring, benzimidazole ring, triazole ring, thiadiazole ring, thiazole ring
  • An aromatic ring heterocyclic group containing can be mentioned.
  • Ar ′ is preferably a benzene ring group or a naphthalene ring group from the viewpoint of resolution, and most preferably a benzene ring group from the viewpoint of
  • M is preferably an integer of 1 to 5, and most preferably 1.
  • the substitution position of —OH is the para position relative to the bond position with B ′ of the benzene ring (the polymer main chain when B ′ is a single bond).
  • the meta position or the ortho position may be used, but from the viewpoint of crosslinking reactivity, the para position and the meta position are preferable, and the para position is more preferable.
  • the aromatic ring group as Ar ′ may have a substituent other than the group represented by —OH.
  • substituents include an alkyl group, a cycloalkyl group, a halogen atom, a hydroxyl group, and an alkoxy group.
  • the repeating unit having a phenolic hydroxyl group is more preferably a repeating unit represented by the following general formula (II ') for reasons of cross-linking reactivity, developability, and dry etching resistance.
  • R 12 represents a hydrogen atom or a methyl group.
  • Ar represents an aromatic ring group.
  • R 12 represents a hydrogen atom or a methyl group, and is preferably a hydrogen atom for reasons of developability.
  • Ar in the general formula (II ′) has the same meaning as Ar ′ in the general formula (II), and the preferred range is also the same.
  • the repeating unit represented by the general formula (II ′) is a repeating unit derived from hydroxystyrene (that is, a repeating unit in which R 12 is a hydrogen atom and Ar is a benzene ring group in the general formula (II ′)). It is preferable from the viewpoint of sensitivity.
  • Resin (B) may be composed only of repeating units having a phenolic hydroxyl group as described above.
  • the resin (B) may have a repeating unit as described later in addition to the repeating unit having a phenolic hydroxyl group as described above.
  • the content of the repeating unit having a phenolic hydroxyl group is preferably 10 to 98 mol%, more preferably 30 to 97 mol%, based on all repeating units of the resin (B). More preferably, it is 40 to 95 mol%.
  • the dissolution rate of the exposed portion of the resist film of the present invention in the alkaline developer can be more reliably reduced. (That is, the dissolution rate of the resist film using the resin (B) can be more reliably controlled to be optimal). As a result, the sensitivity can be improved more reliably.
  • the resin (B) has a “structure in which a hydrogen atom of a phenolic hydroxyl group is substituted with a group having a non-acid-decomposable hydrocarbon structure”, and thus a high glass transition temperature (Tg) can be obtained. This is preferable because the etching resistance is improved. Moreover, the improvement effect of PEB temperature dependence can be heightened more according to a synergistic effect with a crosslinking agent (C).
  • the glass transition temperature (Tg) of the resin (B) is increased, and a very hard resist film can be formed. Resistance can be controlled. Therefore, the diffusibility of the acid in the exposed portion of actinic rays or radiation such as an electron beam or extreme ultraviolet rays is greatly suppressed, so that the resolution, pattern shape and roughness performance in a fine pattern are further improved. Further, it is considered that the resin (B) having a non-acid-decomposable hydrocarbon structure contributes to further improvement in dry etching resistance.
  • the hydrocarbon structure has a high hydrogen radical donating property, and becomes a hydrogen source during decomposition of the photoacid generator, further improving the decomposition efficiency of the photoacid generator and further increasing the acid generation efficiency. It is estimated that this contributes to better sensitivity.
  • the specific structure that may be contained in the resin (B) is that the aromatic ring such as a benzene ring and the group having a non-acid-decomposable hydrocarbon structure are oxygen atoms derived from a phenolic hydroxyl group. Are connected through. As described above, the structure not only contributes to high dry etching resistance, but also can increase the glass transition temperature (Tg) of the resin (B), and the combination effect provides high resolution. Presumed.
  • Non-acid-decomposable means a property that does not cause a decomposition reaction by an acid generated by a photoacid generator. More specifically, the group having a non-acid-decomposable hydrocarbon structure is preferably a group stable to acids and alkalis. The group stable to acid and alkali means a group that does not exhibit acid decomposability and alkali decomposability.
  • acid decomposability means the property of causing a decomposition reaction by the action of an acid generated by a photoacid generator.
  • Alkali decomposability means the property of causing a decomposition reaction by the action of an alkali developer, and the group exhibiting alkali decomposability is preferably used in a positive actinic ray-sensitive or radiation-sensitive resin composition.
  • groups for example, groups having a lactone structure
  • the group having a hydrocarbon structure is not particularly limited as long as it is a monovalent group having a hydrocarbon structure, but the total carbon number is preferably 5 to 40, more preferably 7 to 30.
  • the hydrocarbon structure may have an unsaturated bond in the ring.
  • the hydrocarbon structure in the group having a hydrocarbon structure is a chain hydrocarbon group, a branched hydrocarbon group, a structure having a monocyclic alicyclic hydrocarbon group, or a polycyclic alicyclic hydrocarbon structure. Meaning, it may be a bridge type.
  • the monocyclic alicyclic hydrocarbon group is preferably a cycloalkyl group having 3 to 8 carbon atoms, and examples thereof include a cyclopropyl group, a cyclopentyl group, a cyclohexyl group, a cyclobutyl group, and a cyclooctyl group. You may have two or more groups. In the case of having a plurality of monocyclic alicyclic hydrocarbon groups, it is preferable to have 2 to 4 monocyclic alicyclic hydrocarbon groups, and particularly preferable to have two.
  • Examples of the chain hydrocarbon group and the branched hydrocarbon group include those having 1 to 20 carbon atoms (more preferably 1 to 10 carbon atoms, still more preferably 1 to 7 carbon atoms), a propyl group, Examples include isopropyl group, n-butyl group, s-butyl group, isobutyl group, pentyl group, isopentyl group, neopentyl group, hexyl group, 2-ethylhexyl group, octyl group and the like.
  • Examples of the polycyclic alicyclic hydrocarbon structure include bicyclo, tricyclo, and tetracyclo structures having 5 or more carbon atoms, and polycyclic cyclostructures having 6 to 30 carbon atoms are preferable.
  • an adamantane structure and a decalin structure A norbornane structure, a norbornene structure, a cedrol structure, an isobornane structure, a bornane structure, a dicyclopentane structure, an ⁇ -pinene structure, a tricyclodecane structure, a tetracyclododecane structure, and an androstane structure.
  • a part of carbon atoms in the monocyclic or polycyclic cycloalkyl group may be substituted with a heteroatom such as an oxygen atom.
  • hydrocarbon structure examples include an adamantane structure, a decalin structure, a norbornane structure, a norbornene structure, a cedrol structure, a structure having a plurality of cyclohexyl groups, a structure having a plurality of cycloheptyl groups, a structure having a plurality of cyclooctyl groups, and cyclodecanyl.
  • Examples include a structure having a plurality of groups, a structure having a plurality of cyclododecanyl groups, and a tricyclodecane structure, and an adamantane structure is most preferable from the viewpoint of dry etching resistance (that is, a group having the above non-acid-decomposable hydrocarbon structure is And most preferably a group having a non-acid-decomposable adamantane structure).
  • the hydrocarbon structure may have a substituent.
  • substituents include an alkyl group (preferably 1 to 6 carbon atoms), a cycloalkyl group (preferably 3 to 10 carbon atoms), an aryl group (preferably Has 6 to 15 carbon atoms, halogen atom, hydroxyl group, alkoxy group (preferably 1 to 6 carbon atoms), carboxyl group, carbonyl group, thiocarbonyl group, alkoxycarbonyl group (preferably 2 to 7 carbon atoms), and these Examples include a group formed by combining groups (preferably having a total carbon number of 1 to 30, more preferably a total carbon number of 1 to 15).
  • any one hydrogen in the structure represented by any of the above formulas (7), (23), (40), (41) and (51), or the structure of the above formula (48) A structure having two monovalent groups each having an atom as a bond is preferable.
  • the structure represented by any one of the above formulas (23), (40) and (51), and any structure in the structure of the above formula (48) A structure having two monovalent groups each having one hydrogen atom as a bond is more preferable, and a structure represented by the above formula (40) is most preferable.
  • the group having a hydrocarbon structure is preferably a monovalent group having any one hydrogen atom of the hydrocarbon structure as a bond.
  • the above-mentioned “structure in which a hydrogen atom of a phenolic hydroxyl group is substituted with a group having a non-acid-decomposable hydrocarbon structure” is the above-mentioned “group having a non-acid-decomposable hydrocarbon structure, It is preferable to contain in the resin (B) as a repeating unit having “a structure in which a hydrogen atom is substituted”. In addition, the number of crosslinking points in the resin (B), such as phenolic hydroxyl groups and phenol ortho carbon, is reduced, and the reaction in the film proceeds excessively with the acid generated when the resist film is left after exposure. From the viewpoint of suppressing this and further improving the stability of PED (Post Exposure Delay), it is more preferable to be contained in the resin (B) as a repeating unit represented by the following general formula (1).
  • R represents a hydrogen atom or a methyl group
  • X represents a group having a non-acid-decomposable hydrocarbon group
  • Ar represents an aromatic ring group
  • L represents a divalent linking group.
  • R in the general formula (1) represents a hydrogen atom or a methyl group, and a hydrogen atom is particularly preferable.
  • Specific examples and preferred examples of the aromatic ring group of Ar in the general formula (1) are the same as those given for the aromatic ring group as Ar ′ in the general formula (II).
  • the aromatic ring group of Ar may have a substituent other than the group represented by —OX, and examples of the substituent include an alkyl group (preferably having 1 to 6 carbon atoms), a cycloalkyl group ( Preferably 3 to 10 carbon atoms, aryl group (preferably 6 to 15 carbon atoms), halogen atom, hydroxyl group, alkoxy group (preferably 1 to 6 carbon atoms), carboxyl group, alkoxycarbonyl group (preferably 2 carbon atoms) To 7), an alkyl group, an alkoxy group and an alkoxycarbonyl group are preferable, and an alkoxy group is more preferable.
  • an alkyl group preferably having 1 to 6 carbon atoms
  • a cycloalkyl group Preferably 3 to 10 carbon atoms, aryl group (preferably 6 to 15 carbon atoms), halogen atom, hydroxyl group, alkoxy group (preferably 1 to 6 carbon atoms), carboxyl group, alkoxycarbonyl
  • X represents a group having a non-acid-decomposable hydrocarbon group, and preferably represents a group having a non-acid-decomposable hydrocarbon structure. Specific examples and preferred ranges of the group having a non-acid-decomposable hydrocarbon structure represented by X are the same as those described above. X is more preferably a group represented by —Y—X 2 in the general formula (4) described later.
  • Examples of the divalent linking group for L include a carbonyl group, an alkylene group (preferably having 1 to 10 carbon atoms, more preferably 1 to 5 carbon atoms), a sulfonyl group (—S ( ⁇ O) 2 —), —O—. , —NH— or a divalent linking group in combination of these is preferred.
  • L preferably represents a single bond, a carbonyloxy group (—C ( ⁇ O) —O—) or —C ( ⁇ O) —NH—, and a single bond or a carbonyloxy group (—C ( ⁇ O) — O-) is more preferable, and a single bond is particularly preferable from the viewpoint of improving dry etching resistance.
  • the repeating unit represented by the general formula (1) is preferably a repeating unit represented by the following general formula (4).
  • the resin (B) having a repeating unit represented by the general formula (4) is used, the Tg of the resin (B) is increased, and a very hard resist film is formed. Therefore, acid diffusibility and dry etching resistance are improved. More reliable control.
  • R 13 represents a hydrogen atom or a methyl group.
  • Y represents a single bond or a divalent linking group.
  • X 2 represents a non-acid-decomposable hydrocarbon group.
  • R 13 in the general formula (4) represents a hydrogen atom or a methyl group, and a hydrogen atom is particularly preferable.
  • Y is preferably a divalent linking group.
  • Preferred groups as the divalent linking group for Y are a carbonyl group, a thiocarbonyl group, an alkylene group (preferably having 1 to 10 carbon atoms, more preferably 1 to 5 carbon atoms), a sulfonyl group, —COCH 2 —, —NH—.
  • a divalent linking group (preferably having a total carbon number of 1 to 20, more preferably a total carbon number of 1 to 10), more preferably a carbonyl group, —COCH 2 —, a sulfonyl group, —CONH— , —CSNH—, more preferably a carbonyl group, —COCH 2 —, and particularly preferably a carbonyl group.
  • X 2 represents a hydrocarbon group and is non-acid-decomposable.
  • the total carbon number of the hydrocarbon group is preferably 5 to 40, and more preferably 7 to 30.
  • the hydrocarbon group may have an unsaturated bond in the ring.
  • Such a hydrocarbon group is a chain hydrocarbon group, a branched hydrocarbon group, a group having a monocyclic alicyclic hydrocarbon group, or a polycyclic alicyclic hydrocarbon group, It may be a formula.
  • the monocyclic alicyclic hydrocarbon group is preferably a cycloalkyl group having 3 to 8 carbon atoms, and examples thereof include a cyclopropyl group, a cyclopentyl group, a cyclohexyl group, a cyclobutyl group, and a cyclooctyl group. You may have two or more groups. In the case of having a plurality of monocyclic alicyclic hydrocarbon groups, it is preferable to have 2 to 4 monocyclic alicyclic hydrocarbon groups, and particularly preferable to have two.
  • the chain hydrocarbon group and the branched hydrocarbon group are preferably those having 1 to 20 carbon atoms, more preferably those having 1 to 10 carbon atoms, and those having 1 to 7 carbon atoms. More preferred examples.
  • Specific examples of the chain hydrocarbon group and the branched hydrocarbon group include propyl group, isopropyl group, n-butyl group, s-butyl group, isobutyl group, pentyl group, isopentyl group, neopentyl group, A hexyl group, a 2-ethylhexyl group, an octyl group and the like can be mentioned.
  • Examples of the polycyclic alicyclic hydrocarbon group include groups having a bicyclo, tricyclo or tetracyclo structure having 5 or more carbon atoms, and groups having a polycyclic cyclo structure having 6 to 30 carbon atoms are preferable. And adamantyl group, norbornyl group, norbornenyl group, isobornyl group, camphanyl group, dicyclopentyl group, ⁇ -pinel group, tricyclodecanyl group, tetracyclododecyl group, and androstanyl group.
  • a part of carbon atoms in the monocyclic or polycyclic cycloalkyl group may be substituted with a heteroatom such as an oxygen atom.
  • the polycyclic alicyclic hydrocarbon groups described above X 2 preferably an adamantyl group, a decalin group, a norbornyl group, a norbornenyl group, a cedrol group, a group having a plurality of cyclohexyl groups, having plural groups cycloheptyl group, a cyclooctyl group A group having a plurality, a group having a plurality of cyclodecanyl groups, a group having a plurality of cyclododecanyl groups, and a tricyclodecanyl group, and an adamantyl group is most preferable from the viewpoint of dry etching resistance.
  • the same chemical formula as the chemical formula of the hydrocarbon structure in the above-described group having a hydrocarbon structure can be mentioned, and the preferred range is also the same.
  • the hydrocarbon group for X 2 include a monovalent group having any one hydrogen atom in the above-described hydrocarbon structure as a bond.
  • the alicyclic hydrocarbon group may have a substituent, and examples of the substituent include the same as those described above as the substituent that the hydrocarbon structure may have.
  • the substitution position of —O—Y—X 2 in the general formula (4) may be a para position, a meta position, or an ortho position with respect to the bonding position of the benzene ring to the polymer main chain, but the para position is preferred.
  • the repeating unit represented by the general formula (1) is most preferably a repeating unit represented by the following general formula (4 ').
  • R 13 represents a hydrogen atom or a methyl group.
  • R 13 in the general formula (4 ′) represents a hydrogen atom or a methyl group, and a hydrogen atom is particularly preferable.
  • the substitution position of the adamantyl ester group in the general formula (4 ′) may be in the para position, the meta position, or the ortho position with respect to the bonding position with the polymer main chain of the benzene ring, but the para position is preferred.
  • repeating unit having a structure in which a hydrogen atom of a phenolic hydroxyl group is substituted with a group having a non-acid-decomposable hydrocarbon structure include the following.
  • repeating unit represented by the general formula (4) examples include the following.
  • the resin (B) is a resin containing a repeating unit having a structure in which a hydrogen atom of a phenolic hydroxyl group is substituted with the above-described group having a non-acid-decomposable hydrocarbon structure
  • the content of the repeating unit Is preferably 1 to 40 mol%, more preferably 2 to 30 mol%, based on all repeating units of the resin (B).
  • the resin (B) may also serve as a crosslinking agent (C) described in detail later (in other words, the resin (B) and the crosslinking agent (C) may be the same component). That is, the resin (B) may have a crosslinkable group, and in this case, it is preferable to have a repeating unit having a crosslinkable group.
  • Preferred examples of the repeating unit having a crosslinkable group described above include the following repeating units (Q).
  • the repeating unit (Q) has a structure containing at least one methylol group which may have a substituent.
  • the “methylol group” is a group represented by the following general formula (M), and in one embodiment of the present invention, a hydroxymethyl group or an alkoxymethyl group is preferable.
  • R 2 , R 3 and Z are as defined in the general formula (Q-1) described later.
  • * represents a bond.
  • R 2 and R 3 may combine with each other to form a ring, and in this case, the ring is a single bond or an atom to which a bond represented by * is directly or indirectly bonded. It may be bonded through a linking group to form a further ring.
  • R 2 and R 3 are preferably both hydrogen atoms or alkyl groups.
  • R 1 represents a hydrogen atom, a methyl group, or a halogen atom.
  • R 2 and R 3 represent a hydrogen atom, an alkyl group, a cycloalkyl group, or an aryl group.
  • L represents a divalent linking group or a single bond.
  • Y represents a monovalent substituent excluding a methylol group.
  • Z represents a hydrogen atom or a substituent.
  • m represents an integer of 0 to 4.
  • n represents an integer of 1 to 5.
  • m + n is 5 or less. When m is 2 or more, the plurality of Y may be the same as or different from each other.
  • the plurality of R 2 , R 3 and Z may be the same as or different from each other.
  • Two or more of Y, R 2 , R 3 and Z may be bonded to each other to form a ring structure.
  • “two or more of Y, R 2 , R 3 and Z are bonded to each other to form a ring structure” is represented by the same symbol when there are a plurality of groups represented by the same symbol. It means that groups may be bonded to each other to form a ring structure, or groups represented by different symbols may be bonded to each other to form a ring.
  • the methyl group represented by R 1 may have a substituent, and examples of the substituent include halogen atoms such as fluorine atom, chlorine atom, bromine atom and iodine atom, hydroxyl group and isopropyl group. Can do. Examples of the methyl group which may have a substituent include a methyl group, a trifluoromethyl group, and a hydroxymethyl group. Examples of the halogen atom for R 1 include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom. R 1 is preferably a hydrogen atom or a methyl group.
  • Examples of the alkyl group represented by R 2 and R 3 include a linear or branched alkyl group having 1 to 10 carbon atoms, and examples of the cycloalkyl group include cycloalkyl having 3 to 10 carbon atoms.
  • the group can be mentioned.
  • Examples of the aryl group include aryl groups having 6 to 12 carbon atoms. Specific examples include a hydrogen atom, a methyl group, a cyclohexyl group, a t-butyl group, and a phenyl group.
  • the alkyl group and cycloalkyl group here may have a substituent. Examples of the substituent include those described later as the substituent of the monovalent substituent of Y.
  • R 2 and R 3 are preferably both hydrogen atoms or alkyl groups.
  • Examples of the divalent linking group represented by L include an aromatic ring group, —C ( ⁇ O) —, —O—C ( ⁇ O) —, —CH 2 —O—C ( ⁇ O) —, thiocarbonyl Group, a linear or branched alkylene group (preferably having 1 to 10 carbon atoms, more preferably 1 to 6), a linear or branched alkenylene group (preferably having 2 to 10 carbon atoms, more preferably 2 carbon atoms).
  • a cycloalkylene group (preferably having 3 to 10 carbon atoms, more preferably 3 to 6), a sulfonyl group, —O—, —NH—, —S—, a cyclic lactone structure or a divalent combination thereof.
  • a linking group preferably having a total carbon number of 1 to 50, more preferably a total carbon number of 1 to 30, and still more preferably a total carbon number of 1 to 20).
  • aromatic ring group in L of the general formula (Q-1) are the same as those given for the aromatic ring group as Ar ′ in the general formula (II).
  • the divalent linking group represented by L may have a substituent, and the substituent is the same as that described later as the substituent of the monovalent substituent represented by Y. Is mentioned.
  • Examples of the monovalent substituent represented by Y include an alkyl group (which may be linear or branched, preferably 1 to 12 carbon atoms), an alkenyl group (preferably 2 to 12 carbon atoms), alkynyl. Group (preferably having 2 to 12 carbon atoms), cycloalkyl group (which may be monocyclic or polycyclic, preferably having 3 to 12 carbon atoms), aryl group (preferably having 6 to 18 carbon atoms), hydroxy Groups, alkoxy groups, ester groups, amide groups, urethane groups, ureido groups, thioether groups, sulfonamido groups, halogen atoms, haloalkyl groups and sulfonic acid ester groups.
  • an alkyl group which may be linear or branched, preferably 1 to 12 carbon atoms
  • an alkenyl group preferably 2 to 12 carbon atoms
  • alkynyl alkynyl.
  • Group preferably having 2 to 12 carbon
  • Preferable examples include an alkyl group, a cycloalkyl group, a halogen atom, a haloalkyl group, a hydroxy group, an alkoxy group, an aryloxy group, an ester group, and an aryl group, and more preferable examples include an alkyl group, a halogen atom, and a hydroxy group. Group and alkoxy group.
  • the monovalent substituent of Y may further have a substituent.
  • substituents include a hydroxyl group, a halogen atom (for example, a fluorine atom), an alkyl group, a cycloalkyl group, an alkoxy group, and a carboxyl group. Group, an alkoxycarbonyl group, an aryl group, an alkoxyalkyl group, and a group obtained by combining these, and those having 8 or less carbon atoms are preferable.
  • m is 2 or more
  • a plurality of Y may be bonded to each other through a single bond or a linking group to form a ring structure.
  • the linking group in this case include an ether bond, a thioether bond, an ester bond, an amide bond, a carbonyl group, and an alkylene group.
  • the halogen atom include the same ones methyl group represented by R 1 is exemplified by optionally may substituent has.
  • the haloalkyl group include an alkyl group having 1 to 12 carbon atoms and a cycloalkyl group in which at least one hydrogen atom is substituted with a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom.
  • Specific examples include a fluoromethyl group, a trifluoromethyl group, a pentafluoroethyl group, a heptafluoropropyl group, and an undecafluorocyclohexyl group.
  • Examples of the monovalent substituent represented by Z include an alkyl group (which may be linear or branched, preferably 1 to 12 carbon atoms), an alkenyl group (preferably 2 to 12 carbon atoms), alkynyl. Group (preferably having 2 to 12 carbon atoms), cycloalkyl group (preferably having 3 to 8 carbon atoms), aryl group (which may be monocyclic or polycyclic, preferably having 6 to 18 carbon atoms), haloalkyl Groups, alkanoyl groups, alkoxycarbonyl groups, aryloxycarbonyl groups, alkylsulfonyloxy groups, arylsulfonyloxy groups, alkylsulfonyl groups, arylsulfonyl groups, cyano groups, alkylthio groups, arylthio groups, alkoxyalkyl groups and heterocyclic groups.
  • alkyl group which may be linear or branched, preferably 1 to 12 carbon atoms
  • Preferable examples include a hydrogen atom, an alkyl group, a cycloalkyl group, an alkanoyl group, an alkenyl group, a haloalkyl group, and an alkoxyalkyl group.
  • the alkanoyl group is preferably an alkanoyl group having 2 to 20 carbon atoms.
  • an alkoxycarbonyl group having 2 to 20 carbon atoms is preferable.
  • aryloxycarbonyl group examples include aryloxycarbonyl groups having 7 to 30 carbon atoms, such as phenoxycarbonyl group, 1-naphthyloxycarbonyl group, 2-naphthyloxycarbonyl group, 4-methylsulfanylphenyloxycarbonyl group, 4-phenylsulfanylphenyloxycarbonyl group, 4-dimethylaminophenyloxycarbonyl group, 4-diethylaminophenyloxycarbonyl group, 2-chlorophenyloxycarbonyl group, 2-methylphenyloxycarbonyl group, 2-methoxyphenyloxycarbonyl group, 2 -Butoxyphenyloxycarbonyl group, 3-chlorophenyloxycarbonyl group, 3-trifluoromethylphenyloxycarbonyl group, 3-cyanophenyloxycarbonyl group, 3 Nitrophenyl oxycarbonyl group, 4-fluorophenyl oxycarbonyl group, 4-cyanophenyl
  • the alkylsulfonyloxy group is preferably an alkylsulfonyloxy group having 1 to 20 carbon atoms.
  • the arylsulfonyloxy group is preferably an arylsulfonyloxy group having 6 to 30 carbon atoms.
  • an alkylsulfonyl group having 1 to 20 carbon atoms is preferable.
  • the arylsulfonyl group is preferably an arylsulfonyl group having 6 to 30 carbon atoms, such as a phenylsulfonyl group, a 1-naphthylsulfonyl group, a 2-naphthylsulfonyl group, a 2-chlorophenylsulfonyl group, a 2-methylphenylsulfonyl group, 2 -Methoxyphenylsulfonyl group, 2-butoxyphenylsulfonyl group, 3-chlorophenylsulfonyl group, 3-trifluoromethylphenylsulfonyl group, 3-cyanophenylsulfonyl group, 3-nitrophenylsulfonyl group, 4-fluorophenylsulfonyl group, 4 -Cyanophenylsulfonyl group, 4-methoxyphenylsulfonyl
  • alkylthio group examples include alkylthio groups having 1 to 30 carbon atoms, such as methylthio group, ethylthio group, propylthio group, n-butylthio group, trifluoromethylthio group, hexylthio group, t-butylthio group, 2-ethylhexylthio group. Group, cyclohexylthio group, decylthio group and dodecylthio group.
  • arylthio group examples include arylthio groups having 6 to 30 carbon atoms, such as a phenylthio group, a 1-naphthylthio group, a 2-naphthylthio group, a tolylthio group, a methoxyphenylthio group, a naphthylthio group, a chlorophenylthio group, and trifluoromethyl.
  • a phenylthio group, a cyanophenylthio group, and a nitrophenylthio group are mentioned.
  • the heterocyclic group is preferably an aromatic or aliphatic heterocyclic group containing a nitrogen atom, an oxygen atom, a sulfur atom, or a phosphorus atom.
  • the heterocyclic group include a thienyl group, a benzo [b] thienyl group, a naphtho [2,3-b] thienyl group, a thiantenyl group, a furyl group, a pyranyl group, an isobenzofuranyl group, a chromenyl group, and a xanthenyl group.
  • Phenoxathiinyl group 2H-pyrrolyl group, pyrrolyl group, imidazolyl group, pyrazolyl group, pyridyl group, pyrazinyl group, pyrimidinyl group, pyridazinyl group, indolizinyl group, isoindolyl group, 3H-indolyl group, indolyl group, 1H-indazolyl Group, purinyl group, 4H-quinolidinyl group, isoquinolyl group, quinolyl group, phthalazinyl group, naphthyridinyl group, quinoxanilyl group, quinazolinyl group, cinnolinyl group, pteridinyl group, 4aH-carbazolyl group, carbazolyl group, ⁇ -carbolynyl group, phenanthridinyl group Le group, Cridinyl, perimidinyl, phenanthrol
  • N is preferably an integer of 1 to 4, more preferably an integer of 2 to 4, and particularly preferably 2 or 3.
  • m is preferably 0 or 1.
  • the repeating unit (Q) represented by the general formula (Q-1) is preferably a repeating unit represented by the following general formula (2) or (3).
  • R 1 , R 2 , R 3 , Y, Z, m and n are as defined in the general formula (Q-1).
  • Ar represents an aromatic ring group.
  • W 1 and W 2 represent a divalent linking group or a single bond.
  • R 1 , R 2 , R 3 , Y, Z, m and n are the same as those described in the general formula (Q-1), and the preferred ranges are also the same.
  • Specific examples of the aromatic ring group represented by Ar include those similar to the specific examples in the case where L in the general formula (Q-1) is an aromatic ring, and the preferred range is also the same.
  • Examples of the divalent linking group represented by W 1 and W 2 include a monocyclic or polycyclic aromatic hydrocarbon ring which may have a substituent having 6 to 18 carbon atoms, —C ( ⁇ O) — , —O—C ( ⁇ O) —, —CH 2 —O—C ( ⁇ O) —, a thiocarbonyl group, a linear or branched alkylene group (preferably having 1 to 10 carbon atoms, more preferably 1).
  • a linear or branched alkenylene group preferably having 2 to 10 carbon atoms, more preferably 2 to 6
  • a cycloalkylene group preferably having 3 to 10 carbon atoms, more preferably 5 to 10 carbon atoms
  • examples thereof include a sulfonyl group, —O—, —NH—, —S—, a cyclic lactone structure, or a divalent linking group obtained by combining these.
  • the repeating unit (Q) represented by the general formula (Q-1) is more preferably represented by the following general formula (2 ′) or (3 ′).
  • R 1 , Y, Z, m and n in the general formulas (2 ′) and (3 ′) have the same meanings as the groups in the general formula (Q-1), and specific examples and preferred ranges are also the same.
  • Ar in the general formula (2 ′) has the same meaning as Ar in the general formula (2), and the preferred range is also the same.
  • W 3 is a divalent linking group. Examples of the divalent linking group represented by W 3 include a monocyclic or polycyclic aromatic hydrocarbon ring, —C ( ⁇ O) —, linear, which may have a substituent having 6 to 18 carbon atoms.
  • branched alkylene group preferably having 1 to 10 carbon atoms, more preferably 1 to 6 carbon atoms
  • cycloalkylene group preferably having 3 to 10 carbon atoms, more preferably 5 to 10 carbon atoms
  • -O-, cyclic lactone examples thereof include a structure or a divalent linking group obtained by combining these.
  • f is an integer of 0 to 6.
  • An integer of 0 to 3 is preferable, and an integer of 1 to 3 is more preferable.
  • g is 0 or 1.
  • the general formula (2 ′) is particularly preferably represented by any one of the following general formulas (1-a) to (1-c).
  • the repeating unit (Q) is particularly preferably a repeating unit represented by any one of the following general formulas (1-a) to (1-c) or a repeating unit represented by the above general formula (3 ′). .
  • R 1 , Y and Z in the general formulas (1-a) to (1-c) have the same meanings as the groups in the general formula (Q-1), and specific examples and preferred ranges thereof are also the same.
  • Y ′′ represents a hydrogen atom or a monovalent substituent.
  • Examples of the monovalent substituent include the same monovalent substituents as those described above for Y. However, Y ′′ represents methylol. It may be a group.
  • R 4 represents a hydrogen atom or a monovalent substituent. Specific examples of the monovalent substituent include the same as those in the case where Z in the general formula (Q-1) is a monovalent substituent.
  • f represents an integer of 1 to 6.
  • a preferred range is as described in the general formula (2 ′).
  • m is 0 or 1
  • n represents an integer of 1 to 3.
  • R 4 is a hydrogen atom, an alkyl group (which may be linear or branched and preferably has 1 to 12 carbon atoms), an alkenyl group ( 2 to 12 carbon atoms are preferred), an alkynyl group (preferably 2 to 12 carbon atoms), a cycloalkyl group (preferably 3 to 8 carbon atoms), an aryl group (monocyclic or polycyclic may be used) And a haloalkyl group, an alkanoyl group, an alkoxycarbonyl group, an aryloxycarbonyl group, an alkylsulfonyloxy group, an arylsulfonyloxy group, an alkylsulfonyl group, an arylsulfonyl group, a cyano group, an alkylthio group, an arylthio group, and A heterocyclic group is mentioned.
  • Preferable examples include a hydrogen atom, an alkyl group (which may be linear or branched and
  • haloalkyl group alkanoyl group, alkoxycarbonyl group, aryloxycarbonyl group, alkylsulfonyloxy group, arylsulfonyloxy group, alkylsulfonyl group, arylsulfonyl group, cyano group, alkylthio group, arylthio group and heterocyclic group are as follows: It is the same as Y of the said General formula (1), and its preferable range is also the same.
  • the content of the repeating unit (Q) is preferably 5 to 50 mol% with respect to all repeating units contained in the resin (B) from the viewpoint of crosslinking efficiency and developability, and is preferably 10 to 40 mol%. More preferably.
  • Specific examples of the repeating unit (Q) include the following structures.
  • Preferred examples of the repeating unit having a crosslinkable group include a repeating unit represented by the following general formula (1-1) or (1-2).
  • A represents a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group.
  • R 1 represents a hydrogen atom or a linear, branched or cyclic monovalent hydrocarbon group having 1 to 6 carbon atoms.
  • L represents a single bond or a divalent linking group.
  • Ar represents a divalent aromatic ring group.
  • Y represents a single bond or a divalent linking group.
  • A is preferably a hydrogen atom or a methyl group.
  • the divalent linking group represented by L may have a substituent, and specific examples of the divalent linking group represented by L and the substituent that the divalent linking group may have are described above. It is the same as that for L in the general formula (Q-1).
  • L is preferably a single bond.
  • Examples of the divalent linking group represented by Y include a monocyclic or polycyclic aromatic ring having 6 to 18 carbon atoms, —C ( ⁇ O) —, —O—C ( ⁇ O) —, —CH 2 —. O—C ( ⁇ O) —, a thiocarbonyl group, a linear or branched alkylene group (preferably having a carbon number of 1 to 10, more preferably 1 to 6), a linear or branched alkenylene group (preferably 2 to 10 carbon atoms, more preferably 2 to 6 carbon atoms), a cycloalkylene group (preferably 3 to 10 carbon atoms, more preferably 3 to 6 carbon atoms), a sulfonyl group, —O—, —NH—, —S—, Examples thereof include a cyclic lactone structure or a divalent linking group combining these (preferably having a total carbon number of 1 to 50, more preferably a total carbon number of 1 to 30, and even more preferably a total
  • Y is preferably an ethylene group or a methylenecarbonyl group.
  • R 1 represents a hydrogen atom or a linear, branched or cyclic monovalent hydrocarbon group having 1 to 6 carbon atoms.
  • specific examples of the linear, branched or cyclic monovalent hydrocarbon group having 1 to 6 carbon atoms include a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, and structural isomers thereof.
  • an alkyl group such as a cyclopentyl group and a cyclohexyl group are preferable, and a methyl group is particularly preferable. If the number of carbon atoms exceeds 6, the ability to form a crosslink may be lowered.
  • Preferred specific examples of the repeating unit represented by the general formulas (1-1) and (1-2) include the following, but are not limited thereto.
  • the resin (B) may or may not have the above repeating unit, but when it is included, the content of the repeating unit is generally 1 to 30 with respect to all the repeating units in the resin (B).
  • the mol% preferably 1 to 20 mol%, more preferably 2 to 15 mol%.
  • Resin (B) is a structural site that decomposes upon irradiation with actinic rays or radiation to generate an acid in the side chain (hereinafter referred to as “acid generating structure” from the viewpoint of improving at least one of resolution, roughness characteristics, and EL (exposure latitude). It is also preferable to include a repeating unit (A1) having (a) ".
  • the resin (B) may have a repeating unit represented by the following general formula (5) as a repeating unit (A1) having a structural site that decomposes upon irradiation with actinic rays or radiation to generate an acid in the side chain. preferable.
  • R 41 represents a hydrogen atom or a methyl group.
  • L 41 represents a single bond or a divalent linking group.
  • L 42 represents a divalent linking group.
  • S represents a structural site that decomposes upon irradiation with actinic rays or radiation to generate an acid in the side chain.
  • R 41 is a hydrogen atom or a methyl group as described above, and more preferably a hydrogen atom.
  • Examples of the divalent linking group of L 41 and L 42 include an alkylene group, a cycloalkylene group, an arylene group, —O—, —SO 2 —, —CO—, —N (R) —, —S—, -CS- and combinations of two or more thereof are mentioned, and those having a total carbon number of 20 or less are preferred.
  • R represents an aryl group, an alkyl group, or cycloalkyl.
  • the divalent linking group of L 42 is preferably an arylene group, an arylene group having 6 to 18 carbon atoms (more preferably 6 to 10 carbon atoms) such as a phenylene group, a tolylene group or a naphthylene group, or, for example, Preferred examples include divalent aromatic ring groups containing heterocycles such as thiophene, furan, pyrrole, benzothiophene, benzofuran, benzopyrrole, triazine, imidazole, benzimidazole, triazole, thiadiazole, and thiazole.
  • the alkylene group of L 41 and L 42 is preferably an alkylene group having 1 to 12 carbon atoms such as a methylene group, an ethylene group, a propylene group, a butylene group, a hexylene group, an octylene group, and a dodecanylene group.
  • Preferred examples of the cycloalkylene group represented by L 41 and L 42 include those having 5 to 8 carbon atoms such as a cyclopentylene group and a cyclohexylene group.
  • the arylene group of L 41 and L 42 preferably includes those having 6 to 14 carbon atoms such as a phenylene group and a naphthylene group.
  • alkylene groups, cycloalkylene groups and arylene groups may further have a substituent.
  • substituents include alkyl groups, cycloalkyl groups, aryl groups, amino groups, amide groups, ureido groups, urethane groups, hydroxy groups, carboxy groups, halogen atoms, alkoxy groups, thioether groups, acyl groups, and acyloxy groups. , Alkoxycarbonyl group, cyano group and nitro group.
  • the acid generating structure (a) preferably has a sulfonium salt structure or an iodonium salt structure (more preferably a sulfonium salt structure), and an ionic structure site containing a sulfonium salt or an iodonium salt (more preferably an ion containing a sulfonium salt). Is more preferred. More specifically, a group represented by the following general formula (PZI) or (PZII) is preferable as the acid generating structure (a).
  • R 201 to R 203 each independently represents an organic group.
  • the organic group as R 201 to R 203 generally has 1 to 30 carbon atoms, preferably 1 to 20 carbon atoms.
  • Two of R 201 to R 203 may be bonded to form a ring structure, and the ring may contain an oxygen atom, a sulfur atom, an ester bond, an amide bond, or a carbonyl group.
  • Examples of the group formed by combining two members out of R 201 to R 203 include an alkylene group (eg, butylene group, pentylene group).
  • Use of a ring structure in which two of R 201 to R 203 are combined to form a ring structure is preferable because it can be expected to suppress the exposure machine from being contaminated with decomposition products during exposure.
  • Z ⁇ represents an acid anion generated by decomposition upon irradiation with actinic rays or radiation, and is preferably a non-nucleophilic anion.
  • the non-nucleophilic anion include a sulfonate anion, a carboxylate anion, a sulfonylimide anion, a bis (alkylsulfonyl) imide anion, and a tris (alkylsulfonyl) methyl anion.
  • a non-nucleophilic anion is an anion having a remarkably low ability to cause a nucleophilic reaction, and an anion capable of suppressing degradation with time due to an intramolecular nucleophilic reaction. Thereby, the temporal stability of the resin is improved, and the temporal stability of the composition is also improved.
  • Examples of the organic group represented by R 201 to R 203 include an aryl group, an alkyl group, a cycloalkyl group, a cycloalkenyl group, and an indolyl group.
  • the cycloalkyl group and the cycloalkenyl group at least one of the carbon atoms forming the ring may be a carbonyl carbon.
  • R 201 to R 203 at least one is preferably an aryl group, more preferably all three are aryl groups.
  • the aryl group in R 201 , R 202 and R 203 is preferably a phenyl group or a naphthyl group, more preferably a phenyl group.
  • the alkyl group, cycloalkyl group, and cycloalkenyl group in R 201 , R 202, and R 203 are preferably a linear or branched alkyl group having 1 to 10 carbon atoms (eg, a methyl group, an ethyl group, a propyl group). Butyl group, pentyl group), cycloalkyl group having 3 to 10 carbon atoms (for example, cyclopentyl group, cyclohexyl group, norbornyl group), cycloalkenyl group having 3 to 10 carbon atoms (for example, pentadienyl group, cyclohexenyl group) Can be mentioned.
  • R 201 , R 202 and R 203 may further have a substituent.
  • substituents include nitro groups, halogen atoms such as fluorine atoms (preferably fluorine atoms), carboxyl groups, hydroxyl groups, amino groups, cyano groups, alkyl groups (preferably having 1 to 15 carbon atoms), alkoxy groups (preferably 1 to 15 carbon atoms), a cycloalkyl group (preferably 3 to 15 carbon atoms), an aryl group (preferably 6 to 14 carbon atoms), an alkoxycarbonyl group (preferably 2 to 7 carbon atoms), an acyl group (preferably 2 to 12 carbon atoms), an alkoxycarbonyloxy group (preferably 2 to 7 carbon atoms), an arylthio group (preferably 6 to 14 carbon atoms), a hydroxyalkyl group (preferably 1 to 15 carbon
  • each group of R 201 , R 202 and R 203 may have, at least one of the carbon atoms forming the ring may be a carbonyl carbon.
  • each group of R 201 , R 202 and R 203 may have may further have a substituent.
  • Examples of such further substituents include R 201 , R 202 and the like.
  • the same examples as the above-mentioned examples of the substituent that each group of R 203 may have, an alkyl group and a cycloalkyl group are preferable.
  • R 204 and R 205 each independently represents an aryl group, an alkyl group, or a cycloalkyl group. These aryl group, alkyl group and cycloalkyl group are the same as the aryl group described as the aryl group, alkyl group and cycloalkyl group of R 201 to R 203 in the aforementioned compound (PZI).
  • the aryl group of R 204 and R 205 may be an aryl group having a heterocyclic structure having an oxygen atom, a nitrogen atom, a sulfur atom, or the like.
  • Examples of the aryl group having a heterocyclic structure include a pyrrole residue (a group formed by losing one hydrogen atom from pyrrole) and a furan residue (a group formed by losing one hydrogen atom from furan).
  • thiophene residues groups formed by the loss of one hydrogen atom from thiophene
  • indole residues groups formed by the loss of one hydrogen atom from indole
  • benzofuran residues A group formed by losing one hydrogen atom from benzofuran
  • a benzothiophene residue a group formed by losing one hydrogen atom from benzothiophene
  • the aryl group, alkyl group, and cycloalkyl group of R 204 and R 205 may have a substituent.
  • this substituent include those that the aryl group, alkyl group, and cycloalkyl group of R 201 to R 203 in the aforementioned compound (PZI) may have.
  • Z ⁇ represents an acid anion generated by decomposition upon irradiation with actinic rays or radiation, and is preferably a non-nucleophilic anion, and examples thereof include the same as Z ⁇ in the general formula (PZI).
  • Preferable specific examples of the acid generating structure (a) include specific examples described in paragraphs [0145] to [0148] of JP2013-80002A.
  • the acid generating structure (a) is more preferably a group represented by the following general formula (6).
  • L 61 represents a divalent linking group
  • Ar 61 represents an arylene group.
  • R 201 , R 202 and R 203 have the same meanings as R 201 , R 202 and R 203 in the general formula (PZI), respectively.
  • Examples of the divalent linking group for L 61 include an alkylene group, a cycloalkylene group, —O—, —SO 2 —, —CO—, —N (R) —, —S—, —CS—, and these Combinations are listed.
  • R represents an aryl group, an alkyl group, or cycloalkyl.
  • the total number of carbon atoms of the divalent linking group of L 61 is preferably 1 to 15, and more preferably 1 to 10.
  • the alkylene group for L 61 is preferably an alkylene group having 1 to 12 carbon atoms such as a methylene group, an ethylene group, a propylene group, a butylene group, a hexylene group, an octylene group, and a dodecanylene group.
  • Preferred examples of the cycloalkylene group represented by L 61 include those having 5 to 8 carbon atoms such as a cyclopentylene group and a cyclohexylene group.
  • Preferred groups for L 61 are carbonyl group, methylene group, * —CO— (CH 2 ) N —O—, * —CO— (CH 2 ) N —O—CO—, * — (CH 2 ) N —COO. —, * — (CH 2 ) N —CONR—, or * —CO— (CH 2 ) N —NR—, particularly preferably a carbonyl group, * —CH 2 —COO—, * —CO—CH 2 —O—, * —CO—CH 2 —O—CO—, * —CH 2 —CONR—, or * —CO—CH 2 —NR—.
  • N represents an integer of 1 to 10.
  • N is preferably an integer of 1 to 6, more preferably an integer of 1 to 3, and most preferably 1.
  • * represents a linking site on the main chain side, that is, a linking site with an O atom in the formula.
  • Ar 61 represents an arylene group and may have a substituent.
  • Ar 61 may have an alkyl group (preferably having 1 to 8 carbon atoms, more preferably 1 to 4 carbon atoms), an alkoxy group (preferably having 1 to 8 carbon atoms, more preferably carbon atoms).
  • a halogen atom preferably a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, more preferably a fluorine atom.
  • the aromatic ring of Ar 61 may be an aromatic hydrocarbon ring (for example, benzene ring or naphthalene ring) or an aromatic heterocyclic ring (for example, quinoline ring), and preferably has 6 to 18 carbon atoms. More preferably, it has 6 to 12 carbon atoms.
  • Ar 61 is preferably unsubstituted or an arylene group substituted with an alkyl group or a fluorine atom, and more preferably a phenylene group or a naphthylene group.
  • R 201, R 202 and R 203 are the same as those described for R 201, R 202 and R 203 in formula (PZI).
  • a method for synthesizing the monomer corresponding to the repeating unit (A1) having a structural site that decomposes upon irradiation with actinic rays or radiation to generate an acid in the side chain is not particularly limited.
  • the above repeating unit is used.
  • a metal ion salt for example, sodium ion, potassium ion, etc.
  • an ammonium salt ammonium, triethylammonium salt, etc.
  • An onium salt having a chloride ion, a bromide ion, an iodide ion, etc. is stirred in the presence of water or methanol to carry out an anion exchange reaction, such as dichloromethane, chloroform, ethyl acetate, methyl isobutyl ketone, tetrahydroxyfuran, etc.
  • an anion exchange reaction such as dichloromethane, chloroform, ethyl acetate, methyl isobutyl ketone, tetrahydroxyfuran, etc.
  • the repeating unit (A1) having a structural moiety that decomposes upon irradiation with actinic rays or radiation to generate an acid in the side chain also introduces an acid anion moiety into the side chain by a polymer reaction and introduces an onium salt by salt exchange Can also be synthesized.
  • repeating unit (A1) having a structural moiety that decomposes upon irradiation with actinic rays or radiation to generate an acid in the side chain are described in paragraphs [0168] to [0210] of JP2013-80002A. Specific examples described in the above can be given.
  • the content of the repeating unit (A1) having a structural site capable of decomposing upon irradiation with actinic rays or radiation in the resin (B) to generate an acid in the side chain is from 1 to The range is preferably 40 mol%, more preferably 2 to 30 mol%, particularly preferably 4 to 25 mol%.
  • the resin (B) used in the present invention preferably further has the following repeating units (hereinafter, also referred to as “other repeating units”) as repeating units other than the above repeating units.
  • other repeating units include styrene, alkyl-substituted styrene, alkoxy-substituted styrene, halogen-substituted styrene, O-alkylated styrene, O-acylated styrene, hydrogenated hydroxystyrene, and anhydrous maleic acid.
  • Acid acrylic acid derivative (acrylic acid, acrylic ester, etc.), methacrylic acid derivative (methacrylic acid, methacrylic ester, etc.), N-substituted maleimide, acrylonitrile, methacrylonitrile, vinyl naphthalene, vinyl anthracene, substituted Inden etc. which may be sufficient can be mentioned.
  • the resin (B) may or may not have these other repeating units, but when it is included, the content of these other repeating units in the resin (B) is the total number of repeating units constituting the resin (B). It is generally 1 to 30 mol%, preferably 1 to 20 mol%, more preferably 2 to 10 mol%, based on the unit.
  • Resin (B) can be synthesized by a known radical polymerization method, anion polymerization method, or living radical polymerization method (such as an iniferter method).
  • a polymer in the anionic polymerization method, can be obtained by dissolving a vinyl monomer in a suitable organic solvent and reacting under a cooling condition with a metal compound (such as butyl lithium) as an initiator.
  • a metal compound such as butyl lithium
  • Examples of the resin (B) include polyphenol compounds produced by condensation reaction of aromatic ketones or aromatic aldehydes and compounds containing 1 to 3 phenolic hydroxyl groups (for example, JP-A-2008-145539), calixarene derivatives (For example, Japanese Patent Application Laid-Open No. 2004-18421), a Noria derivative (for example, Japanese Patent Application Laid-Open No. 2009-222920), and a polyphenol derivative (for example, Japanese Patent Application Laid-Open No. 2008-94782) can be applied, and they may be synthesized by modification with a polymer reaction.
  • polyphenol compounds produced by condensation reaction of aromatic ketones or aromatic aldehydes and compounds containing 1 to 3 phenolic hydroxyl groups for example, JP-A-2008-145539
  • calixarene derivatives for example, Japanese Patent Application Laid-Open No. 2004-18421
  • a Noria derivative for example, Japanese Patent Application Laid-Open No. 2009-222920
  • a polyphenol derivative
  • the resin (B) is preferably synthesized by modifying a polymer synthesized by a radical polymerization method or an anionic polymerization method by a polymer reaction.
  • the weight average molecular weight of the resin (B) is preferably 1000 to 200000, more preferably 2000 to 50000, and still more preferably 2000 to 15000.
  • the dispersity (molecular weight distribution) (Mw / Mn) of the resin (B) is preferably 2.0 or less, preferably 1.0 to 1.80 from the viewpoint of improving sensitivity and resolution, 0.0 to 1.60 is more preferable, and 1.0 to 1.20 is most preferable.
  • Use of living polymerization such as living anionic polymerization is preferable because the degree of dispersion (molecular weight distribution) of the resulting polymer compound becomes uniform.
  • the weight average molecular weight (Mw), number average molecular weight (Mn), and dispersity (Mw / Mn) of the resin (B) and the hydrophobic resin (E) described later are measured by GPC (solvent: Tetrahydrofuran, column: TSK gel Multipore HXL-M manufactured by Tosoh Corporation, column temperature: 40 ° C., flow rate: 1.0 mL / min, detector: RI).
  • the content of the resin (B) in the composition of the present invention is preferably 30 to 99% by mass, more preferably 40 to 97% by mass, and still more preferably 50 to 95% by mass with respect to the total solid content of the composition. Particularly preferably, it is used at 50 to 80% by mass.
  • resin (B) Specific examples of the resin (B) are shown below, but the present invention is not limited thereto.
  • crosslinking agent (C) The actinic ray-sensitive or radiation-sensitive resin composition of the present invention contains a crosslinking agent (hereinafter also referred to as “crosslinking agent (C)”).
  • the crosslinking agent (C) is typically a compound having an acid crosslinking group. It is more preferable that the compound contains two or more hydroxymethyl groups or alkoxymethyl groups in the molecule.
  • the crosslinking agent (C) is also preferably a compound containing two or more hydroxymethyl groups and alkoxymethyl groups in the molecule. Further, from the viewpoint of improving the roughness performance, the crosslinking agent (C) preferably contains a methylol group.
  • the crosslinking agent (C) may be in the form of a low molecular compound or may be incorporated in a part of the polymer. Further, the form of the low molecular compound and the form incorporated in a part of the polymer may be used in combination.
  • the crosslinking agent (C) is in the form of a low molecular compound, the molecular weight is preferably 3000 or less, more preferably 2000 or less, and even more preferably 1000 or less.
  • the crosslinking agent (C) is in a form incorporated in a part of the polymer, it may be incorporated in a part of the resin (B) as described above, or incorporated in a resin different from the resin (B). It may be.
  • crosslinking agent (C) examples include hydroxymethylated or alkoxymethylated phenol compounds, alkoxymethylated melamine compounds, alkoxymethyl glycoluril compounds, and alkoxymethylated urea compounds.
  • Particularly preferred crosslinking agents (C) include phenol derivatives and alkoxymethyl glycols having 3 to 5 benzene rings in the molecule, and further having two or more hydroxymethyl groups or alkoxymethyl groups, and a molecular weight of 1200 or less. Examples include uril derivatives.
  • alkoxymethyl group a methoxymethyl group and an ethoxymethyl group are preferable.
  • a phenol derivative having a hydroxymethyl group can be obtained by reacting a corresponding phenol compound having no hydroxymethyl group with formaldehyde under a base catalyst.
  • a phenol derivative having an alkoxymethyl group can be obtained by reacting a corresponding phenol derivative having a hydroxymethyl group with an alcohol in the presence of an acid catalyst.
  • Examples of another preferred crosslinking agent (C) include N-hydroxymethyl groups or N-alkoxymethyl groups such as alkoxymethylated melamine compounds, alkoxymethylglycoluril compounds, and alkoxymethylated urea compounds. The compound which has can be mentioned.
  • Examples of such compounds include hexamethoxymethyl melamine, hexaethoxymethyl melamine, tetramethoxymethyl glycoluril, 1,3-bismethoxymethyl-4,5-bismethoxyethylene urea, bismethoxymethyl urea, and the like.
  • 133, 216A West German Patent 3,634,671, 3,711,264, EP 0,212,482A.
  • crosslinking agent (C) those particularly preferred are listed below.
  • L 1 to L 8 each independently represents a hydrogen atom, a hydroxymethyl group, a methoxymethyl group, an ethoxymethyl group, or an alkyl group having 1 to 6 carbon atoms.
  • crosslinking agent (C) examples include compounds represented by the following general formula (I).
  • R 1 and R 6 each independently represents a hydrogen atom or a hydrocarbon group having 5 or less carbon atoms.
  • R 2 and R 5 each independently represents an alkyl group, a cycloalkyl group, an aryl group, or an acyl group.
  • R 3 and R 4 each independently represent a hydrogen atom or an organic group having 2 or more carbon atoms. R 3 and R 4 may combine with each other to form a ring.
  • R 1 and R 6 are preferably a hydrocarbon group having 5 or less carbon atoms, more preferably a hydrocarbon group having 4 or less carbon atoms, and particularly preferably a methyl group, an ethyl group, Examples include a propyl group and an isopropyl group.
  • R 2 and R 5 for example, an alkyl group having 1 to 6 carbon atoms is preferable, and as a cycloalkyl group, for example, a cycloalkyl group having 3 to 12 carbon atoms is preferable, and as an aryl group, For example, an aryl group having 6 to 12 carbon atoms is preferred, and an acyl group having, for example, an alkyl moiety having 1 to 6 carbon atoms is preferred.
  • R 2 and R 5 are preferably alkyl groups, more preferably alkyl groups having 1 to 6 carbon atoms, and particularly preferably methyl groups.
  • Examples of the organic group having 2 or more carbon atoms represented by R 3 and R 4 include an alkyl group having 2 or more carbon atoms, a cycloalkyl group, and an aryl group, and R 3 and R 4 are bonded to each other. Thus, it is preferable to form a ring described in detail below.
  • Examples of the ring formed by combining R 3 and R 4 with each other include, for example, an aromatic or non-aromatic hydrocarbon ring, an aromatic or non-aromatic heterocyclic ring, or a combination of two or more of these rings
  • the polycyclic fused ring formed can be mentioned.
  • These rings may have a substituent.
  • substituents include an alkyl group, a cycloalkyl group, an alkoxy group, a carboxyl group, an aryl group, an alkoxymethyl group, an acyl group, and an alkoxycarbonyl group. , A nitro group, a halogen, or a hydroxy group.
  • R 3 and R 4 in the general formula (I) are preferably bonded to form a polycyclic fused ring containing a benzene ring, and more preferably a fluorene structure is formed.
  • R 3 and R 4 in the general formula (I) are bonded to form a fluorene structure represented by the following general formula (Ia). It is preferable.
  • R 7 and R 8 each independently represents a substituent.
  • substituents include an alkyl group, a cycloalkyl group, an alkoxy group, an aryl group, an alkoxymethyl group, an acyl group, an alkoxycarbonyl group, a nitro group, a halogen, and a hydroxy group.
  • n1 and n2 each independently represents an integer of 0 to 4, preferably 0 or 1. * Represents a linking site with a phenol nucleus.
  • the compound represented by the general formula (I) is also preferably represented by the following general formula (Ib).
  • R 1b and R 6b each independently represents an alkyl group having 5 or less carbon atoms.
  • R 2b and R 5b each independently represents an alkyl group having 6 or less carbon atoms or a cycloalkyl group having 3 to 12 carbon atoms.
  • Z represents an atomic group necessary for forming a ring together with the carbon atom in the formula.
  • the ring formed by Z together with the carbon atom in the formula is the same as that described for the ring formed by combining R 3 and R 4 with each other in the description of the general formula (I).
  • the content of the crosslinking agent (C) is preferably 3 to 65% by mass, more preferably 5 to 50% by mass in the total solid content of the actinic ray-sensitive or radiation-sensitive resin composition. More preferably, it is 10 to 30% by mass.
  • a crosslinking agent (C) may be used independently and may be used in combination of 2 or more type.
  • the ratio of the above-mentioned phenol derivative to the other compound (C ′) is usually 90/10 to 20/80, preferably 85/15 to 40/60, more preferably 80/20 to 50/50.
  • (D) Compound that differs from compound (A) and generates an acid upon irradiation with actinic rays or radiation
  • the actinic ray-sensitive or radiation-sensitive resin composition of the present invention comprises an acid upon irradiation with actinic rays or radiation.
  • a compound generating photoacid photoacid generator
  • it may contain a compound (hereinafter also referred to as “compound (D)”) that generates an acid upon irradiation with actinic rays or radiation, which is different from the compound (A).
  • Preferred forms of compound (D) include onium compounds. Examples of such onium compounds include sulfonium salts, iodonium salts, phosphonium salts, and the like.
  • the compound (D) As another preferred form of the compound (D), a compound that generates sulfonic acid, imidic acid, or methide acid upon irradiation with actinic rays or radiation can be exemplified.
  • the photoacid generator in that form include sulfonium salts, iodonium salts, phosphonium salts, oxime sulfonates, imide sulfonates, and the like.
  • the compound (D) may be in the form of a low molecular compound or may be incorporated in a part of the polymer. Further, the form of the low molecular compound and the form incorporated in a part of the polymer may be used in combination.
  • the molecular weight is preferably 3000 or less, more preferably 2000 or less, and even more preferably 1000 or less.
  • the compound (D) is in a form incorporated in a part of the polymer, it may be incorporated in a part of the resin (B) as described above or in a resin different from the resin (B). May be.
  • the compound (D) is preferably a compound that generates an acid upon irradiation with an electron beam or extreme ultraviolet rays.
  • preferred onium compounds include sulfonium compounds represented by the following general formula (7) or iodonium compounds represented by the general formula (8).
  • R a1 , R a2 , R a3 , R a4 and R a5 each independently represent an organic group.
  • X ⁇ represents an organic anion
  • R a1 to R a3 of the general formula (7) and R a4 and R a5 of the general formula (8) each independently represent an organic group, preferably at least one of R a1 to R a3 ,
  • at least one of R a4 and R a5 is an aryl group.
  • the aryl group a phenyl group and a naphthyl group are preferable, and a phenyl group is more preferable.
  • Examples of the organic anion X ⁇ in the general formulas (7) and (8) include a sulfonate anion, a carboxylate anion, a bis (alkylsulfonyl) amide anion, and a tris (alkylsulfonyl) methide anion.
  • Rc 1 , Rc 2 , Rc 3 and Rc 4 each represents an organic group.
  • the organic anion of X ⁇ corresponds to sulfonic acid, imide acid, methide acid, etc., which are acids generated by irradiation with actinic rays or radiation such as electron beams and extreme ultraviolet rays.
  • Examples of the organic group of Rc 1 to Rc 4 include an alkyl group, a cycloalkyl group, an aryl group, or a group in which a plurality of these are connected. More preferably among these organic groups, the alkyl group substituted at the 1-position with a fluorine atom or a fluoroalkyl group, a cycloalkyl group substituted with a fluorine atom or a fluoroalkyl group, a phenyl group substituted with a fluorine atom or a fluoroalkyl group It is.
  • a plurality of the organic groups of Rc 2 to Rc 4 may be connected to each other to form a ring, and the group to which the plurality of organic groups are connected includes an alkylene group substituted with a fluorine atom or a fluoroalkyl group Is preferred.
  • a fluorine atom or a fluoroalkyl group By having a fluorine atom or a fluoroalkyl group, the acidity of the acid generated by light irradiation is increased and the sensitivity is improved.
  • the terminal group preferably does not contain a fluorine atom as a substituent.
  • the compound (D) is a compound that generates the acid represented by the general formula (IIIB) or (IVB) described in the compound (A) by irradiation with an actinic ray or radiation.
  • the anion of X ⁇ in the general formulas (7) and (8) can be an anion that generates an organic acid represented by the following general formula (IIIB) or (IVB). Specific examples and preferred examples of the anion that generates the organic acid represented by the general formula (IIIB) or (IVB) are the same as those described for the compound (A).
  • the compound (D) is an acid (more preferably sulfone) having a volume of 130 to 3 or more from the viewpoint of suppressing the diffusion of the acid generated by exposure to the non-exposed part and improving the resolution and pattern shape.
  • the volume is preferably 2000 3 or less, and more preferably 1500 3 or less. The value of the volume is determined based on the method described for the compound (A).
  • the actinic ray-sensitive or radiation-sensitive resin composition of the present invention may or may not contain the compound (D), but when it is contained, the content of the compound (D) is the actinic ray-sensitive or sensitive. It is preferably 0.1 to 20% by mass, more preferably 0.5 to 15% by mass, and further preferably 1 to 10% by mass based on the total solid content of the radiation resin composition.
  • a compound (D) can be used individually by 1 type or in combination of 2 or more types.
  • the actinic ray-sensitive or radiation-sensitive resin composition of the present invention preferably contains a basic compound as an acid scavenger.
  • a basic compound By using a basic compound, a change in performance over time from exposure to post-heating can be reduced.
  • Such basic compounds are preferably organic basic compounds, and more specifically, aliphatic amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxyl group, and sulfonyl groups.
  • An amine oxide compound (a compound having a methyleneoxy unit and / or an ethyleneoxy unit is preferable, for example, a compound described in JP-A-2008-102383), an ammonium salt (preferably a hydroxide or a carboxylate). More specifically, a tetraalkylammonium hydroxide represented by tetrabutylammonium hydroxide is preferable from the viewpoint of LER.
  • a compound whose basicity is increased by the action of an acid can also be used as one kind of basic compound.
  • amines include tri-n-butylamine, tri-n-pentylamine, tri-n-octylamine, tri-n-decylamine, triisodecylamine, dicyclohexylmethylamine, tetradecylamine, pentadecylamine , Hexadecylamine, octadecylamine, didecylamine, methyloctadecylamine, dimethylundecylamine, N, N-dimethyldodecylamine, methyldioctadecylamine, N, N-dibutylaniline, N, N-dihexylaniline, 2,6- Diisopropylaniline, 2,4,6-tri (t-butyl) aniline, triethanolamine, N, N-dihydroxyethylaniline, tris (methoxyethoxyethyl) amine, and columns 3, 60 of US
  • Compounds having a nitrogen-containing heterocyclic structure include 2-phenylbenzimidazole, 2,4,5-triphenylimidazole, N-hydroxyethylpiperidine, bis (1,2,2,6,6-pentamethyl-4-piperidyl ) Sebacate, 4-dimethylaminopyridine, antipyrine, hydroxyantipyrine, 1,5-diazabicyclo [4.3.0] non-5-ene, 1,8-diazabicyclo [5.4.0] -undec-7-ene And tetrabutylammonium hydroxide.
  • Photodegradable basic compounds (initially basic nitrogen atoms act as a base and show basicity, but are decomposed by irradiation with actinic rays or radiation to have amphoteric compounds having basic nitrogen atoms and organic acid sites.
  • a compound in which basicity is reduced or eliminated by generating ionic compounds and neutralizing them in the molecule for example, Japanese Patent No. 3577743, Japanese Patent Application Laid-Open No. 2001-215589, Japanese Patent Application Laid-Open No. 2001-166476, An onium salt described in JP 2008-102383 A and JP 2013-64970 A) and a photobase generator (for example, a compound described in JP 2010-243773 A) are also used as appropriate.
  • a basic compound may be used independently and may be used in combination of 2 or more type.
  • the content of the basic compound used in the present invention is preferably from 0.01 to 10% by mass, more preferably from 0.03 to 5% by mass, based on the total solid content of the composition of the present invention. 05 to 3% by mass is particularly preferred.
  • the composition of the present invention may contain a hydrophobic resin (hereinafter also referred to as “hydrophobic resin (E)”).
  • the hydrophobic resin (E) is preferably different from the resin (B).
  • the hydrophobic resin (E) is preferably designed to be unevenly distributed at the interface.
  • unlike the surfactant it is not always necessary to have a hydrophilic group in the molecule, and the polar / nonpolar substance is mixed uniformly. You don't have to contribute to As an effect of adding a hydrophobic resin, control of the static / dynamic contact angle of the resist film surface to water, improvement of immersion liquid followability, and suppression of outgas, especially when immersion exposure is adopted. And so on.
  • the hydrophobic resin (E) is any one of “fluorine atom”, “silicon atom”, and “CH 3 partial structure contained in the side chain portion of the resin” from the viewpoint of uneven distribution in the film surface layer. It is preferable to have the above, and it is more preferable to have two or more.
  • the hydrophobic resin (E) contains a fluorine atom and / or a silicon atom
  • the fluorine atom and / or silicon atom in the hydrophobic resin (E) may be contained in the main chain of the resin. , May be contained in the side chain.
  • the partial structure having a fluorine atom is a resin having an alkyl group having a fluorine atom, a cycloalkyl group having a fluorine atom, or an aryl group having a fluorine atom.
  • the alkyl group having a fluorine atom preferably having 1 to 10 carbon atoms, more preferably 1 to 4 carbon atoms
  • a cycloalkyl group having a fluorine atom and an aryl group having a fluorine atom are a cycloalkyl group in which one hydrogen atom is substituted with a fluorine atom and an aryl group having a fluorine atom, respectively, and further a substituent other than a fluorine atom is substituted. You may have.
  • alkyl group having a fluorine atom examples include groups represented by the following general formulas (F2) to (F4).
  • the invention is not limited to this.
  • R 57 to R 68 each independently represents a hydrogen atom, a fluorine atom or an alkyl group (straight or branched).
  • R 57 to R 61 , at least one of R 62 to R 64 , and at least one of R 65 to R 68 are each independently a fluorine atom or at least one hydrogen atom is a fluorine atom. It represents a substituted alkyl group (preferably having 1 to 4 carbon atoms). All of R 57 to R 61 and R 65 to R 67 are preferably fluorine atoms.
  • R 62 , R 63 and R 68 are preferably an alkyl group (preferably having 1 to 4 carbon atoms) in which at least one hydrogen atom is substituted with a fluorine atom, and preferably a perfluoroalkyl group having 1 to 4 carbon atoms. Further preferred. R 62 and R 63 may be connected to each other to form a ring.
  • the hydrophobic resin (E) may contain a silicon atom.
  • the partial structure having a silicon atom is preferably a resin having an alkylsilyl structure (preferably a trialkylsilyl group) or a cyclic siloxane structure.
  • Examples of the repeating unit having a fluorine atom or a silicon atom include those exemplified in US2012 / 0251948A1 [0519].
  • the hydrophobic resin (E) also preferably includes a CH 3 partial structure in the side chain portion.
  • CH 3 partial structure contained in the side chain portion in the hydrophobic resin (E) (hereinafter, simply referred to as "side chain CH 3 partial structure")
  • The, CH 3 partial structure an ethyl group, and a propyl group having Is included.
  • a methyl group directly bonded to the main chain of the hydrophobic resin (E) (for example, ⁇ -methyl group of a repeating unit having a methacrylic acid structure) is caused by the influence of the main chain on the surface of the hydrophobic resin (E). Since the contribution to uneven distribution is small, it is not included in this “CH 3 partial structure”.
  • the hydrophobic resin (E) is a repeating unit derived from a monomer having a polymerizable moiety having a carbon-carbon double bond, such as a repeating unit represented by the following general formula (M).
  • R 11 to R 14 are CH 3 “as is”, the CH 3 is not included in the CH 3 partial structure of the side chain moiety.
  • the CH 3 partial structure existing from the CC main chain via some atom shall correspond to the “side chain CH 3 partial structure”.
  • R 11 is an ethyl group (CH 2 CH 3 )
  • it has “one” “CH 3 partial structure”.
  • R 11 to R 14 each independently represents a side chain portion.
  • R 11 to R 14 in the side chain portion include a hydrogen atom and a monovalent organic group.
  • the monovalent organic group for R 11 to R 14 include an alkyl group, a cycloalkyl group, an aryl group, an alkyloxycarbonyl group, a cycloalkyloxycarbonyl group, an aryloxycarbonyl group, an alkylaminocarbonyl group, and a cycloalkylaminocarbonyl.
  • Group, an arylaminocarbonyl group, and the like, and these groups may further have a substituent.
  • the hydrophobic resin (E) is preferably a resin having a repeating unit having a CH 3 partial structure in the side chain portion, and as such a repeating unit, a repeating unit represented by the following general formula (II), and It is more preferable to have at least one repeating unit (x) among repeating units represented by the following general formula (III).
  • X b1 represents a hydrogen atom, an alkyl group, a cyano group or a halogen atom
  • R 2 has one or more CH 3 partial structure represents a stable organic radical to acid.
  • the alkyl group of Xb1 preferably has 1 to 4 carbon atoms, and examples thereof include a methyl group, an ethyl group, a propyl group, a hydroxymethyl group, and a trifluoromethyl group, and a methyl group is preferable.
  • X b1 is preferably a hydrogen atom or a methyl group.
  • R 2 include an alkyl group, a cycloalkyl group, an alkenyl group, a cycloalkenyl group, an aryl group, and an aralkyl group having one or more CH 3 partial structures.
  • R 2 is preferably an alkyl group or an alkyl-substituted cycloalkyl group having one or more CH 3 partial structures.
  • the acid-stable organic group having one or more CH 3 partial structures as R 2 preferably has 2 or more and 10 or less CH 3 partial structures, and more preferably 2 or more and 8 or less.
  • Preferred specific examples of the repeating unit represented by the general formula (II) are shown below. Note that the present invention is not limited to this.
  • the repeating unit represented by the general formula (II) is preferably an acid-stable (non-acid-decomposable) repeating unit, and specifically, a group that decomposes by the action of an acid to generate a polar group. It is preferable that it is a repeating unit which does not have.
  • the repeating unit represented by formula (III) will be described in detail.
  • X b2 represents a hydrogen atom, an alkyl group, a cyano group, or a halogen atom
  • R 3 represents an acid-stable organic group having one or more CH 3 partial structures
  • n represents an integer of 1 to 5.
  • the alkyl group of Xb2 is preferably an alkyl group having 1 to 4 carbon atoms, and examples thereof include a methyl group, an ethyl group, a propyl group, a hydroxymethyl group, and a trifluoromethyl group, and a hydrogen atom is preferable.
  • X b2 is preferably a hydrogen atom. Since R 3 is an organic group that is stable against acid, more specifically, R 3 is preferably an organic group that does not have an “acid-decomposable group” described later.
  • R 3 includes an alkyl group having one or more CH 3 partial structures.
  • the acid-stable organic group having one or more CH 3 partial structures as R 3 preferably has 1 or more and 10 or less CH 3 partial structures, more preferably 1 or more and 8 or less, More preferably, it is 1 or more and 4 or less.
  • n represents an integer of 1 to 5, more preferably an integer of 1 to 3, and still more preferably 1 or 2.
  • the repeating unit represented by the general formula (III) is preferably an acid-stable (non-acid-decomposable) repeating unit, and specifically, a group that decomposes by the action of an acid to generate a polar group. It is preferable that it is a repeating unit which does not have.
  • the repeating unit represented by the general formula (II) contains a CH 3 partial structure in the side chain portion, and particularly when it does not have a fluorine atom and a silicon atom
  • the repeating unit represented by the general formula (II) and
  • the content of at least one repeating unit (x) among the repeating units represented by the general formula (III) is preferably 90 mol% or more based on all repeating units of the hydrophobic resin (E). More preferably, it is 95 mol% or more.
  • the content of the repeating unit (x) is usually 100 mol% or less with respect to all the repeating units of the hydrophobic resin (E).
  • the hydrophobic resin (E) comprises at least one repeating unit (x) among the repeating unit represented by the general formula (II) and the repeating unit represented by the general formula (III). ),
  • the surface free energy of the hydrophobic resin (E) is increased.
  • the hydrophobic resin (E) is less likely to be unevenly distributed on the surface of the resist film, and it is possible to improve the static / dynamic contact angle of the resist film with respect to water, thereby improving the immersion liquid followability. it can.
  • the hydrophobic resin (E) includes the following (x) to (z) both when (i) contains a fluorine atom and / or a silicon atom, and (ii) contains a CH 3 partial structure in the side chain portion. ) At least one group selected from the group.
  • Examples of the acid group (x) include a phenolic hydroxyl group, a carboxylic acid group, a fluorinated alcohol group, a sulfonic acid group, a sulfonamide group, a sulfonylimide group, an (alkylsulfonyl) (alkylcarbonyl) methylene group, and an (alkylsulfonyl) (alkyl Carbonyl) imide group, bis (alkylcarbonyl) methylene group, bis (alkylcarbonyl) imide group, bis (alkylsulfonyl) methylene group, bis (alkylsulfonyl) imide group, tris (alkylcarbonyl) methylene group, tris (alkylsulfonyl) A methylene group etc. are mentioned.
  • Preferred acid groups include fluorinated alcohol groups (preferably hexafluoroisopropanol groups), sulfonimide groups,
  • the repeating unit having an acid group (x) includes a repeating unit in which an acid group is directly bonded to the main chain of the resin, such as a repeating unit of acrylic acid or methacrylic acid, or a resin having a linking group. Examples include a repeating unit in which an acid group is bonded to the main chain, and a polymerization initiator or chain transfer agent having an acid group can be introduced at the end of the polymer chain at the time of polymerization. preferable.
  • the repeating unit having an acid group (x) may have at least one of a fluorine atom and a silicon atom.
  • the content of the repeating unit having an acid group (x) is preferably from 1 to 50 mol%, more preferably from 3 to 35 mol%, still more preferably from 5 to 5%, based on all repeating units in the hydrophobic resin (E). 20 mol%.
  • Specific examples of the repeating unit having an acid group (x) are shown below, but the present invention is not limited thereto.
  • Rx represents a hydrogen atom, CH 3 , CF 3 , or CH 2 OH.
  • the group having a lactone structure As the group having a lactone structure, the acid anhydride group, or the acid imide group (y), a group having a lactone structure is particularly preferable.
  • the repeating unit containing these groups is a repeating unit in which this group is directly bonded to the main chain of the resin, such as a repeating unit of acrylic acid ester and methacrylic acid ester.
  • this repeating unit may be a repeating unit in which this group is bonded to the main chain of the resin via a linking group.
  • this repeating unit may be introduce
  • the content of the repeating unit having a group having a lactone structure, an acid anhydride group, or an acid imide group (y) is 1 to 100 mol% based on all repeating units in the hydrophobic resin (E). Is preferable, more preferably 3 to 98 mol%, still more preferably 5 to 95 mol%.
  • the group (z) decomposing by the action of acid in the repeating unit having the group (z) decomposing by the action of acid decomposes by the action of the acid to generate an alkali-soluble group. It is preferably a group.
  • Alkali-soluble groups include phenolic hydroxyl groups, carboxyl groups, fluorinated alcohol groups, sulfonic acid groups, sulfonamido groups, sulfonylimide groups, (alkylsulfonyl) (alkylcarbonyl) methylene groups, (alkylsulfonyl) (alkylcarbonyl) imides.
  • alkali-soluble groups include phenolic hydroxyl groups, carboxyl groups, fluorinated alcohol groups (preferably hexafluoroisopropanol), and sulfonic acid groups.
  • a group preferable as a group capable of decomposing by the action of an acid is a group obtained by substituting a hydrogen atom of these alkali-soluble groups with a group capable of leaving with an acid.
  • Examples of the group capable of leaving with an acid include —C (R 36 ) (R 37 ) (R 38 ), —C (R 01 ) (R 02 ) (OR 39 ), —C ( ⁇ O) —O—.
  • R 36 to R 39 each independently represents an alkyl group, a monovalent aliphatic hydrocarbon ring group, a monovalent aromatic ring group, a group in which an alkylene group and a monovalent aromatic ring group are combined, or an alkenyl group.
  • R 36 and R 37 may be bonded to each other to form a ring.
  • R 01 to R 02 each independently represents a hydrogen atom, an alkyl group, a monovalent aliphatic hydrocarbon ring group, a monovalent aromatic ring group, a group in which an alkylene group and a monovalent aromatic ring group are combined, or an alkenyl group Represents.
  • Ar represents a monovalent aromatic ring group.
  • the alkyl group of R 36 to R 39 , R 01 and R 02 is preferably an alkyl group having 1 to 8 carbon atoms, for example, methyl group, ethyl group, propyl group, n-butyl group, sec-butyl group, hexyl Group, octyl group and the like.
  • the monovalent aliphatic hydrocarbon ring group represented by R 36 to R 39 , R 01 and R 02 may be monocyclic or polycyclic.
  • the monocyclic type is preferably an aliphatic hydrocarbon ring group having 3 to 8 carbon atoms, and examples thereof include a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, and a cyclooctyl group.
  • the polycyclic type an aliphatic hydrocarbon ring group having 6 to 20 carbon atoms is preferable.
  • an adamantyl group, norbornyl group, isobornyl group, camphanyl group, dicyclopentyl group, ⁇ -pinel group, tricyclodecanyl group, A tetracyclododecyl group, an androstanyl group, etc. can be mentioned.
  • a part of carbon atoms in the aliphatic hydrocarbon ring group may be substituted with a hetero atom such as an oxygen atom.
  • the monovalent aromatic ring group of R 36 to R 39 , R 01, R 02 and Ar is preferably a monovalent aromatic ring group having 6 to 10 carbon atoms, for example, an aryl such as a phenyl group, a naphthyl group or an anthryl group.
  • a divalent aromatic ring group containing a heterocyclic ring such as a group, thiophene, furan, pyrrole, benzothiophene, benzofuran, benzopyrrole, triazine, imidazole, benzimidazole, triazole, thiadiazole, thiazole.
  • the group in which the alkylene group of R 36 to R 39 , R 01 and R 02 and the monovalent aromatic ring group are combined is preferably an aralkyl group having 7 to 12 carbon atoms, such as a benzyl group, a phenethyl group, or a naphthylmethyl group.
  • the alkenyl group of R 36 to R 39 , R 01 and R 02 is preferably an alkenyl group having 2 to 8 carbon atoms, and examples thereof include a vinyl group, an allyl group, a butenyl group, and a cyclohexenyl group.
  • the ring formed by combining R 36 and R 37 with each other may be monocyclic or polycyclic.
  • the monocyclic type is preferably an aliphatic hydrocarbon ring structure having 3 to 8 carbon atoms, and examples thereof include a cyclopropane structure, a cyclobutane structure, a cyclopentane structure, a cyclohexane structure, a cycloheptane structure, and a cyclooctane structure.
  • a cyclopropane structure a cyclobutane structure
  • a cyclopentane structure a cyclohexane structure
  • a cycloheptane structure a cyclooctane structure.
  • Can do an aliphatic hydrocarbon ring structure having 6 to 20 carbon atoms is preferable, and examples thereof include an adamantane structure, a norbornane structure, a dicyclopentane structure, a tricyclodecane structure, and a tetracyclododecane structure.
  • Each of the groups as R 36 to R 39 , R 01 , R 02 , and Ar may have a substituent.
  • substituents include an alkyl group and a monovalent aliphatic hydrocarbon ring group. , Aryl group, amino group, amide group, ureido group, urethane group, hydroxyl group, carboxyl group, halogen atom, alkoxy group, thioether group, acyl group, acyloxy group, alkoxycarbonyl group, cyano group, nitro group, etc.
  • the number of carbon atoms of the substituent is preferably 8 or less.
  • the repeating unit having a group (z) that is decomposed by the action of an acid may have at least one of a fluorine atom and a silicon atom.
  • the content of the repeating unit having a group (z) that is decomposed by the action of an acid is preferably 1 to 80 mol% with respect to all the repeating units in the hydrophobic resin (E). More preferably, it is 10 to 80 mol%, and still more preferably 20 to 60 mol%.
  • the hydrophobic resin (E) may further have a repeating unit different from the above-described repeating unit.
  • the repeating unit containing a fluorine atom is preferably 10 to 100 mol%, more preferably 30 to 100 mol%, based on all repeating units contained in the hydrophobic resin (E). Further, the repeating unit containing a silicon atom is preferably 10 to 100 mol%, more preferably 20 to 100 mol% in all repeating units contained in the hydrophobic resin (E).
  • hydrophobic resin (E) contains a CH 3 partial structure in the side chain portion
  • a mode in which the hydrophobic resin (E) does not substantially contain a fluorine atom and a silicon atom is also preferable.
  • hydrophobic resin (E) is substantially comprised only by the repeating unit comprised only by the atom chosen from a carbon atom, an oxygen atom, a hydrogen atom, a nitrogen atom, and a sulfur atom.
  • the weight average molecular weight in terms of standard polystyrene of the hydrophobic resin (E) is preferably 1,000 to 100,000, more preferably 1,000 to 50,000. Moreover, the hydrophobic resin (E) may be used alone or in combination.
  • the content of the hydrophobic resin (E) in the composition is preferably 0.01 to 10% by mass and more preferably 0.05 to 8% by mass with respect to the total solid content in the composition of the present invention.
  • the residual monomer or oligomer component is preferably 0.01 to 5% by mass, more preferably 0.01 to 3% by mass.
  • the molecular weight distribution (Mw / Mn, also referred to as dispersity) is preferably in the range of 1 to 5, more preferably in the range of 1 to 3.
  • hydrophobic resin (E) various commercially available products can be used, and the hydrophobic resin (E) can be synthesized according to a conventional method (for example, radical polymerization).
  • the actinic ray-sensitive or radiation-sensitive resin composition of the present invention may further contain a surfactant in order to improve coatability.
  • surfactants include, but are not limited to, polyoxyethylene alkyl ethers, polyoxyethylene alkyl allyl ethers, polyoxyethylene polyoxypropylene block copolymers, sorbitan fatty acid esters, polyoxyethylene Nonionic surfactants such as sorbitan fatty acid esters, Megafac R08 and Megafac F171 manufactured by DIC Corporation, Florard FC430 (manufactured by Sumitomo 3M), Surfinol E1004 (manufactured by Asahi Glass), PF656 and PF6320 manufactured by OMNOVA And an organosiloxane polymer such as polysiloxane polymer KP-341 (manufactured by Shin-Etsu Chemical Co., Ltd.).
  • the amount of the surfactant used is preferably 0.0001 to 2 mass with respect to the total amount of the composition (excluding the solvent). %, More preferably 0.0005 to 1% by mass.
  • Organic carboxylic acid The actinic ray-sensitive or radiation-sensitive resin composition of the present invention may contain an organic carboxylic acid.
  • organic carboxylic acid compounds include aliphatic carboxylic acid, alicyclic carboxylic acid, unsaturated aliphatic carboxylic acid, oxycarboxylic acid, alkoxycarboxylic acid, ketocarboxylic acid, benzoic acid derivative, phthalic acid, terephthalic acid, isophthalic acid , 2-naphthoic acid, 1-hydroxy-2-naphthoic acid, 2-hydroxy-3-naphthoic acid, and the like, but when electron beam exposure is performed under vacuum, the resist film surface volatilizes and draws.
  • aromatic organic carboxylic acids among which, for example, benzoic acid, 1-hydroxy-2-naphthoic acid and 2-hydroxy-3-naphthoic acid are preferable as they may contaminate the inside of the chamber. .
  • the amount of the organic carboxylic acid is preferably in the range of 0.01 to 10 parts by mass, more preferably 0.01 to 5 parts by mass, and still more preferably 0.01 to 10 parts by mass with respect to 100 parts by mass of the alkali-soluble resin. 3 parts by mass.
  • composition of the present invention may further comprise a dye, a plasticizer, an acid proliferating agent (WO95 / 29968, WO98 / 24000, JP-A-8-305262, No. 9-34106, JP-A-8-248561, JP-A-8-503082, JP-A-5,445,917, JP-A-8-503081, JP-A-5-503081 534,393, US Pat. No. 5,395,736, US Pat. No. 5,741,630, US Pat. No. 5,334,489, US Pat. No. 5,582 No. 956, US Pat. No. 5,578,424, US Pat. No. 5,453,345, US Pat. No.
  • Carboxylic acid onium salt The actinic ray-sensitive or radiation-sensitive resin composition of the present invention may contain a carboxylic acid onium salt.
  • the carboxylic acid onium salt include a carboxylic acid sulfonium salt, a carboxylic acid iodonium salt, and a carboxylic acid ammonium salt.
  • the carboxylic acid onium salt is preferably a carboxylic acid iodonium salt or a carboxylic acid sulfonium salt.
  • it is preferable that the carboxylate residue of the carboxylic acid onium salt does not contain an aromatic group or a carbon-carbon double bond.
  • a particularly preferred anion moiety is a linear, branched, monocyclic or polycyclic alkylcarboxylic acid anion having 1 to 30 carbon atoms. More preferably, an anion of a carboxylic acid in which some or all of these alkyl groups are fluorine-substituted is preferable.
  • the alkyl chain may contain an oxygen atom. This ensures transparency with respect to light of 220 nm or less, improves sensitivity and resolution, and improves density dependency and exposure margin.
  • the actinic ray-sensitive or radiation-sensitive resin composition of the present invention usually contains a solvent.
  • the solvent used in the composition of the present invention include ethylene glycol monoethyl ether acetate, cyclohexanone, 2-heptanone, propylene glycol monomethyl ether (PGME, also known as 1-methoxy-2-propanol), propylene glycol monomethyl ether acetate.
  • PMEA also known as 1-methoxy-2-acetoxypropane
  • propylene glycol monomethyl ether propionate propylene glycol monoethyl ether acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, methyl ⁇ -methoxyisobutyrate, butyric acid Ethyl, propyl butyrate, methyl isobutyl ketone, ethyl acetate, isoamyl acetate, ethyl lactate, methyl 2-hydroxyisobutyrate, butyl propionate, isobutyrate Isobutyl, toluene, xylene, cyclohexyl acetate, diacetone alcohol, N- methylpyrrolidone, N, N- dimethylformamide, .gamma.-butyrolactone, N, N- dimethylacetamide, propylene carbonate, and ethylene carbonate is preferred.
  • the solid content of the actinic ray-sensitive or radiation-sensitive resin composition is dissolved in the above solvent and is dissolved at a solid content concentration of 1 to 40% by mass. More preferably, it is 1 to 30% by mass, and further preferably 3 to 20% by mass.
  • the present invention also relates to a resist film formed by the actinic ray-sensitive or radiation-sensitive resin composition of the present invention.
  • a resist film is applied, for example, on a support such as a substrate. Is formed.
  • the thickness of this resist film is preferably 0.02 to 0.1 ⁇ m.
  • spin coating, roll coating, flow coating, dip coating, spray coating, doctor coating, etc. are applied on the substrate, but spin coating is preferred, and the number of rotations is 1000 to 3000 rpm is preferred.
  • the coating film is prebaked at 60 to 150 ° C. for 1 to 20 minutes, preferably at 80 to 120 ° C. for 1 to 10 minutes to form a thin film.
  • a silicon wafer can be used as the material constituting the substrate to be processed and its outermost layer.
  • the material that becomes the outermost layer include Si, SiO 2 , SiN, SiON, TiN, and WSi. , BPSG, SOG, organic antireflection film, and the like.
  • the present invention also relates to a mask blank provided with the resist film obtained as described above, in other words, a resist-coated mask blank formed with a resist film.
  • the resist-coated mask blank has a mask blank and a resist film formed on the mask blank.
  • Photomask blanks have a substrate and are used, for example, to produce a photomask. Examples of the substrate of the photomask blank include a transparent substrate such as quartz and calcium fluoride. In general, a light shielding film, an antireflection film, a phase shift film, and additional functional films such as an etching stopper film and an etching mask film are laminated on the substrate.
  • a film containing a transition metal such as silicon or chromium, molybdenum, zirconium, tantalum, tungsten, titanium, niobium is laminated.
  • silicon or a material containing oxygen and / or nitrogen in silicon as a main constituent material
  • silicon compound material containing a transition metal-containing material as a main constituent material
  • a transition metal in particular, one or more selected from chromium, molybdenum, zirconium, tantalum, tungsten, titanium, niobium, etc., or a material further containing one or more elements selected from oxygen, nitrogen, and carbon
  • the transition metal compound material is exemplified.
  • the light shielding film may be a single layer, but more preferably has a multilayer structure in which a plurality of materials are applied.
  • the thickness of the film per layer is not particularly limited, but is preferably 5 nm to 100 nm, and more preferably 10 nm to 80 nm.
  • the thickness of the entire light shielding film is not particularly limited, but is preferably 5 nm to 200 nm, and more preferably 10 nm to 150 nm.
  • the resist film is irradiated with actinic rays or radiation (such as an electron beam), and preferably baked (usually 80 to 150 ° C., more preferably 90 to 130 ° C., usually 1 to 20 minutes, more preferably 1 to 10 minutes). After developing, develop. Thereby, a good pattern can be obtained. Then, using this pattern as a mask, etching processing, ion implantation, and the like are performed as appropriate to create a semiconductor microcircuit, an imprint mold structure, a photomask, and the like.
  • actinic rays or radiation such as an electron beam
  • Japanese Patent No. 4109085 Japanese Patent Application Laid-Open No. 2008-162101, and “Nanoimprint Basics and Technology Development / Application Deployment” -Nanoimprint substrate technology and latest technology development-edited by Yoshihiko Hirai (Frontier Publishing) ".
  • the present invention includes (i) a step of forming the resist film, (ii) a step of exposing the resist film, and (iii) developing the exposed resist film using a developer to form a pattern.
  • the present invention also relates to a pattern forming method including a step, for example, a step of exposing a mask blank (resist-coated mask blank) including the resist film, and a pattern obtained by developing the exposed resist-coated mask blank using a developer.
  • the present invention also relates to a pattern forming method including a step of forming the pattern.
  • the wavelength of the light source used in the exposure apparatus is not limited, but examples include infrared light, visible light, ultraviolet light, far ultraviolet light, extreme ultraviolet light (EUV light), X-rays, and electron beams.
  • the light source wavelength is preferably far ultraviolet light with a wavelength of preferably 250 nm or less, more preferably 220 nm or less, and particularly preferably 1 to 200 nm.
  • KrF excimer laser (248 nm), ArF excimer laser (193 nm) examples thereof include F 2 excimer laser (157 nm), X-rays, EUV light (13 nm), and electron beams.
  • the exposure is preferably performed using X-rays, electron beams or EUV light.
  • the exposure (pattern formation step) on the resist film is preferably performed by first exposing the resist film of the present invention in a pattern with an electron beam or extreme ultraviolet light (EUV light). If the exposure amount of the electron beam, usually 0.1 ⁇ 20 [mu] C / cm 2, preferably about 3 to 10 [mu] C / cm 2 or so, if the extreme ultraviolet light, usually 0.1 ⁇ 20 mJ / cm 2, preferably about 3 to It exposes so that it may become about 15 mJ / cm ⁇ 2 >. Next, post-exposure heating (post-exposure baking) is performed on a hot plate at 60 to 150 ° C. for 1 to 20 minutes, preferably 80 to 120 ° C. for 1 to 10 minutes, followed by development, rinsing and drying. Form a pattern.
  • EUV light extreme ultraviolet light
  • an alkali developer or a developer containing an organic solvent (hereinafter also referred to as an organic developer) can be used.
  • the alkali developer include inorganic alkalis such as sodium hydroxide, potassium hydroxide, sodium carbonate, sodium silicate, sodium metasilicate and aqueous ammonia, primary amines such as ethylamine and n-propylamine, diethylamine and Secondary amines such as di-n-butylamine, tertiary amines such as triethylamine and methyldiethylamine, alcohol amines such as dimethylethanolamine and triethanolamine, and fourth amines such as tetramethylammonium hydroxide and tetraethylammonium hydroxide.
  • examples include alkaline aqueous solutions containing quaternary ammonium salts or cyclic amines such as pyrrole and piperidine.
  • the concentration of the alkali developer is usually from 0.1 to 20% by mass.
  • the pH of the alkali developer is usually from 10.0 to 15.0.
  • the developer is preferably 0.1 to 5% by mass, more preferably 2 to 3% by mass aqueous alkaline solution such as tetramethylammonium hydroxide (TMAH) and tetrabutylammonium hydroxide (TBAH), preferably 0.1%.
  • TMAH tetramethylammonium hydroxide
  • TBAH tetrabutylammonium hydroxide
  • the development is performed by a conventional method such as a dip method, a puddle method, or a spray method for ⁇ 3 minutes, more preferably 0.5 to 2 minutes.
  • the pH of the alkali developer is usually from 10.0 to 15.0.
  • an aqueous solution of 2.38% by mass of tetramethylammonium hydroxide is desirable.
  • organic developer polar solvents such as ketone solvents, ester solvents, alcohol solvents, amide solvents, ether solvents, and hydrocarbon solvents can be used.
  • the ester solvent is a solvent having an ester group in the molecule
  • the ketone solvent is a solvent having a ketone group in the molecule
  • the alcohol solvent is alcoholic in the molecule.
  • It is a solvent having a hydroxyl group
  • an amide solvent is a solvent having an amide group in the molecule
  • an ether solvent is a solvent having an ether bond in the molecule.
  • diethylene glycol monomethyl ether corresponds to both alcohol solvents and ether solvents in the above classification.
  • the hydrocarbon solvent is a hydrocarbon solvent having no substituent.
  • a developer containing at least one kind of solvent selected from ketone solvents, ester solvents, alcohol solvents and ether solvents is preferable.
  • solvents described in paragraphs [0025] to [0048] of JP2013-80004A examples include the solvents described in paragraphs [0025] to [0048] of JP2013-80004A.
  • the developer has 7 or more carbon atoms (7 to 14 is preferable, preferably 7 to 14 from the viewpoint that the swelling of the resist film can be suppressed.
  • 7 to 10 are more preferable
  • an ester solvent having 2 or less heteroatoms is an atom other than a carbon atom and a hydrogen atom, and examples thereof include an oxygen atom, a nitrogen atom, and a sulfur atom.
  • the number of heteroatoms is preferably 2 or less.
  • ester solvents having 7 or more carbon atoms and 2 or less heteroatoms include amyl acetate, isoamyl acetate, 2-methylbutyl acetate, 1-methylbutyl acetate, hexyl acetate, pentyl propionate, hexyl propionate, Examples include heptyl propionate, butyl butanoate, butyl propionate and isobutyl isobutyrate, and it is particularly preferable to use isoamyl acetate.
  • the developer is replaced with the above ester solvent having 7 or more carbon atoms and 2 or less hetero atoms.
  • isoamyl acetate is preferably used as the ester solvent.
  • a saturated hydrocarbon solvent for example, octane, nonane, decane, dodecane, undecane, hexadecane, etc.
  • a ketone solvent and a hydrocarbon solvent are used in combination, 2-heptanone is preferably used as the ketone solvent.
  • a saturated hydrocarbon solvent for example, octane, nonane, decane, dodecane, undecane, hexadecane, etc.
  • the content of the hydrocarbon solvent is not particularly limited because it depends on the solvent solubility of the resist film, and the necessary amount may be determined by appropriately adjusting.
  • the organic developer may contain a basic compound.
  • Specific examples and preferred examples of the basic compound that can be contained in the developer used in the present invention are the same as those in the basic compound that can be contained in the actinic ray-sensitive or radiation-sensitive resin composition described above.
  • the water content of the organic developer as a whole is preferably less than 10% by mass, and more preferably substantially free of moisture. That is, the amount of the organic solvent used in the organic developer is preferably 90% by mass or more and 100% by mass or less, and more preferably 95% by mass or more and 100% by mass or less, with respect to the total amount of the developer.
  • An appropriate amount of alcohol and / or surfactant can be added to the developer as necessary.
  • the surfactant is not particularly limited, and for example, ionic or nonionic fluorine-based and / or silicon-based surfactants can be used.
  • fluorine-based and / or silicon-based surfactants for example, JP-A-62-36663, JP-A-61-226746, JP-A-61-226745, JP-A-62-170950 are disclosed.
  • the surfactant is a nonionic surfactant.
  • a fluorochemical surfactant or a silicon-type surfactant is usually used.
  • the amount of the surfactant used is usually from 0.001 to 5% by mass, preferably from 0.005 to 2% by mass, more preferably from 0.01 to 0.5% by mass, based on the total amount of the developer.
  • a developing method for example, a method in which a substrate is immersed in a tank filled with a developer for a certain period of time (dip method), a method in which the developer is raised on the surface of the substrate by surface tension and is left stationary for a certain time (paddle) Method), a method of spraying the developer on the substrate surface (spray method), a method of continuously discharging the developer while scanning the developer discharge nozzle on the substrate rotating at a constant speed (dynamic dispensing method) Etc.
  • dip method a method in which a substrate is immersed in a tank filled with a developer for a certain period of time
  • paddle a method in which the developer is raised on the surface of the substrate by surface tension and is left stationary for a certain time
  • spray method a method of spraying the developer on the substrate surface
  • the discharge pressure of the discharged developer (the flow rate per unit area of the discharged developer) is Preferably it is 2 mL / sec / mm 2 or less, More preferably, it is 1.5 mL / sec / mm 2 or less, More preferably, it is 1 mL / sec / mm 2 or less.
  • the flow rate is no particular lower limit on the flow rate, but 0.2 mL / sec / mm 2 or more is preferable in consideration of throughput.
  • the details of this mechanism are not clear, but perhaps by setting the discharge pressure within the above range, the pressure applied to the resist film by the developer may be reduced, and the resist film / pattern may be cut or collapsed carelessly. This is considered to be suppressed.
  • the developer discharge pressure (mL / sec / mm 2 ) is a value at the developing nozzle outlet in the developing device.
  • Examples of the method for adjusting the discharge pressure of the developer include a method of adjusting the discharge pressure with a pump and the like, and a method of changing the pressure by adjusting the supply condition from the pressurized tank.
  • a step of stopping development may be performed while substituting with another solvent.
  • pure water can be used as the rinsing liquid in the rinsing treatment performed after alkali development and an appropriate amount of a surfactant can be added.
  • the rinse solution contains at least one organic solvent selected from the group consisting of ketone solvents, ester solvents, alcohol solvents, amide solvents, and hydrocarbon solvents. It is preferable to use a rinse solution.
  • the vapor pressure of the rinsing liquid (the vapor pressure as a whole in the case of a mixed solvent) is preferably 0.05 kPa or more and 5 kPa or less, more preferably 0.1 kPa or more and 5 kPa or less, and 0.12 kPa or more at 20 ° C. Most preferably, it is 3 kPa or less.
  • rinsing liquid examples include solvents described in [0049] to [0058] of JP2013-80004A.
  • organic solvent contained in the rinsing liquid when using EUV light (Extreme Ultra Violet) or EB (Electron Beam) in the exposure process, it is preferable to use a hydrocarbon solvent among the above organic solvents, and aliphatic carbonization. It is more preferable to use a hydrogen-based solvent.
  • EUV light Extreme Ultra Violet
  • EB Electro Beam
  • an aliphatic hydrocarbon solvent having 5 or more carbon atoms for example, pentane, hexane, octane, decane, undecane, dodecane, Hexadecane, etc.
  • aliphatic hydrocarbon solvents having 8 or more carbon atoms are preferred
  • aliphatic hydrocarbon solvents having 10 or more carbon atoms are more preferred.
  • the upper limit of the carbon atom number of the said aliphatic hydrocarbon solvent is not specifically limited, For example, 16 or less is mentioned, 14 or less is preferable and 12 or less is more preferable.
  • the aliphatic hydrocarbon solvents decane, undecane, and dodecane are particularly preferable, and undecane is most preferable.
  • a plurality of organic solvents may be mixed, or may be used by mixing with an organic solvent other than the above.
  • the solvent may be mixed with water, but the water content in the rinsing liquid is usually 60% by mass or less, preferably 30% by mass or less, more preferably 10% by mass or less, and most preferably 5% by mass or less. is there.
  • a favorable rinse characteristic can be acquired by making a moisture content into 60 mass% or less.
  • the rinse liquid contains a surfactant.
  • a surfactant those similar to the surfactant used in the actinic ray-sensitive or radiation-sensitive resin composition can be used.
  • the content of the surfactant is usually 0.001 to 5% by mass, preferably 0.005 to 2% by mass, and more preferably 0.01 to 0.5% by mass with respect to the total mass of the rinse liquid. .
  • the resist film in the unexposed part is dissolved, and the exposed part is hardly dissolved in the developer because the polymer compound is crosslinked, and the object is formed on the substrate. Pattern is formed.
  • a top coat may be formed on the upper layer of the resist film. It is preferable that the top coat is not mixed with the resist film and can be uniformly applied to the upper layer of the resist film.
  • the topcoat is not particularly limited, and a conventionally known topcoat can be formed by a conventionally known method. For example, based on the description in paragraphs ⁇ 0072> to ⁇ 0082> of JP-A-2014-059543 Can be formed.
  • a developer containing an organic solvent in the development step for example, it is preferable to form a topcoat containing a basic compound on the resist film as described in JP2013-61648A, for example. .
  • the pattern forming method of the present invention can also be used for guide pattern formation in DSA (Directed Self-Assembly) (see, for example, ACS Nano Vol. 4 No. 8 Pages 4815-4823). Further, the resist pattern formed by the above method can be used as a core material (core) of a spacer process disclosed in, for example, JP-A-3-270227 and JP-A-2013-164509.
  • DSA Directed Self-Assembly
  • the resist pattern formed by the above method can be used as a core material (core) of a spacer process disclosed in, for example, JP-A-3-270227 and JP-A-2013-164509.
  • the present invention also relates to a photomask obtained by exposing and developing the resist-coated mask blank. The steps described above are applied as exposure and development.
  • the photomask is suitably used for semiconductor manufacturing.
  • the photomask in the present invention may be a light transmission type mask used in ArF excimer laser or the like, or a light reflection type mask used in reflection lithography using EUV light as a light source.
  • the present invention also relates to an electronic device manufacturing method including the pattern forming method described above, and an electronic device manufactured by the manufacturing method.
  • the electronic device of the present invention is suitably mounted on electrical and electronic equipment (home appliances, OA / media related equipment, optical equipment, communication equipment, etc.).
  • Crosslinking agent The following compounds were used as the crosslinking agent.
  • W-1 Megafuck R08 (DIC Corporation; fluorine and silicon)
  • W-2 Polysiloxane polymer KP-341 (manufactured by Shin-Etsu Chemical Co., Ltd .; silicon-based)
  • W-3 PF6320 (manufactured by OMNOVA; fluorine-based)
  • This actinic ray-sensitive or radiation-sensitive resin composition solution is applied to a 6-inch wafer on which Cr oxide is deposited using a spin coater Mark8 manufactured by Tokyo Electron (which has been subjected to a shielding film treatment used for ordinary photomask blanks). It was applied and dried on a hot plate at 110 ° C. for 90 seconds to obtain a resist film having a thickness of 100 nm.
  • Example 3 a comparison between Example 3 and Example 18 revealed that the resolution and roughness performance were further improved when the substituent containing the alcoholic hydroxyl group was a hydroxymethyl group (—CH 2 OH). . Further, for example, comparison of Examples 5 to 7 shows that the resolution and roughness performance are further improved as the concentration of the compound (A) increases.
  • Examples 22 to 42, Comparative Examples 5 to 8 (electron beam exposure (organic solvent developing negative))]
  • Preparation and application of coating solution of actinic ray-sensitive or radiation-sensitive resin composition The coating solution composition having the composition shown in Table 3 below is microfiltered with a membrane filter having a pore size of 0.1 ⁇ m and activated.
  • a light-sensitive or radiation-sensitive resin composition (resist composition) solution (solid content concentration: 1.5% by mass) was obtained.
  • the solvent solubility in the actinic ray-sensitive or radiation-sensitive resin composition was evaluated by the same method as described above.
  • This actinic ray-sensitive or radiation-sensitive resin composition solution is uniformly applied on a silicon substrate subjected to hexamethyldisilazane treatment using a spin coater, and heated and dried on a hot plate at 120 ° C. for 90 seconds. A resist film having a thickness of 100 nm was formed.
  • This actinic ray-sensitive or radiation-sensitive resin composition solution is uniformly applied on a silicon substrate subjected to hexamethyldisilazane treatment using a spin coater, and heated and dried on a hot plate at 120 ° C. for 90 seconds. A resist film having a thickness of 100 nm was formed.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

La présente invention concerne une composition de résine sensible à la lumière active ou sensible au rayonnement contenant un composé (A) qui peut être représenté par la formule générale (I), une résine soluble dans les alcalis (B), et un agent de réticulation (C), lequel composé (A) constitue au moins 5 % en masse de la teneur totale en solides de la composition de résine sensible à la lumière active ou sensible au rayonnement ; un film de réserve utilisant celle-ci ; un procédé de formation de motifs ; une ébauche de masque d'application de réserve ; un masque photographique ; un procédé de fabrication d'un dispositif électronique ; et un dispositif électronique. (I) R1 à R15 représentent chacun indépendamment soit un atome d'hydrogène soit un substituant, au moins un élément de R1 à R15 représentant un substituant qui contient un hydroxyle alcoolique. Deux éléments ou plus de R1 à R5 peuvent se lier l'un à l'autre pour former un cycle, comme c'est le cas pour deux éléments ou plus de R6 à R10 et deux éléments ou plus de R11 à R15. X- représente un anion choisi dans le groupe constitué par les anions de sulfonate, les anions de carbonate, les anions de bis(alkylsulfonyl)amide, les anions de tris(alkylsulfonyl)méthide, et les anions d'hexafluorophosphate.
PCT/JP2015/070828 2014-08-25 2015-07-22 Composition de résine sensible à la lumière active ou sensible au rayonnement, film de réserve, procédé de formation de motifs, ébauche de masque d'application de réserve, masque photographique, procédé de fabrication de dispositif électronique, et dispositif électronique WO2016031437A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2016545055A JP6255499B2 (ja) 2014-08-25 2015-07-22 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、レジスト塗布マスクブランクス、及び電子デバイスの製造方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2014-170832 2014-08-25
JP2014170832 2014-08-25
JP2015026283 2015-02-13
JP2015-026283 2015-02-13

Publications (1)

Publication Number Publication Date
WO2016031437A1 true WO2016031437A1 (fr) 2016-03-03

Family

ID=55399338

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2015/070828 WO2016031437A1 (fr) 2014-08-25 2015-07-22 Composition de résine sensible à la lumière active ou sensible au rayonnement, film de réserve, procédé de formation de motifs, ébauche de masque d'application de réserve, masque photographique, procédé de fabrication de dispositif électronique, et dispositif électronique

Country Status (3)

Country Link
JP (1) JP6255499B2 (fr)
TW (1) TW201608335A (fr)
WO (1) WO2016031437A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI764889B (zh) * 2016-03-25 2022-05-21 日商東京應化工業股份有限公司 能量敏感性組合物、硬化物及硬化物之製造方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10096477B2 (en) 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
JP7185684B2 (ja) * 2018-03-27 2022-12-07 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、及び、電子デバイスの製造方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04287047A (ja) * 1991-03-15 1992-10-12 Mitsubishi Electric Corp パターン形成方法およびフォトマスクの製造方法
JPH073116A (ja) * 1993-06-18 1995-01-06 Nippon Oil & Fats Co Ltd 光硬化性樹脂組成物
JPH07261390A (ja) * 1994-03-22 1995-10-13 Nippon Oil & Fats Co Ltd 光硬化性樹脂組成物
JPH1072561A (ja) * 1996-06-11 1998-03-17 Seiko Epson Corp インクジェット記録用インクおよびそれを用いた画像形成方法
JPH1073923A (ja) * 1996-07-05 1998-03-17 Taiyo Ink Mfg Ltd 感光性樹脂組成物及びプリント配線板の製造方法
JP2007232769A (ja) * 2006-02-27 2007-09-13 Fujifilm Corp 感光性組成物及び該感光性組成物を用いたパターン形成方法
JP2011075827A (ja) * 2009-09-30 2011-04-14 Fujifilm Corp 感活性光線性または感放射線性樹脂組成物、及び該組成物を用いたパターン形成方法
JP2011095700A (ja) * 2009-09-30 2011-05-12 Fujifilm Corp 感活性光線性または感放射線性樹脂組成物、及び該感光性組成物を用いたパターン形成方法
JP2013190698A (ja) * 2012-03-14 2013-09-26 Asahi Kasei E-Materials Corp 感光性樹脂組成物、硬化レリーフパターンの製造方法、及び半導体装置
JP2013190696A (ja) * 2012-03-14 2013-09-26 Asahi Kasei E-Materials Corp 感光性樹脂組成物、硬化レリーフパターンの製造方法、及び半導体装置
JP2013190702A (ja) * 2012-03-14 2013-09-26 Asahi Kasei E-Materials Corp 感光性樹脂組成物、硬化レリーフパターンの製造方法、及び半導体装置

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090068596A1 (en) * 2007-08-06 2009-03-12 Ren Yang Negative-tone,Ultraviolet Photoresists for Fabricating High Aspect Ratio Microstructures

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04287047A (ja) * 1991-03-15 1992-10-12 Mitsubishi Electric Corp パターン形成方法およびフォトマスクの製造方法
JPH073116A (ja) * 1993-06-18 1995-01-06 Nippon Oil & Fats Co Ltd 光硬化性樹脂組成物
JPH07261390A (ja) * 1994-03-22 1995-10-13 Nippon Oil & Fats Co Ltd 光硬化性樹脂組成物
JPH1072561A (ja) * 1996-06-11 1998-03-17 Seiko Epson Corp インクジェット記録用インクおよびそれを用いた画像形成方法
JPH1073923A (ja) * 1996-07-05 1998-03-17 Taiyo Ink Mfg Ltd 感光性樹脂組成物及びプリント配線板の製造方法
JP2007232769A (ja) * 2006-02-27 2007-09-13 Fujifilm Corp 感光性組成物及び該感光性組成物を用いたパターン形成方法
JP2011075827A (ja) * 2009-09-30 2011-04-14 Fujifilm Corp 感活性光線性または感放射線性樹脂組成物、及び該組成物を用いたパターン形成方法
JP2011095700A (ja) * 2009-09-30 2011-05-12 Fujifilm Corp 感活性光線性または感放射線性樹脂組成物、及び該感光性組成物を用いたパターン形成方法
JP2013190698A (ja) * 2012-03-14 2013-09-26 Asahi Kasei E-Materials Corp 感光性樹脂組成物、硬化レリーフパターンの製造方法、及び半導体装置
JP2013190696A (ja) * 2012-03-14 2013-09-26 Asahi Kasei E-Materials Corp 感光性樹脂組成物、硬化レリーフパターンの製造方法、及び半導体装置
JP2013190702A (ja) * 2012-03-14 2013-09-26 Asahi Kasei E-Materials Corp 感光性樹脂組成物、硬化レリーフパターンの製造方法、及び半導体装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
DATABASE REGISTRY 29 September 2015 (2015-09-29), retrieved from STN Database accession no. 106220-70-6 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI764889B (zh) * 2016-03-25 2022-05-21 日商東京應化工業股份有限公司 能量敏感性組合物、硬化物及硬化物之製造方法

Also Published As

Publication number Publication date
JPWO2016031437A1 (ja) 2017-04-27
TW201608335A (zh) 2016-03-01
JP6255499B2 (ja) 2017-12-27

Similar Documents

Publication Publication Date Title
JP5919122B2 (ja) 樹脂組成物及びそれを用いたパターン形成方法
EP3106920B1 (fr) Composition de résine active sensible à la lumière ou sensible au rayonnement, film actif sensible à la lumière ou sensible au rayonnement, ébauche de masque doté du film actif sensible à la lumière ou sensible au rayonnement, photomasque, procédé de formation de motif, procédé de fabrication de dispositif électronique et dispositif électronique
JP6088827B2 (ja) ネガ型レジスト組成物、それを用いたレジスト膜及びパターン形成方法、並びにレジスト膜を備えたマスクブランクス
JP5865199B2 (ja) 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、感活性光線性又は感放射線性膜を備えたマスクブランクス、パターン形成方法、及び、フォトマスクの製造方法
JP6267982B2 (ja) 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、感活性光線性又は感放射線性膜を備えたマスクブランクス、パターン形成方法、電子デバイスの製造方法、新規化合物、及び、新規化合物の製造方法
JP2014002359A (ja) 化学増幅型レジスト組成物、ネガ型化学増幅型レジスト組成物、それを用いたレジスト膜、レジスト塗布マスクブランクス、フォトマスク及びパターン形成方法、並びに、電子デバイスの製造方法及び電子デバイス
WO2015151759A1 (fr) Procédé de production d'une composition de résine active sensible à la lumière ou sensible au rayonnement, d'un film actif sensible à la lumière ou sensible au rayonnement, ébauche de masque dotée d'un film actif sensible à la lumière ou sensible au rayonnement, photomasque, procédé de formation de motif, procédé de fabrication d'un dispositif électronique et dispositif électronique
JP2015031796A (ja) パターン形成方法、感活性光線性又は感放射線性樹脂組成物、及び、レジスト膜、並びに、これらを用いた電子デバイスの製造方法、及び、電子デバイス
WO2013146812A1 (fr) Composition sensible à une lumière active ou sensible à un rayonnement et film de résist, ébauche de masque de revêtement de résist, procédé de formation de motif de résist, et photomasque employant cette composition
JP6255499B2 (ja) 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、レジスト塗布マスクブランクス、及び電子デバイスの製造方法
JP6408021B2 (ja) 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、感活性光線性又は感放射線性膜を備えたマスクブランクス、パターン形成方法、及び電子デバイスの製造方法
JP2015031850A (ja) 感活性光線性又は感放射線性樹脂組成物、それを用いたレジスト膜、レジスト塗布マスクブランクス、フォトマスク及びパターン形成方法、並びに、電子デバイスの製造方法及び電子デバイス
JP2014071305A (ja) 感活性光線性又は感放射線性組成物、並びに、それを用いたレジスト膜、マスクブランクス、及びレジストパターン形成方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15835406

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2016545055

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 15835406

Country of ref document: EP

Kind code of ref document: A1