WO2008157345A2 - Compositions et procédés de récupération de plaquette - Google Patents

Compositions et procédés de récupération de plaquette Download PDF

Info

Publication number
WO2008157345A2
WO2008157345A2 PCT/US2008/066906 US2008066906W WO2008157345A2 WO 2008157345 A2 WO2008157345 A2 WO 2008157345A2 US 2008066906 W US2008066906 W US 2008066906W WO 2008157345 A2 WO2008157345 A2 WO 2008157345A2
Authority
WO
WIPO (PCT)
Prior art keywords
microelectronic device
removal composition
removal
composition
acid
Prior art date
Application number
PCT/US2008/066906
Other languages
English (en)
Other versions
WO2008157345A3 (fr
Inventor
Pamela Visintin
Ping Jiang
Michael Korzenski
Mackenzie King
Jianwen Han
Monica Hilgarth
Jun Liu
Renjie Zhou
David Minsek
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Publication of WO2008157345A2 publication Critical patent/WO2008157345A2/fr
Publication of WO2008157345A3 publication Critical patent/WO2008157345A3/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • C11D3/3956Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02079Cleaning for reclaiming
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • C11D2111/22

Definitions

  • the present invention relates to broadly to compositions and processes useful for the removal of material layers, e.g., low-k dielectrics, from a substrate or article having said material thereon, for reclaiming, reworking, recycling and/or reuse of said substrate or article, and to products manufactured using same, and to methods of monitoring and modifying said compositions.
  • material layers e.g., low-k dielectrics
  • Typical low-k materials include carbon doped oxides (CDO) deposited using commercially available precursors such as SiLKTM, AURORATM, CORALTM, or BLACK DIAMONDTM, for example using the proprietary BLACK DIAMONDTM process.
  • CDO's are typically formed using chemical vapor deposition (CVD) processes from organosilane and organosiloxane precursors.
  • CVD carbon doped oxide low-k dielectrics typically consist of a porous, low density material having an overall dielectric constant less than about 3.2 and are used in a variety of semiconductor structures, typically by forming multiple layers of the CDO's within which other semiconductor structures, such as metal interconnect lines and vias, are formed.
  • CDO's may be used as dielectric insulating layers (inter-metal dielectric (IMD) layers), capping layers and/or as gap filling material for certain structures.
  • IMD inter-metal dielectric
  • a microelectronic device wafer for example a silicon semiconductor wafer, must be scrapped and hopefully recycled following the unacceptable processing of a layer during a multi-layer device manufacturing process or qualification process.
  • Any number of processing problems may occur, for example, the non-uniform deposition of a layer or a subsequent etching error.
  • a number of quality control testing methods are performed following selected processing steps whereby the acceptability of the semiconductor wafer may be rejected and "scrapped" for various reasons resulting in a significant non-productive cost.
  • compositions and processes whereby at least one material, e.g., metal stack materials, etch stop layers, photoresist, barrier layers, and/or dielectric layers, including high-k and low-k layers, may be removed from microelectronic device structures for reclaiming, reworking, recycling, and/or reuse of said structures, whereby the compositions and processes are compatible with existing manufacturing processes and components.
  • the underlying device substrate e.g., silicon
  • compositions may be formulated to comply with local environmental requirements.
  • COD chemical oxygen demand
  • a fluoride treatment system may be employed to remove the fluoride from wastewater first, and then the water may be discharged to the environment.
  • an organic disposal system such as an incinerator, may be employed.
  • incineration systems may not accept wastewater samples containing high fluoride concentrations because the fluoride source may damage the incinerator materials of construction.
  • the composition and/or process of using said composition preferably complies with local regulatory standards associated with the disposal of said composition.
  • the present invention broadly relates to compositions useful for the removal of at least one material, e.g., dielectric and/or other material layers, from a microelectronic device structure having said material thereon, for reclaiming, reworking, recycling, and/or reuse of said microelectronic device structure, methods of monitoring, modifying and using said removal compositions, and products or intermediate products manufactured using the same.
  • at least one material e.g., dielectric and/or other material layers
  • a removal composition comprising at least one etchant and water, wherein said composition is further characterized by comprising one of the following components (I) and (II):
  • the removal composition is suitable for removing at least one removable material from a microelectronic device having said material thereon.
  • the removal composition may further comprise an indicator.
  • a method of recycling a microelectronic device structure comprising: contacting a microelectronic device structure comprising a microelectronic device substrate and at least one removable material with a removal composition for sufficient time and under sufficient conditions to substantially remove at least one removable material from the microelectronic device structure to yield a reclaimed microelectronic device substrate.
  • the a removal composition comprising at least one mineral acid, at least one etchant, at least one oxidizing agent, and optionally water, wherein said removal composition is suitable for removing SiC and/or SiC :N from a microelectronic device structure having said material thereon.
  • a process for reducing evaporation of components from a removal composition comprising layering evaporation-reducing materials on a container having said removal composition disposed therein to minimize evaporation, wherein said removal composition is suitable for removing at least one removable material from a microelectronic device structure having said material thereon.
  • kits comprising a base formulation in a first container and at least one additive in a second container, wherein the second container is combined with the first container at the point of use to produce a removal composition that may substantially remove at least one removable material from a microelectronic device structure to yield a recyclable or reusable microelectronic device substrate.
  • Another aspect relates to a method of using an indicator to monitor the change in concentration of at least one component of a removal composition, said method comprising:
  • the first state of the indicator may be colorless, a first color in the visible spectrum, or a first wavelength in the ultraviolet spectrum.
  • the second state of the indicator may be colorless, a second color in the visible spectrum, or a second wavelength in the ultraviolet spectrum, and the first state and the second state are not the same.
  • Yet another aspect relates to a method of removing conductive ink from a microelectronic device structure having same thereon, said method comprising: analyzing the conductive ink to determine the compositional makeup; and combining components to form a removal composition to substantially remove the conductive ink from a microelectronic device structure.
  • Still another aspect relates to a salt bridge comprising a tube, a capillary and electrolytic gel, wherein the tube is cylindrical along one axis, the capillary emerges from a first end of the tube, and a second end of the tube accommodates a reference electrode, and wherein the electrolytic gel fills the capillary and at least a portion of the tube.
  • Figure IA illustrates a salt bridge of the invention having a micropipette tip Luggin capillary.
  • Figure IB illustrates a salt bridge of the invention having a curved Luggin capillary having a uniform inner diameter.
  • Figure 1C illustrates a salt bridge of the invention having a straight Luggin capillary having a uniform inner diameter.
  • Figure 2 illustrates the salt bridge of the invention in use in a corrosion determination experiment.
  • the present invention generally relates to removal compositions and processes useful for the removal of at least one material layer (e.g., dielectric materials (both high-k and low-k), metal stack materials, etch stop layers, barrier layer materials, suicides, ferroelectrics, photoresist, anti-reflective coatings, post-etch residue, etc.), from a microelectronic device structure having said material thereon, for reclaiming, reworking, recycling and/or reuse of said microelectronic device structure. Said reclaiming, reworking, recycling, and/or reuse may be off-site or in-house.
  • material layer e.g., dielectric materials (both high-k and low-k), metal stack materials, etch stop layers, barrier layer materials, suicides, ferroelectrics, photoresist, anti-reflective coatings, post-etch residue, etc.
  • Said reclaiming, reworking, recycling, and/or reuse may be off-site or in-house.
  • Microelectronic device corresponds to semiconductor substrates, solar cells (photovoltaics), flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications.
  • MEMS microelectromechanical systems
  • microelectronic device “microelectronic substrate” and “microelectronic device structure” are not meant to be limiting in any way and include any substrate or structure that will eventually become a microelectronic device or microelectronic assembly.
  • the microelectronic device can be patterned, blanketed, a control and/or a test device.
  • a "rejected microelectronic device” structure is intended to capture all structures that can be reclaimed, reworked, and/or cleaned according to the methods of the invention.
  • the "microelectronic device structure” includes a “microelectronic device substrate” with at least one material thereon, where the at least one material is compositionally or crystallographically different than the microelectronic device substrate.
  • microelectronic device substrate corresponds to any substrate including, but not limited to: bare silicon; polysilicon; germanium; III/V compounds such as aluminum nitride, gallium nitride, gallium arsenide, indium phosphide; titanites; II/IV compounds; II/VI compounds such as CdSe, CdS, ZnS, ZnSe and CdTe; silicon carbide; sapphire; silicon on sapphire; carbon; doped glass; undoped glass; diamond; GeAsSe glass; poly-crystalline silicon (doped or undoped); mono-crystalline silicon (doped or undoped); amorphous silicon, copper indium (gallium) diselenide; and combinations thereof.
  • the “material” or “material layer(s)” may include, but is/are not limited to, at least one substance selected from the group consisting of doped epitaxial silicon, undoped epitaxial silicon, post-etch residue, low-k dielectric, a high-k dielectric, an etch stop material, a metal stack material, a barrier layer material, a ferroelectric, a suicide, a nitride, an oxide, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof.
  • BARC bottom anti-reflective coating
  • SARC sacrificial anti-reflective coating
  • At least one of the material layers may be doped with at least one ion-implanted ion such as boron, phosphorus and arsenic.
  • ion-implanted ion such as boron, phosphorus and arsenic.
  • “miscellaneous materials” include molybdenum-containing materials, lanthanum-containing materials, rhodium-containing materials, manganese-containing materials such as MnO x , carbon nanotubes, SrTiO 3 , ZrO 2 , YVO 4 , LiNbO 3 , TeO 3 , and combinations thereof. [0029] As used herein, "about” is intended to correspond to ⁇ 5 % of the stated value.
  • the term "semi-aqueous” refers to a mixture of water and organic components.
  • the semi-aqueous removal compositions must not substantially damage the layer to be retained located adjacent to the material to be removed using said composition.
  • the "retained layers” may consist of just the microelectronic device substrate (with the doped or undoped epitaxial silicon layer if originally deposited thereon).
  • "retained layers” may include the microelectronic device substrate as well as at least one material selected from the group consisting of the doped epitaxial silicon, undoped epitaxial silicon, low-k dielectric, a high-k dielectric, an etch stop material, a metal stack material, a barrier layer material, doped regions, a ferroelectric, a suicide, a nitride, an oxide, miscellaneous materials, and combinations thereof.
  • “Not substantially damaging] the layer to be retained located adjacent to the material removed” means that less than 100 A of retained layers are removed, more preferably less than 50 A, even more preferably less than 20 A, even more preferably less than 10 A, and most preferred less than 1 A of the retained layers are removed using the compositions of the invention. It is to be understood by one skilled in the art that a "layer” may be a blanketed layer or a patterned layer.
  • the "removable materials/layers” are selected from the group consisting of post-etch residue, low-k dielectric, a high-k dielectric, an etch stop material, a metal stack material, a barrier layer material, a ferroelectric, a suicide, a nitride, an oxide, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions (not including the doped epitaxial layer), and combinations thereof.
  • low-k dielectric material corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 4.0.
  • the low-k dielectric material includes low-polarity materials such as silicon oxide, silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), and carbon-doped oxide (CDO) glass.
  • low-k dielectric material further includes silicon nitride materials. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • metal stack materials and “metals” correspond to: tantalum, tantalum nitride, titanium nitride, titanium, nickel, cobalt, tungsten, and suicides thereof; copper-containing layers; aluminum-containing layers; Al/Cu layers; alloys of Al; alloys of Cu; cobalt-containing layers such as CoWP and CoWBP; gold-containing layers; Au/Pt layers; hafnium oxides; hafnium oxysilicates; zirconium oxides; lanthanide oxides; titanates; nitrogen-doped analogues thereof; ruthenium; iridium; cadmium; lead; selenium; silver; MoTa; and combinations and salts thereof on the microelectronic device.
  • high-k dielectric materials correspond to: hafnium oxides (e.g., HfO 2 ); zirconium oxides (e.g., ZrO 2 ); hafnium oxysilicates; hafnium silicates; zirconium silicates; titanium silicates; aluminum oxides; lanthanum-doped analogous thereof (e.g., LaAlOs); aluminum silicates; titanates (e.g., Ta 2 O 5 ); oxides and nitrides of hafnium and silicon (e.g., HfSiON); lanthanum-doped analogues thereof (e.g., HFSiON (La)); barium strontium titanate (BST); oxides of hafnium and aluminum (e.g., Hf x ALO 2 ); strontium titanate (SrTiOs); barium titatnate (BaTiOs); and combinations thereof
  • barrier layer material corresponds to any material used in the art to seal the metal lines, e.g., copper interconnects, to minimize the diffusion of said metal, e.g. copper, into the dielectric material.
  • Preferred barrier layer materials include silicon-rich nitrides, silicon-rich oxynitrides, tantalum, titanium, ruthenium, hafnium, tungsten, and other refractory metals and their nitrides and suicides.
  • ferroelectrics include, but are not limited to: barium titanate (BaTiO 3 ); lead titanate (PbTiO 3 ); lead zirconate titanate (PZT); lead lanthanum zirconate titanate (PLZT); lead magnesium niobate (PMN); Potassium Niobate (KNbO 3 ); Potassium Sodium Niobate (K x Na Lx NbO 3 ); Potassium Tantalate Niobate (K(Ta x Nb Lx )O 3 ); Lead niobate (PbNb 2 O 6 ); bismuth titanate (Bi 4 Ti 3 Oi 2 ); lead bismuth niobate (PbBi 2 Nb 2 O 9 ); lithium niobate (LiNbO 3 ); lithium tantalate (LiTaO 3 ); strontium bismuth tantalate; strontium bismuth tantalate; strontium bismuth tanta
  • etch stop layers include silicon carbide (SiC), silicon carbon nitride (SiCN), silicon carbon oxide (SiCO), silicon oxynitride (SiON), copper, silicon germanium (SiGe), SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs, and combinations and salts thereof.
  • polymer-containing buildup corresponds to the material that builds up on the backside and the bevel edge of the microelectronic device substrate during manufacturing and includes any of the materials deposited on the microelectronic device to that point including, but not limited to, low-k dielectric, a high-k dielectric, etch stop material, metal stack material, barrier layer material, ferroelectrics, suicides, nitrides, oxides, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), miscellaneous materials, dopants, and combinations thereof.
  • BARC bottom anti-reflective coating
  • SARC sacrificial anti-reflective coating
  • reclaiming the microelectronic device structure corresponds to the substantial removal of at least one material adjacent to a layer(s) to be retained without substantially damaging the layer(s) to be retained, wherein said material(s) to be removed include, but are not limited to, post-etch residue, etch stop-layers, metal stack materials, barrier layer materials, ferroelectrics, suicides, nitrides, oxides, dielectrics (low-k and/or high-k), polymer-containing buildup, doped regions (not including the doped epitaxial layer), and combinations thereof.
  • the layer(s) to be retained are selected from the group consisting of a microelectronic device substrate, doped epitaxial silicon, undoped epitaxial silicon, etch stop-layers, metal stack materials, barrier layer materials, ferroelectrics, suicides, nitrides, dielectrics (low-k and/or high-k), doped regions, and combinations thereof. Reclaiming may be performed off-site or in-house. It is to be appreciated that the material to be removed and the layer to be retained cannot be the same substance. For example, the material to be removed may include low-k dielectric material and the layer to be retained may be the microelectronic device substrate. It is to be appreciated that one skilled in the art, using this disclosure, can determine which composition and process may be use to remove specific materials while retaining specific layers.
  • substantially removal or “substantially remove” correspond to the removal of at least 90 wt.% of the material(s) desired to be removed, more preferably, at least 95 wt.%, even more preferably, at least 97 wt.%, even more preferably, at least 98 wt.%, and most preferably at least 99 wt.%.
  • "reworking" the microelectronic device structure corresponds to the substantial removal of at least one of photoresist material, anti-reflective coating (ARC), polymer- containing buildup, post-etch residue, electroplated copper, and combinations thereof, subsequent to lithographic development and failure of a quality control test.
  • ARC anti-reflective coating
  • reworking includes the removal of polymer-containing buildup on the backside and/or bevel edge of the microelectronic device structure. Reworking may be performed off-site or in-house. Subsequent to reworking, the microelectronic device structure may be recoated, baked, and re-patterned according to photolithographic techniques known in the art.
  • an "endpoint" corresponds to a range whereby the removal composition is no longer efficiently and productively removing the removable materials from the rejected microelectronic device.
  • the endpoint can be the result of many different factors including, but not limited to, a saturated (e.g., loaded) removal composition, and/or the exhaustion of one or more components of the removal composition.
  • recycling is defined as reclaiming and reusing or reworking and reusing the retained layers of the microelectronic device subsequent to material removal as described herein.
  • the recycled microelectronic device may be reintroduced into the fabrication processing stream, may be used as a control or test device, or may be used in an unrelated process.
  • substantially elimination of pitting refers to a decrease in pitting relative to that typically observed using other removal compositions.
  • the extent of pitting is less than 10 % of what is observed using other removal compositions, more preferably less than 5%, and most preferably less than 2 %.
  • the microelectronic device structure to be reclaimed includes a substrate selected from the group consisting of bare silicon; polysilicon; germanium; III/V compounds such as gallium nitride, gallium arsenide, indium phosphide; titanites; II/IV compounds; II/VI compounds such as CdSe, CdS, ZnS, ZnSe and CdTe; silicon carbide; sapphire; silicon on sapphire; carbon; doped glass; undoped glass; diamond; GeAsSe glass; and combinations thereof, and can be any diameter or thickness conventionally used in the art.
  • substrate diameters conventionally used in the art include 200 mm, 300 mm, 4 inch, 6 inch, and in the future 450 mm.
  • a 300 mm substrate has a thickness of 750 ⁇ m, and the thickness of the remaining substrates is directly proportional to the diameter relative to the 300 mm substrate.
  • the requirements of a successful reclamation include, but are not limited to, zero or negligible front-side, bevel edge, and/or backside silicon pitting; less than 25 particles at 0.25 ⁇ m, less than 50 particles at 0.12 ⁇ m, or less than 100 particles at 0.09 ⁇ m, a total thickness variation (TTV) of less than about 5 ⁇ m, a surface metal contamination of less than 1 x 10 10 atoms cm "2 ; and/or the thickness of a reclaimed substrate (devoid of any other retained layers) is within 5 %, preferably within 2%, and most preferably within 1%, of the thickness of the original substrate.
  • TTV total thickness variation
  • total thickness variation corresponds to the absolute difference between the maximum and the minimum thickness of a microelectronic device wafer as determined using a thickness scan or series of point thickness measurements known in the art.
  • the requirements of a successful wafer rework include, but are not limited to, the substantial removal of photoresist, polymeric-containing buildup, and/or electroplated copper from the outermost edge and backside of the device substrate without substantial damage to the layer(s) to be retained, which reduces particle and metal contamination during subsequent processing.
  • compositions may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • removal compositions useful in removing one or more of post-etch residue, low-k dielectric, high-k dielectric, barrier layer material, ferroelectrics, nitrides, suicides, oxides, photoresist, polymer-containing material, ARC material, doped regions and/or miscellaneous materials from the surface of a microelectronic device structure for reclaiming or reworking of said microelectronic device substrate are described, and methods of making and using the same.
  • the removal compositions of the first aspect will also usefully remove SiCN.
  • the compositions of the first aspect may comprise, consist of or consist essentially of an etchant source, wherein the etchant source is preferably a fluoride source such as hydrofluoric acid (HF).
  • the compositions may comprise, consist of, or consist essentially of at least one amine species, at least one etchant, optionally at least one organic solvent, optionally at least one additional acid species, optionally at least one chelating agent, and optionally water, present in the following ranges, based on the total weight of the composition: component % by weight amine(s) about 0.1% to about 70.0% etchant(s) about 0.01% to about 70.0% optional organic solvent(s) 0 to about 80.0% optional additional acid(s) 0 to about 80% optional chelating agent(s) O to about 10% optional water 0 to about 90%
  • amine(s), etchant source(s), optional organic solvent(s), optional additional acid(s), optional chelating agent(s), and optional water in relation to each other, may be suitably varied to provide the desired removal action of the composition for the material(s) to be removed and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • compositions of the first aspect have a pH value in a range from about 1 to about 7, more preferably about 2.5 to about 4.5, most preferably about 3 to about 3.5, when diluted 20:1 with deionized water.
  • the etchant may include, but is not limited to, fluorides, amines, and/or hydroxide salts including at least one of: hydrogen fluoride (HF); xenon difluoride (XeF 2 ); ammonium fluoride (NH 4 F); tetraalkylammonium fluoride (NR 4 F); alkyl hydrogen fluoride (NRH 3 F); ammonium hydrogen bifluoride (NH 5 F 2 ); dialkylammonium hydrogen fluoride (NR 2 H 2 F); trialkylammonium hydrogen fluoride (NR 3 HF); trialkylammonium trihydrogen fluoride (NR 3 :3HF); anhydrous hydrogen fluoride pyridine complex; anhydrous hydrogen fluoride triethylamine complex; amine hydrogen fluoride complexes, where R may be the same as or different from one another and is selected from the group consisting of straight-chained or branched Ci-C 6 alkyl groups (e.g., methyl
  • the etchant may comprise a hydroxide salt including, but not limited to, an alkali hydroxide, an alkaline earth metal hydroxide, a quaternary amine hydroxide, and combinations thereof.
  • the etchant comprises hydrogen fluoride.
  • the amine species may include, but are not limited to, straight-chained or branched Ci-C 20 alkylamines, substituted or unsubstituted C 6 -Ci 0 arylamines, glycolamines, alkanolamines, and amine-N- oxides including, but not limited to, pyridine; 2-ethylpyridine; 2-methoxypyridine and derivatives thereof such as 3-methoxypyridine; 2-picoline; pyridine derivatives; dimethylpyridine; piperidine; piperazine; triethylamine; triethanolamine; ethylamine; methylamine; isobutylamine; tert-butylamine; tributylamine; dipropylamine; dimethylamine; diglycol amine; monoethanolamine; pyrrole; isoxazole; 1,2,4-triazole; bipyridine; pyrimidine; pyrazine; pyridazine; quinoline; isoquino
  • the amine species comprises isoxazole, TAZ, or combinations thereof.
  • the amine species may comprise a combined amine -hydrogen fluoride salt.
  • the removal compositions of the present invention may include at least one amine -hydrogen fluoride salt, optionally at least one organic solvent, optionally at least one organic acid, optionally at least one chelating agent, and optionally water. Amine-hydrogen fluoride salts are non-volatile and as such, changes in the solution pH due to evaporation of the amine species is avoided.
  • Amine-hydrogen fluoride salts contemplated herein include, but are not limited to, any of the above-enumerated amines in combination with HF to form an amine-hydrogen fluoride salt.
  • the amine-hydrogen fluoride salt species when used, comprises isoxazole:HF and/or NMM0:HF. It is to be appreciated that the mole ratio of amine: hydrogen fluoride salt may vary from about 1:1 to about 20:1 depending on the conditions of the reaction and the nature of the low-k dielectric material to be removed.
  • Water may be included in the compositions of the first aspect in part because of its ability to solubilize the fluoride species.
  • the water is deionized.
  • Organic solvents contemplated herein include, but are not limited to, alcohols, ethers, pyrrolidinones, glycols, carboxylic acids, glycol ethers, amines, ketones, aldehydes, alkanes, alkenes, alkynes, and amides, more preferably alcohols, ethers, pyrrolidinones, glycols, carboxylic acids, and glycol ethers such as methanol, ethanol, isopropanol, butanol, and higher alcohols (including diols, triols, etc.), 2,2,3, 3,4,4,5,5-octafluoro-l-pentanol, lH,lH,9H-perfluoro-l-nonanol, perfluoroheptanoic acid, 1 H, 1 H,7H-dodecafluoro- 1 -heptanol, perfluoropentanoic acid, 1 H, 1 H, 8H,
  • the solvent may comprise other amphiphilic species, i.e., species that contain both hydrophilic and hydrophobic moieties similar to surfactants.
  • Hydrophobic properties may generally be imparted by inclusion of a molecular group consisting of hydrocarbon or fluorocarbon groups and the hydrophilic properties may generally be imparted by inclusion of either ionic or uncharged polar functional groups.
  • the organic solvent comprises sulfolane, butyl carbitol, dipropylene glycol propyl ether, or mixtures thereof.
  • the optional additional acid(s) assist in breaking up and solubilizing the cross-linked polymer bonds in the low-k dielectric material.
  • the additional acids may be organic and/or inorganic and include, but are not limited to, boric acid, oxalic acid, succinic acid, citric acid, lactic acid, acetic acid, trifluoroacetic acid, tetrafluoroboric acid, hydrofluoric acid, hydrochloric acid, formic acid, fumaric acid, acrylic acid, malonic acid, maleic acid, malic acid, L-tartaric acid, methyl sulfonic acid, trifluoromethanesulfonic acid, iodic acid, mercaptoacetic acid, thioacetic acid, glycolic acid, sulfuric acid, nitric acid, propynoic acid, pyruvic acid, acetoacetic acid, and combinations thereof.
  • Chelating agent(s) may be added to reduce or eliminate metal contaminating species on the surface of the device during wafer reclamation.
  • Chelating agent(s) contemplated herein include, but are not limited to: ⁇ -diketonate compounds such as acetylacetonate, l,l,l-trifluoro-2,4-pentanedione, and l,l,l,5,5,5-hexafluoro-2,4-pentanedione; carboxylates such as formate and acetate and other long chain carboxylates; and amides (and amines), such as bis(trimethylsilylamide) tetramer.
  • Additional chelating agents include amines and amino acids (i.e. glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, and lysine), citric acid, acetic acid, maleic acid, oxalic acid, malonic acid, succinic acid, phosphonic acid, phosphonic acid derivatives such as hydroxyethylidene diphosphonic acid (HEDP), 1 -hydroxy ethane- 1,1 -diphosphonic acid, nitrilo-tris(methylenephosphonic acid), nitrilotriacetic acid, iminodiacetic acid, etidronic acid, ethylenediamine, ethylenediaminetetraacetic acid (EDTA), and (l,2-cyclohexylenedinitrilo)tetraacetic acid (CDTA), uric acid, tetraglyme, pentamethyldiethylenetriamine (PMDETA), l
  • fluorinated beta- diketone chelating agents can be used in the absence of a base.
  • the chelating agent may be introduced to the composition at the manufacturer, prior to introduction of the composition to the device wafer, or alternatively at the device wafer, i.e., in situ. It is further contemplated that in addition to chelating agent(s), other components may be added to the composition to dilute, maintain and/or increase the concentration of other components in the composition.
  • compositions may optionally include additional components, including active as well as inactive ingredients, e.g., surfactants, rheology agents, stabilizers, passivators, dispersants, pH stabilizing agents, oxidants, etc.
  • active e.g., surfactants, rheology agents, stabilizers, passivators, dispersants, pH stabilizing agents, oxidants, etc.
  • surfactants contemplated include nonionic, anionic, cationic (based on quaternary ammonium cations) and/or zwitterionic surfactants.
  • suitable non-ionic surfactants may include fluoroalkyl surfactants, ethoxylated fluorosurfactants, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, and alkylphenol polyglycidol ether, as well as combinations comprising at least one of the foregoing.
  • the nonionic surfactant may be an ethoxylated fluorosurfactant such as ZONYL® FSO-100 fluorosurfactant (DuP ont Canada Inc., Mississauga, Ontario, Canada).
  • Anionic surfactants contemplated in the compositions of the present invention include, but are not limited to, fluorosurfactants such as ZONYL® UR and ZONYL® FS-62 (DuPont Canada Inc., Mississauga, Ontario, Canada), sodium alkyl sulfates, ammonium alkyl sulfates, alkyl (Ci 0 -Ci 8 ) carboxylic acid ammonium salts, sodium sulfosuccinates and esters thereof, e.g., dioctyl sodium sulfosuccinate, alkyl (C I0 -C I8 ) sulfonic acid sodium salts, and the di-anionic sulfonate surfactants DowFax (The Dow Chemical Company, Midland, Mich., USA).
  • fluorosurfactants such as ZONYL® UR and ZONYL® FS-62 (DuPont Canada Inc., Mississauga, Ontario, Canada)
  • Cationic surfactants contemplated include alkylammonium salts such as cetyltrimethylammonium bromide (CTAB) and cetyltrimethylammonium hydrogen sulfate.
  • Suitable zwitterionic surfactants include ammonium carboxylates, ammonium sulfates, amine oxides, N-dodecyl-N,N-dimethylbetaine, betaine, sulfobetaine, alkylammoniopropyl sulfate, and the like.
  • the surfactants may include water soluble polymers including, but not limited to, polyethylene glycol (PEG), polyethylene oxide (PEO), polyvinyl pyrrolidone (PVP), cationic polymers, nonionic polymers, anionic polymers, hydroxyethylcellulose (HEC), acrylamide polymers, poly(acrylic acid), carboxymethylcellulose (CMC), sodium carboxymethylcellulose (Na CMC), hydroxypropylmethylcellulose, polyvinylpyrrolidone K30, BIOCARETM polymers, DOWTM latex powders (DLP), ETHOCELTM ethylcellulose polymers, KYTAMERTM PC polymers, METHOCELTM cellulose ethers, POLYOXTM water soluble resins, SoftCATTM polymers, UCARETM polymers, UCONTM fluids, and combinations thereof.
  • PEG polyethylene glycol
  • PEO polyethylene oxide
  • PVP polyvinyl pyrrolidone
  • cationic polymers nonionic polymers
  • the water soluble polymers may be short-chained or long-chained polymers and may be combined with the nonionic, anionic, cationic, and/or zwitterionic surfactants of the invention.
  • surfactants are included in the compositions of the invention, preferably de foaming agents are added in a range from O to 5 wt. %, based on the total weight of the composition.
  • Defoaming agents contemplated include, but are not limited to, fatty acids, alcohols (simple or polyol) and amines such as caprylic acid diglyceride, lecithin, magnesium carbonate, polyethylene homopolymers and oxidised homopolymer M3400 , dimethopolysiloxane -based, silicone -based, AGITANTM, and fatty acid polyether types such as LUMITENTM, oils, and combinations thereof.
  • Specific embodiments of the first aspect of the removal composition may be in concentrated form and include the following, wherein the components may be present in the following ranges, based on the total weight of the composition: component % by weight preferred/% by weight amine(s) about 1% to about 30.0% about 5% to about 20.0% hydrofluoric acid about 5% to about 60.0% about ] [5% to about 30.0% organic solvent(s) about 5% to about 98% about 25% to about 70% additional acid(s) about 5% to about 35% about 10% to about 30% water about 0.01 % to about 50% about 0.01 to about 50%
  • amine-hydrogen fluoride salt about 1% to about 40.0% about 5% to about 30.0% hydrofluoric acid about 0.01% to about 5.0% about 1% to about 32% organic solvent(s) about 40% to about 90% about 50% to about 85% additional acid(s) about 1% to about 20% about 5% to about 20% water about 0.01% to about 50% about 0.01% to about 50%
  • amine-hydrogen fluoride salt about 1% to about 40.0% about 30% to about 35.0% hydrofluoric acid about 0.01% to about 5.0% about 1% to about 2% organic solvent(s) about 45% to about 99% about 55% to about 70% water about 0.01% to about 25% about 0.01% to about 25%
  • component % by weight preferred/% by weight amine about 1% to about 60% about 20% to about 40% hydrofluoric acid about 40% to about 99% about 35% to about 45% water about 0.01% to about about 0.01% to about
  • component % by weight preferred/% by weight amine about 0.1 to about 50% about 5% to about 35% hydrofluoric acid about 10% to about 75% about 15% to about 70% water about 0.01% to about 90% about 0.01% to about 90%
  • the removal composition of the first aspect contains less than 30 wt. %, preferably less than 10 wt%, more preferably less than 2wt%, even more preferably less than 1 wt% and most preferred is devoid of tetrahydrofurfuryl alcohol.
  • the removal composition of the first aspect may comprise, consist of, or consist essentially of any of the foregoing embodiments.
  • the removal composition is used to reclaim the microelectronic device structure. In other words, one removable layer or more than one removable layer may be removed from the microelectronic device structure.
  • the removal composition may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed.
  • the process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure may, but not necessarily, require protecting the front-side of the structure from exposure to the composition.
  • Such a process may include the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray.
  • the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side.
  • the front side of the structure includes patterned and/or blanketed material(s) that should not be exposed to the removal composition of the first aspect when cleaning the backside and/or bevel edge, the front side should be protected.
  • both the front side and the backside/bevel edge is exposed to the removal composition of the first aspect to simultaneously remove material from the front side (e.g., low-k dielectric material, etc.) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).
  • the foregoing embodiments of the removal composition of the first aspect may further include residue material, wherein said residue material includes at least one of the materials removed using said composition.
  • the low-k dielectric material comprises silicon-containing compounds that dissolve in the removal composition.
  • the removal composition includes at least one amine species, hydrofluoric acid, water, material residue, optionally at least one organic solvent, optionally at least one chelating agent, and optionally at least one additional acid species.
  • the removal composition includes at least one amine -hydrogen fluoride salt species, additional hydrofluoric acid, material residue, water, optionally at least one organic solvent, optionally at least one chelating agent, and optionally at least one additional acid species.
  • the removal composition of the first aspect of the invention remains viable for continued/recycled use.
  • the embodiments of the first aspect may be formulated in the following Formulations A-BB, wherein all percentages are by weight, based on the total weight of the formulation:
  • Formulation A Tetrafluoroboric acid 4.7 wt%; Triethanolamine:HF 11.7 wt%; HF 1.7 wt%; Ethylene glycol 39.6 wt%; Sulfolane 10.0 wt%; Butyl carbitol 15.0 wt%; Water 17.3 wt%
  • Formulation B Tetrafluoroboric acid 4.7 wt%; Pyridine:HF 16.0 wt%; HF 1.7 wt%; Ethylene glycol 39.6 wt%; Sulfolane 10.0 wt%; Butyl carbitol 15.0 wt%; Water 13.0 wt%
  • Formulation C Tetrafluoroboric acid 5.9 wt%; Pyridine:HF 8.0 wt%; HF 1.7 wt%; Ethylene glycol 39.6 wt%; Sulfolane 10.0 wt%; Butyl carbitol 19.0 wt%; Water 15.8 wt%
  • Formulation D Acetic acid 17.0 wt%; Pyridine:HF 27.0 wt%; HF 1.2 wt%; Ethylene glycol 27.6 wt%; Sulfolane 10.0 wt%; DMSO 16.0 wt%; Water 1.2 wt%
  • Formulation E Pyridine:HF 32.0 wt%; HF 1.3 wt%; Ethylene glycol 32.4 wt%; Sulfolane 13.0 wt%; DMSO 20.0 wt%; Water 1.3 wt%
  • Formulation F Pyridine:HF 32.0 wt%; Propylene glycol 35.0 wt%; Sulfolane 13.0 wt%; DMSO 20.0 wt%
  • Formulation G Pyridine:HF 31.1 wt%; HF 1.4 wt%; Propylene glycol 34.1 wt%; Sulfolane 12.6 wt%; DMSO 19.4 wt%; Water 1.4 wt%
  • Formulation H Pyridine:HF 32.0 wt%; HF 1.7 wt%; Ethylene glycol 39.6 wt%; Sulfolane 10.0 wt%; DMSO 15.0 wt%; Water 1.7 wt%
  • Formulation I Acetic acid 13.0 wt%; Isoxazole 7.0 wt%; HF 16.2 wt%; Ethylene glycol 22.1 wt%; Sulfolane 10.0 wt%; DMSO 15.0 wt%; Water 16.7 wt%
  • Formulation J Acetic acid 13.0 wt%; 1 ,2,4-Triazole 7.0 wt%; HF 16.2 wt%; Ethylene glycol 22.1 wt%; Sulfolane 10.0 wt%; DMSO 15.0 wt%; Water 16.7 wt%
  • Formulation K Acetic acid 13.0 wt%; Isoxazole 7.0 wt%; HF 16.3 wt%; Ethylene glycol 24.0 wt%; Sulfolane 15.0 wt%; Water 24.7 wt%
  • Formulation L Acetic acid 13.0 wt%; Isoxazole 7.0 wt%; HF 16.3 wt%; Ethylene glycol 24.0 wt%; Sulfolane 10.0 wt%; NMP 13.0 wt%; Water 16.7 wt%
  • Formulation M Acetic acid 13.0 wt%; Isoxazole 7.0 wt%; HF 16.3 wt%; Ethylene glycol 24.0 wt%; Sulfolane 10.0 wt%; Methyl carbitol 13.0 wt%; Water 16.7 wt%
  • Formulation N Acetic acid 13.0 wt%; Isoxazole 7.0 wt%; HF 16.3 wt%; Ethylene glycol 24.0 wt%; Sulfolane 10.0 wt%; Dipropylene glycol methyl ether 13.0 wt%; Water 16.7 wt%
  • Formulation O Acetic acid 15.0 wt%; Isoxazole 9.0 wt%; HF 17.2 wt%; Ethylene glycol 25.9 wt%; Sulfolane 15.0 wt%; Water 17.9 wt%
  • Formulation P Isoxazole 10.3 wt%; HF 20.4 wt%; Ethylene glycol 30.7 wt%; Sulfolane 17.2 wt%; Water 21.4 wt%
  • Formulation Q acetic acid 21.1 wt%; Isoxazole 12.0 wt%; HF 23.0 wt%; Sulfolane 20.0 wt%; Water 23.9 wt%
  • Formulation R acetic acid 18.0 wt%; Isoxazole 10.2 wt%; HF 20.2 wt%; Sulfolane 30.4 wt%; Water 21.2 wt%
  • Formulation S acetic acid 26.4 wt%; Isoxazole 15.0 wt%; HF 28.7 wt%; Water 29.9 wt%
  • Formulation T Isoxazole 15.2 wt%; HF 29.1 wt%; Sulfolane 25.4 wt%; Water 30.3 wt%
  • Formulation U Isoxazole 20.4 wt%; HF 39.0 wt%; Water 40.6 wt% Formulation V: 2-ethylpyridine 20.4 wt%; HF 39.0 wt%; Water 40.6 wt% Formulation W: 2-Methoxypyridine 20.4 wt%; HF 39.0 wt%; Water 40.6 wt% Formulation X: Piperidine 20.4 wt%; HF 39.0 wt%; Water 40.6 wt% Formulation X: Piperidine 20.4 wt%; HF 39.0 wt%; Water
  • Formulation Y NMMO 8.0 wt%; HF 17.6 wt%; Sulfolane 15.0 wt%; Butyl carbitol 33.0 wt%; Water 26.4 wt%
  • Formulation Z 2-Methoxypyridine 7.0 wt%; HF 15.7 wt%; Sulfolane 61.0 wt%; Water 16.3 wt%
  • Formulation AA NMMO 7.0 wt%; HF 15.7 wt%; Water 77.3 wt%
  • Formulation BB NMMO 7.0 wt%; HF 15.7 wt%; Sulfolane 10.0 wt%; Water 67.3 wt%
  • the range of weight percent ratios of the components are: about 0.1:1 to about 10:1 etchant(s) (e.g., HF and/or amine:HF) relative to amine(s), preferably about 1:1 to about 5:1, and most preferably about 2:1 to about 3:1.
  • etchant(s) e.g., HF and/or amine:HF
  • removal compositions useful in removing at least one material selected from the group consisting of post-etch residue, low-k dielectric, high-k dielectric, barrier layer material, ferroelectrics, nitrides, suicides, oxides, photoresist, polymer-containing material, ARC material, doped regions, miscellaneous materials, and combinations thereof from the surface of a microelectronic device structure are described.
  • the removal compositions of the second aspect also usefully remove Al and SiCN.
  • the compositions of the second aspect are substantially devoid of amine species. By reducing the amount of amine present, the overall cost of the removal composition decreases and many supply chain problems are minimized.
  • the removal composition of the second aspect may include at least one etchant source, e.g., a fluoride species such as hydrofluoric acid, and at least one organic solvent.
  • etchant source e.g., a fluoride species such as hydrofluoric acid
  • compositions of the second aspect may comprise, consist of, or consist essentially of at least one etchant, e.g., HF, at least one organic solvent, optionally water, optionally at least one organic acid, and optionally at least one chelating agent, present in the following ranges, based on the total weight of the composition: component % by weight etchant(s) about 0.01% to about 50.0% organic solvent(s) about 20% to about 70.0% optional organic acid(s) 0 to about 80.0% optional chelating agent(s) O to about 10% water 0 to about 80%
  • etchant e.g., HF
  • organic solvent optionally water
  • optionally at least one organic acid optionally at least one organic acid
  • chelating agent present in the following ranges, based on the total weight of the composition: component % by weight etchant(s) about 0.01% to about 50.0% organic solvent(s) about 20% to about 70.0% optional organic acid(s) 0 to about 80.0% optional chel
  • the specific proportions and amounts of etchant source(s), organic solvent(s), optional water, optional organic acid(s), and optional chelating agent(s), in relation to each other, may be suitably varied to provide the desired removal action of the composition for the materials selected from the group consisting of post-etch residue, low-k dielectric, high-k dielectric, barrier layer material, ferroelectrics, nitrides, suicides, oxides, photoresist, polymer-containing material, ARC material, doped regions, miscellaneous materials, and combinations thereof and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • the second aspect includes at least 10 wt % HF, based on the total weight of the composition.
  • the removal composition of the second aspect is devoid of oxidizer and/or carbonate -containing species.
  • the amount of water present in the removal composition of the second aspect is preferably in a range from 10 wt % to 80 wt. %, more preferably 10 wt% to about 75 wt%, based on the total weight of the composition.
  • compositions of the second aspect have a pH value in a range from about 1 to about 7, more preferably about 2.5 to about 4.5, most preferably about 2.8 to about 3.5, when diluted 20:1 with deionized water.
  • the preferred etchant(s), organic solvent(s), optional chelating agent(s), and optional organic acid(s) species were previously introduced hereinabove.
  • the water is deionized.
  • compositions may optionally include additional components, including active as well as inactive ingredients, e.g., surfactants, rheology agents, stabilizers, passivators, chelating agents, dispersants, pH stabilizing agents, oxidants, etc.
  • active e.g., surfactants, rheology agents, stabilizers, passivators, chelating agents, dispersants, pH stabilizing agents, oxidants, etc.
  • surfactants preferably defoaming agents are added in a range from 0 to 5 wt. %, based on the total weight of the composition. The defoaming agents were described in the first aspect.
  • an embodiment of the second aspect may be present in concentrated form and includes the following components present in the following ranges, based on the total weight of the composition: component % by weight preferred % by weight hydrofluoric acid about 5% to about 70% about 15% to about 30% organic solvent(s) about 10% to about 80% about 50% to about 76% water about 0.01% to 80% about 0.01°/ O to about 80%
  • the pH of a 20:1 dilution of the removal composition of the second aspect in deionized water is in a range from about 2.5 to about 4.5.
  • about 0.01 wt. % to about 10 wt. % surfactant may be added.
  • the removal composition is used to reclaim the microelectronic device structure.
  • one removable layer or more than one removable layer may be removed from the microelectronic device structure.
  • the removal composition of the second aspect may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed.
  • the process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure may, but not necessarily, require protecting the front-side of the structure from exposure to the composition.
  • Such a process may include the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray.
  • the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side.
  • the front side of the structure includes patterned and/or blanketed material(s) that should not be exposed to the removal composition of the second aspect when cleaning the backside and/or bevel edge, the front side should be protected.
  • both the front side and the backside/bevel edge is exposed to the removal composition of the second aspect to simultaneously remove material from the front side (e.g., low-k dielectric material, etc.) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).
  • the removal composition of the second aspect may further include material residue, wherein said residue material includes at least one of the materials removed using said composition.
  • said materials dissolve in the removal composition and the removal composition remains viable for its intended use.
  • the removal compositions of the second aspect may be formulated in the following Formulations CC-HH, wherein all percentages are by weight, based on the total weight of the formulation:
  • Formulation CC HF 20.1 wt%; Butyl carbitol 57.5 wt%; Sulfolane 1.5 wt%; Water 20.9 wt% Formulation DD: HF 37.4 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; Water 38.7 wt% Formulation EE: HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; Water 56.0 wt% Formulation FF: 10.04% HF, 10.8% butyl carbitol, 2.2% sulfolane and 76.96% water Formulation GG: HF 20.1 wt%; Butyl carbitol 10.8 wt%; Sulfolane 2.2 wt%; Water 66.9 wt% Formulation HH: HF 20.1 wt%; Butanol 10.8 wt%; Sulf
  • the second aspect relates to a removal composition including hydrogen fluoride, diethylene glycol butyl ether, sulfolane and water.
  • the range of weight percent ratios of the components are: about 0.1:1 to about 10:1 solvent(s) relative to etchant(s) (e.g., HF), preferably about 0.5:1 to about 5:1, and most preferably about 1 : 1 to about 3:1.
  • the removal composition may comprise, consist of, or consist essentially of water, sulfolane, diethylene glycol butyl ether, and hydrogen fluoride, wherein the amount of water is in a range from 10 wt. % to about 75 wt. %, based on the total weight of the composition.
  • the composition is substantially devoid of amine.
  • the removal compositions include an etchant source, e.g., a fluoride source such as hydrofluoric acid, at least one organic solvent, at least one oxidizing agent, and optionally water.
  • the composition is substantially devoid of amine.
  • compositional embodiment is particularly useful for the removal of low-k dielectric material, etch stop layers, metals, nitrides, suicides, oxides, photoresist, polymer-containing material, ARC material, and/or the metal film stacks without damaging the underlying device substrate and without the re-deposition or precipitation of copper salts or other contaminants on the surface of said substrate.
  • Oxidizing agents contemplated herein include, but are not limited to, hydrogen peroxide (H 2 O 2 ), FeCl 3 (both hydrated and unhydrated), periodic acid (H 5 IO 6 ), oxone (2KHSO 5 KHSO 4 K 2 SO 4 ), ammonium polyatomic salts (e.g., ammonium peroxomonosulfate, ammonium chlorite (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 ClO 4 ), ammonium periodate (NH 4 IO 3 ), ammonium persulfate ((NH 4 ) 2 S 2 O 8 ), ammonium hypochlorite (NH 4 ClO)), sodium polyatomic salts (e.g., sodium persulfate (Na 2 S 2 O 8 ), sodium hypochlorite (NaClO
  • the removal composition may comprise, consist of, or consist essentially of at least one etchant source, e.g., hydrofluoric acid, at least one organic solvent, at least one oxidizing agent, and optionally water.
  • the specific proportions and amounts of etchant source(s), organic solvent(s), oxidizing agent(s), and optional water, in relation to each other, may be suitably varied to provide the desired removal action of the composition for the materials selected from the group consisting of low-k dielectric material, etch stop layers, metal stack materials, metals, nitrides, suicides, oxides, photoresist, polymer-containing material, ARC material, and combinations thereof, and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • the preferred etchant(s) and organic solvent(s) were previously introduced hereinabove.
  • the water is deionized.
  • the removal compositions of the third aspect may be present in concentrated form and may comprise, consist of or consist essentially of the following components present in the following ranges, based on the total weight of the composition: component % by weight preferred/% by weight hydrofluoric acid about 10% to about 60% about 15% to about 50% organic solvent(s) about 10% to about 80% about 20% to about 75% water about 0.01% to about 80% about 0.01% to about 80% oxidizing agent about 0.1% to about 15% about 1% to about 11%
  • the pH of a 20:1 dilution of the removal composition of the third aspect in deionized water is in a range from about 2.5 to about 4.5.
  • compositions may optionally include additional components, including active as well as inactive ingredients, e.g., surfactants, rheology agents, stabilizers, passivators, chelating agents, dispersants, pH stabilizing agents, etc.
  • active e.g., surfactants, rheology agents, stabilizers, passivators, chelating agents, dispersants, pH stabilizing agents, etc.
  • surfactants e.g., rheology agents, stabilizers, passivators, chelating agents, dispersants, pH stabilizing agents, etc.
  • surfactants e.g., rheology agents, stabilizers, passivators, chelating agents, dispersants, pH stabilizing agents, etc.
  • defoaming agents are added in a range from 0 to 5 wt. %, based on the total weight of the composition. The defoaming agents were described in the first aspect.
  • the removal composition of the third aspect may further include material residue selected from the group consisting of low-k dielectric material, etch stop layers, metal stack materials, metals, suicides, nitrides, oxides, photoresist and combinations thereof.
  • the material residue dissolves in the removal composition and the removal composition remains viable for continued use.
  • the removal compositions of the third aspect may be formulated in the following Formulations II-KK, wherein all percentages are by weight, based on the total weight of the formulation:
  • Formulation II HF 18.3 wt%; Butyl carbitol 52.3 wt%; Sulfolane 1.3 wt%; Water 19 wt%; H 2 O 2 9.1 wt%
  • Formulation JJ HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; H 2 O 2 1 wt%; Water 55.0 wt%
  • Formulation KK HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; HNO 3 0.97 wt%; Water
  • the removal composition is used to reclaim the microelectronic device structure.
  • one removable layer or more than one removable layer may be removed from the microelectronic device structure.
  • the removal composition of the third aspect may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed.
  • the process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure may, but not necessarily, require protecting the front-side of the structure from exposure to the composition.
  • Such a process may include the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray.
  • the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side.
  • the front side of the structure includes patterned and/or blanketed material(s) that should not be exposed to the removal composition of the third aspect when cleaning the backside and/or bevel edge, the front side should be protected.
  • both the front side and the backside/bevel edge is exposed to the removal composition of the third aspect to simultaneously remove material from the front side (e.g., low-k dielectric material, etc.) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).
  • the removal compositions include at least one etchant source, e.g., a fluoride source such as hydrofluoric acid, at least one organic solvent, at least one oxidizing agent, at least one copper chelating agent, and optionally water.
  • a fluoride source such as hydrofluoric acid
  • at least one organic solvent such as hydrofluoric acid
  • at least one oxidizing agent such as hydrofluoric acid
  • at least one copper chelating agent such as sodium amine
  • This compositional embodiment is particularly useful for the removal of post-etch residue, low-k dielectric material, high-k dielectric material, metals and metal film stacks, nitrides, suicides, oxides, barrier layer material, ferroelectrics, photoresist, ARC materials, polymer- containing buildup, doped regions and/or the miscellaneous materials without damaging the underlying device substrate and without the re-deposition or precipitation of copper salts or other contaminants on the surface of said substrate.
  • the removal composition of the fourth aspect also usefully removes SiCN.
  • the removal composition may comprise, consist of, or consist essentially of at least one etchant, e.g., HF, at least one organic solvent, at least one oxidizing agent, at least one chelating agent, and optionally water.
  • the specific proportions and amounts of etchant source(s), organic solvent(s), oxidizing agent(s), chelating agent(s), and optional water, in relation to each other, may be suitably varied to provide the desired removal action of the composition for the materials selected from the group consisting of post-etch residue, low-k dielectric material, high-k dielectric material, metals and metal film stacks, nitrides, suicides, oxides, barrier layer material, ferroelectrics, photoresist, ARC materials, polymer-containing buildup, doped regions and/or the miscellaneous materials, and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • an embodiment of the fourth aspect are present in concentrated form and may comprise, consist of, consist essentially of, the following components present in the following ranges, based on the total weight of the composition: component % by weight preferred/% by weight hydrofluoric acid about 5% to about 55% about 10% to about 45% organic solvent(s) about 5% to about 70% about 10% to about 60% water 0 to about 90% about 0.01% to 90% oxidizing agent about 0.1% to about 15% about 1% to about 10% chelating agent about 0.01% to about 5% about 0.1% to about 2%
  • the pH of a 20:1 dilution of the removal composition of the fourth aspect in deionized water is in a range from about 2.5 to about 4.5.
  • compositions may optionally include additional components, including active as well as inactive ingredients, e.g., surfactants, rheology agents, stabilizers, passivators, dispersants, pH stabilizing agents, etc.
  • active e.g., surfactants, rheology agents, stabilizers, passivators, dispersants, pH stabilizing agents, etc.
  • surfactants preferably de foaming agents are added in a range from 0 to
  • the defoaming agents were described in the first aspect.
  • the removal composition of the fourth aspect may further include material residue selected from the group consisting of post-etch residue, low-k dielectric material, high-k dielectric material, metals and metal film stacks, nitrides, suicides, oxides, barrier layer material, ferroelectrics, photoresist, ARC materials, polymer-containing buildup, doped regions, miscellaneous materials, and combinations thereof.
  • the material residue dissolves in the removal composition and the removal composition remains viable for continued use.
  • This embodiment may be formulated in the following Formulations LL-QQ, wherein all percentages are by weight, based on the total weight of the formulation:
  • Formulation LL HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; H 2 O 2 1 wt%; CDTA 0.15 wt%; Water 54.85 wt%
  • Formulation MM HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; H 2 O 2 1 wt%; EDTA 0.15 wt%; Water 54.85 wt%
  • Formulation NN HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt% H 2 O 2 1 wt%; MEA 0.15 wt%; Water 54.85 wt%
  • Formulation OQ HF 10.04 wt%; Butyl carbitol 10. 8 wt%; Sulfolane 2.2 wt%; H 2 O 2 1 wt%; CDTA 0.15 wt%; Water 75.81 wt%
  • Formulation PP HF 10.04 wt%; Butyl carbitol 10.8 wt%; Sulfolane 2.2 wt%; H 2 O 2 1 wt%; acac 2 wt%; Water 73.96 wt%
  • Formulation QQ HF 10.04 wt%; Butyl carbitol 10.8 wt%; Sulfolane 2.2 wt%; H 2 O 2 5 wt%; CDTA 0.15 wt%; Water 71.81 wt%
  • Formulation RR HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; H 2 O 2 5 wt%; CDTA 0.15 wt%; Water 50.85 wt%
  • the range of weight percent ratios of the components are: about 0.1:1 to about 10:1 etchant(s) (e.g., HF) relative to oxidant(s), preferably about 0.5:1 to about 5:1, and most preferably about 1:1 to about 5:1; about 0.1 :1 to about 10:1 solvent(s) relative to oxidant(s), preferably about 1:1 to about 5:1, and most preferably about 2:1 to about 5:1; about 0.001 :1 to about 0.1 chelating agent(s) relative to oxidant(s), preferably about 0.01 :1 to about 0.05:1.
  • etchant(s) e.g., HF
  • the chelating agent and/or the oxidizing agent may be introduced to the composition of the fourth aspect at the manufacturer, prior to introduction of the composition to the device wafer, or alternatively at the device wafer, i.e., in situ. It is further contemplated that in addition to chelating agent(s) and/or oxidizing agent(s), other components may be added to the composition to dilute, maintain and/or increase the concentration of other components in the composition. [0101] It is known in the art that HF in the presence of metallic contaminants, including copper, causes pitting of microelectronic device substrates including silicon.
  • hydrochloric acid may be added to the removal composition of the fourth aspect to minimize pitting of the microelectronic device substrate during the reclamation process.
  • about 0.01 wt. % to about 5 wt. % concentrated HCl, preferably about 0.1 wt.% to about 4 wt.% concentrated HCl, and more preferably about 0.5 wt.% to about 3 wt.% concentrated HCl, based on the total weight of the composition may be added to the removal composition of the fourth aspect.
  • One skilled in the art will be able to calculate new weight percents when an HCl solution that is not concentrated is used instead.
  • the range of weight percent ratios of the components are: about 0.1 :1 to about 10:1 oxidant(s) relative to concentrated HCl, preferably about 1 :1 to about 7:1, and most preferably about 1:1 to about 5:1; about 0.1 :1 to about 25:1 etchant(s) (e.g., HF) relative to concentrated HCl, preferably about 1 :1 to about 20:1, and most preferably about 5:1 to about 15:1; about 0.001 :1 to about 1 :1 chelating agent(s) relative to concentrated HCl, preferably about 0.01:1 to about 0.3:1; and about 1 :1 to about 30:1 solvent(s) relative to concentrated HCl, preferably about 5:1 to about 25:1, and most preferably about 5:1 to about 20:1.
  • etchant(s) e.g., HF
  • the removal composition is used to reclaim the microelectronic device structure.
  • one removable layer or more than one removable layer may be removed from the microelectronic device structure.
  • the removal composition of the fourth aspect may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed.
  • the process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure may, but not necessarily, require protecting the front-side of the structure from exposure to the composition.
  • Such a process may include the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray.
  • the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side.
  • the front side of the structure includes patterned and/or blanketed material(s) that should not be exposed to the removal composition of the fourth aspect when cleaning the backside and/or bevel edge, the front side should be protected.
  • both the front side and the backside/bevel edge is exposed to the removal composition of the fourth aspect to simultaneously remove material from the front side (e.g., low-k dielectric material, etc.) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).
  • front side e.g., low-k dielectric material, etc.
  • backside/bevel edge e.g., polymer-containing buildup and copper-containing material
  • the fifth aspect relates to removal compositions compliant with national and international environmental standards, so-called "green" removal compositions.
  • Diethylene glycol butyl ether and other ethylene-containing solvents are HAP chemicals and can be detrimental to the environment.
  • diethylene glycol butyl ether has a very high chemical oxygen demand (COD) level, which is the mass of oxygen consumed per liter of solution. Because of its high COD level, diethylene glycol butyl ether has been either banned or limited to very low levels depending on the country.
  • COD chemical oxygen demand
  • a "green" removal composition may include an etchant source, e.g., a fluoride source such as hydrofluoric acid, at least one surfactant, optionally water, optionally at least one organic solvent, optionally at least one organic acid, optionally at least one oxidizing agent, and optionally at least one chelating agent, present in the following ranges, based on the total weight of the composition: component % by weight etchant(s) about 0.01% to about 50.0% surfactant(s) about 0.01% to about 5.0% optional organic solvent(s) 0 to about 20.0% optional organic acid(s) 0 to about 80.0% optional chelating agent(s) O to about 10% optional oxidizing agent(s) O to about 10% water 0 to about 99%
  • the green removal composition may comprise, consist of, or consist essentially of at least one etchant, at least one surfactant, optionally water, optionally at least one organic solvent, optionally at least one organic acid, optionally at least one oxidizing agent, and optionally at least one chelating agent.
  • etchant source(s), surfactant(s), optional water, optional organic solvent(s), optional organic acid(s), optional oxidizing agent(s), and optional chelating agent(s), in relation to each other may be suitably varied to provide the desired removal action of the composition for the materials selected from the group consisting of post-etch residue, low-k dielectric material, high-k dielectric material, barrier layer materials, ferroelectrics, nitrides, suicides, oxides, polymer-containing buildup, ARC materials, doped regions, miscellaneous materials, and combinations thereof, and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • the preferred etchant(s), surfactant(s), optional organic solvent(s), optional chelating agent(s), optional oxidizing agent(s), and optional organic acid(s) species were previously introduced hereinabove.
  • the water is deionized and preferably, the surfactant includes a species selected from the group consisting of dodecylbenzene sulfonic acid sodium salt (DDBSA), DowFax, and combinations thereof.
  • the composition is substantially devoid of ethylene and/or diethylene glycol ethers and other HAP organic solvents.
  • an organic solvent is present, preferably it includes a propylene and/or dipropylene glycol ether.
  • Such compositions may optionally include additional components, including active as well as inactive ingredients, e.g., rheology agents, stabilizers, passivators, dispersants, pH stabilizing agents, etc.
  • the green removal composition may further include material residue selected from the group consisting of post-etch residue, low-k dielectric material, high-k dielectric material, barrier layer materials, ferroelectrics, nitrides, suicides, oxides, polymer-containing buildup, ARC materials, doped regions, miscellaneous materials, and combinations thereof.
  • the materials dissolve in the green removal composition and the removal composition remains viable for its intended use.
  • the green removal compositions may be formulated in the following Formulations G1-G5, wherein all percentages are by weight, based on the total weight of the formulation:
  • Formulation Gl HF 20.1 wt%; Sulfolane 2 wt%; DowFax 3B2 0.5 wt.%; Water 77.4 wt%
  • Formulation G2 HF 20.1 wt%; Sulfolane 2 wt%; DowFax 3B2 0.1 wt.%; Water 77.8 wt%
  • Formulation G3 HF 20.1 wt%; Sulfolane 2 wt%; DDBSA 0.5 wt.%; Water 77.4 wt% Formulation G4: HF 20.1 wt%; Sulfolane 2 wt%; DowFax 3B2 0.1 wt.%; Water 77.8 wt% Formulation G5: HF 20.1 wt%; Sulfolane 2.2 wt%; DowFax 3B2 0.5 wt.%; H 2 O 2 5 wt.%; HEDP 5 wt.%
  • Formulation G6 HF 20.1 wt%; HCl (cone) 1 wt.%; Sulfolane 2.2 wt%; DowFax 3B2 0.5 wt.%; H 2 O 2 5 wt.%; HEDP 5 wt.%; Water 66.2 wt%
  • the green removal compositions are formulated in the following concentrated embodiments, wherein all percentages are by weight, based on the total weight of the formulation:
  • the green removal composition includes hydrogen fluoride, sulfolane, at least one surfactant, and water.
  • the range of weight percent ratios of the components are: about 0.01 :1 to about 1 :1 solvent(s) relative to etchant(s), preferably about 0.05:1 to about 0.25:1, and most preferably about 0.075:1 to about 0.2:1; and about 1 :1 to about 40:1 solvent(s) relative to surfactant(s), preferably about 2:1 to about 30:1, and most preferably about 3:1 to about 25:1.
  • the green removal compositions are formulated in the following concentrated embodiments, wherein all percentages are by weight, based on the total weight of the formulation:
  • the range of weight percent ratios ofthe components are: about 0.1 :1 to about 15:1 solvent(s) relative to surfactant(s), preferably about 1:1 to about 10:1, and most preferably about 2:1 to about 7:1; about 10:1 to about 60:1 etchant(s) relative to surfactant(s), preferably about 15:1 to about 55:1, and most preferably about 25:1 to about 50:1; about 0.1 :1 to about 25:1 oxidant(s) relative to surfactant(s), preferably about 1:1 to about 20:1, and most preferably about 5:1 to about 15:1; and about 0.1 :1 to about 25:1 chelating agent(s) relative to surfactant(s), preferably about 1 :1 to about 20:1, and most preferably about 5:1 to about 15:1.
  • hydrochloric acid may be added to the removal composition of the fifth aspect to minimize pitting ofthe microelectronic device substrate during the reclamation process.
  • about 0.01 wt. % to about 5 wt. % concentrated HCl, preferably about 0.1 wt.% to about 4 wt.% concentrated HCl, and more preferably about 0.5 wt.% to about 3 wt.% concentrated HCl, based on the total weight of the composition may be added to the removal composition of the fifth aspect.
  • One skilled in the art will be able to calculate new weight percents when an HCl solution that is not concentrated is used instead.
  • the range of weight percent ratios of the components are: about 0.1 :1 to about 10:1 oxidant(s) relative to concentrated HCl, preferably about 1 :1 to about 8:1, and most preferably about 1:1 to about 7:1; about 0.1 :1 to about 25:1 etchant(s) (e.g., HF) relative to concentrated HCl, preferably about 1 :1 to about 20:1, and most preferably about 5:1 to about 20:1; about 0.01 :1 to about 2:1 surfactant(s) relative to concentrated HCl, preferably about 0.1 :1 to about 1 :1; about 0.1 :1 to about 10:1 chelating agent(s) relative to concentrated HCl, preferably about 1:1 to about 8:1, and most preferably about 1:1 to about 7:1; about 0.1 :1 to about 10:1 solvent(s) relative to concentrated HCl, preferably about 0.5:1 to about 5:1, and most preferably about 0.5:1 to about 4:1.
  • the removal composition is used to reclaim the microelectronic device structure.
  • one removable layer or more than one removable layer may be removed from the microelectronic device structure.
  • the removal composition ofthe fifth aspect may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed.
  • the process of removing the polymer-containing buildup from the backside and/or bevel edge ofthe structure may, but not necessarily, require protecting the front-side of the structure from exposure to the composition.
  • Such a process may include the positioning ofthe structure in a single wafer tool that protects the front side ofthe wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray.
  • the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side.
  • the front side of the structure includes patterned and/or blanketed material(s) that should not be exposed to the removal composition of the fifth aspect when cleaning the backside and/or bevel edge, the front side should be protected.
  • both the front side and the backside/bevel edge is exposed to the removal composition of the fifth aspect to simultaneously remove material from the front side (e.g., low-k dielectric material, etc.) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).
  • the low-k dielectric materials removed using the removal compositions of the first through the fifth aspects include CORALTM, BLACK DIAMONDTM (hereinafter BD), derivatives of CORAL, derivatives of BD, AURORA®, derivatives of AURORA®, etc.
  • CORALTM CORALTM
  • BLACK DIAMONDTM hereinafter BD
  • derivatives of CORAL correspond to CORAL and BD materials, respectively, that were deposited using alternative, often proprietary, deposition processes.
  • the utilization of a different processing technique will result in a CORAL and BD material that differs from CORALTM and BLACK DIAMONDTM, respectively.
  • the removal compositions of the first though fifth aspects are effective at concurrently removing at least one of polymer-containing buildup, metal stack materials, low-k dielectric layers, high-k dielectric layers, etch stop layers, nitrides, suicides, oxides, barrier layers, photoresist, post- etch residue, miscellaneous materials, doped regions (other than doped epitaxial Si) and/or other material from a surface of the microelectronic device.
  • the removal compositions may effectively remove low-k dielectric material from the front side of the microelectronic device while concurrently removing polymer and other residue from the backside and/or bevel edge of the microelectronic device, as readily determined by one skilled in the art.
  • the removal compositions described herein are usefully employed to remove at least one material selected from the group consisting of low-k dielectric material, high-k dielectric material, etch stop layers, metal stack materials, nitrides, suicides, oxides, photoresist, barrier layers, polymer-containing buildup, ferroelectrics, miscellaneous materials, doped regions (other than doped epitaxial Si) and combinations thereof, from microelectronic device structures in a single reclamation or rework step for recycling and/or reuse of said structures.
  • the removal compositions of the first through fifth aspects satisfy the aforementioned reclamation requirements as well as the rework/clean requirements.
  • the chemical mechanical polishing (CMP) step that is typical of current reclaiming practices, i.e., to planarize the substrate subsequent to the wet removal of the materials, may not be needed to planarize the front-side or backside of the wafer before reuse.
  • the parameters of the CMP step may be altered such that the energy requirements are substantially reduced, e.g., the length of time of the polish is shortened, etc.
  • the TTV is less than 3%, more preferably less than 1% and most preferably less than 0.5%, subsequent to the removal of the materials from the microelectronic device substrate.
  • the removal compositions of the first through fifth aspects satisfy the rework requirements, e.g., effectuate the substantial removal of photoresist, polymeric -containing buildup, and/or electroplated copper from the outermost edge and backside of the device substrate without substantial damage to the layer(s) to be retained.
  • the at least one material to be removed from the microelectronic device structure may be removed in a single step with a wet solution.
  • any of the removal compositions of the first through fifth aspects disclosed herein may be used during (CMP) processes, i.e., to planarize copper and remove barrier layer materials, to accelerate the removal of CDO and other low-k dielectric materials, as readily determinable by one skilled in the art.
  • CMP chemical vapor deposition
  • the removal composition e.g., any of the first through fifth aspects
  • the removal composition preferably further includes at least one copper passivator species.
  • Di- and poly- carboxylic acids such as oxalic acid, malonic acid, succinic acid, nitrilotriacetic acid, iminodiacetic acid, and combinations thereof are also useful copper passivator species.
  • the removal compositions may be diluted with a solvent such as water and used as a post-chemical mechanical polishing (CMP) composition to remove post-CMP residue including, but not limited to, particles from the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, and any other materials that are the by-products of the CMP process.
  • CMP post-chemical mechanical polishing
  • the concentrated removal compositions may be diluted in a range from about 1 :1 to about 1000:1 solvent to concentrate, wherein the solvent can be water and/or organic solvent.
  • the removal compositions of the first through fifth aspects may be buffered to a pH in a range from about 5 to about 8, preferably about 5.5 to about 7, to minimize corrosion of the materials of construction in the fab, e.g., steel drainage systems and other tools, as readily determinable by one skilled in the art.
  • Contemplated buffering species include, but are not limited to organic quaternary bases, alkali bases, alkaline earth metal bases, organic amines, alkoxides, amides, and combinations thereof.
  • the buffering species may include benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide, benzyltributylammonium hydroxide, dimethyldiethylammonium hydroxide, tetramethyl ammonium hydroxide, tetraethyl ammonium hydroxide, tetrapropyl ammonium hydroxide, tetrabutyl ammonium hydroxide, ammonium hydroxide, potassium hydroxide, cesium hydroxide, rubidium hydroxide, alkyl phosphonium hydroxides, and derivatives thereof, Aniline, Benzimidazole, Benzylamine, 1 -Butanamine, n-Butylamine, Cyclohexanamine, Diisobutylamine, Diisopropylamine, Dimethylamine, Ethanamide, Ethanamine, Ethylamine, Ethylenediamine, 1 -Hexanamine, 1 ,6
  • the removal compositions are formulated to remove silicon carbide (SiC) and nitrogen-doped SiC (SiC:N) from a microelectronic device having same thereon.
  • SiC silicon carbide
  • SiC:N nitrogen-doped SiC
  • SiC and SiC:N are widely used in the industry because they can be operated at high temperatures, at high power, and at high frequency.
  • SiC and SiC:N are etched using reactive ion etching, plasma etching, and electrochemical or photoelectrochemical etching. It would be a significant advance in the art to formulate an aqueous solution that would be capable of efficiently and effectively etching SiC and SiC :N.
  • the removal composition of the sixth aspect includes at least one etchant source, e.g., a fluoride source, at least one mineral acid, at least one oxidizing agent, and optionally water, wherein the removal composition is useful for removing SiC and/or SiC:N from a microelectronic device structure having same thereon.
  • etchant source e.g., a fluoride source, at least one mineral acid, at least one oxidizing agent, and optionally water
  • the removal composition is useful for removing SiC and/or SiC:N from a microelectronic device structure having same thereon.
  • the optional water component represents additional water added to the solution, not the cumulative amount.
  • the removal composition may comprise, consist of, or consist essentially of at least one etchant, at least one mineral acid, at least one oxidizing agent, and optionally water.
  • etchant source(s), mineral acid(s), oxidizing agent(s), and optional water, in relation to each other may be suitably varied to provide the desired removal action of the composition for SiC, SiC:N and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • the preferred mineral acids include HCl, HNO 3 , H 2 SO 4 , HBr, HI, HClO 4 , H 2 SO 3 , HNO 2 ,
  • the preferred oxidizing agents include the ones listed herein, most preferably H 2 O 2 , oxone, ammonium persulfate, and combinations thereof.
  • the preferred etchants include HF, ammonium fluoride, ammonium bifluoride (NH 5 F 2 ), borofluoric acid, pyridine:HF, triethanolamine:HF, potassium fluoride, ammonium silicon fluoride ((NH 4 ) 2 SiF 6 ), and combinations thereof.
  • an embodiment of the sixth aspect is present in concentrated form and may comprise, consist of, consist essentially of, the following components present in the following ranges, based on the total weight of the composition: component % by weight preferred/% by weight etchant(s) about 0.01 wt.% to about 40 about 3 wt.% to about 20 wt.% wt.% mineral acid(s) about 30 wt.% to about 95 about 55 wt.% to about 75 wt.% wt.% oxidizing agent(s) about 5 wt.% to about 40 about 15 wt.% to about 30 wt.% wt.% optional water O to about 25 wt.% O to about 12 wt.%
  • the pH is in a range from about 0 to about 4, preferably about 1 to about 3.
  • the removal composition of the sixth aspect may further include SiC and/or SiC:N material residue therein.
  • the material residue dissolves in the removal composition and the removal composition remains viable for continued use.
  • This aspect may be formulated in the following Formulations Sl -S 19, wherein all percentages are by weight, based on the total weight of the formulation:
  • Formulation Sl H 2 SO 4 (cone) 73.5 wt%; oxone 24.5 wt%; HF (49%) 2 wt%
  • Formulation S2 H 2 SO 4 (cone) 84.7 wt%; oxone 9.6 wt%; HF (49%) 5.7 wt%
  • Formulation S3 H 2 SO 4 (cone) 68 wt%; oxone 22.7 wt%; HF (49%) 9.3 wt%
  • Formulation S4 H 2 SO 4 (cone) 68 wt%; H 2 O 2 24.5 wt%; HF (49%) 2 wt%
  • Formulation S5 H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; HF (49%) 9.1 wt%
  • Formulation S6 H 2 SO 4 (cone) 56.8 wt%; oxone 22.7 wt%; HF (49%) 9.1 wt%; H 2 O 11.4 wt%
  • Formulation S7 H 2 SO 4 (cone) 45.5 wt%; oxone 22.7 wt%; HF (49%) 9.1 wt%; H 2 O 22.7 wt%
  • Formulation S8 H 2 SO 4 (cone) 56.8 wt%; oxone 22.7 wt%; HF (49%) 20.5 wt%
  • Formulation S9 H 2 SO 4 (cone) 45.5 wt%; oxone 22.7 wt%; HF (49%) 31.8 wt%
  • Formulation SI l H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; NH 4 F 9.1 wt%
  • Formulation S12 H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; (NH 4 ) 2 SiF 6 9.1 wt%
  • Formulation S13 H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; HBF 4 9.1 wt%
  • Formulation S14 H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; pyridine:HF 9.1 wt%
  • Formulation S 15 H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; NH 5 F 2 9.1 wt%
  • Formulation S 16 H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; TEA:HF 9.1 wt%
  • Formulation S 17 H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; KF 9.1 wt%
  • Formulation S 18 H 2 SO 4 (cone) 62.5 wt%; oxone 20.83 wt%; NH 5 F 2 8.33 wt%; NH 4 F 8.33 wt%
  • Formulation S 19 H 2 SO 4 (cone) 62.5 wt%; oxone 20.83 wt%; NH 5 F 2 8.33 wt%; KF 8.33 wt%
  • the range of weight percent ratios of the components are: about 1 :1 to about 20:1 mineral acid(s) relative to etchant(s), preferably about 3:1 to about 15:1; and about 0.1 :1 to about 10:1 oxidizing agent(s) relative to etchant(s), preferably about 1 :1 to about 5:1.
  • the removal compositions are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the removal compositions may be readily formulated as single -package formulations or multi-part formulations that are mixed at the point of use. The individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • the concentrations of the respective ingredients may be widely varied in specific multiples of the removal composition, e.g., more dilute or more concentrated, and it will be appreciated that the removal compositions can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • the concentrates of the removal compositions is anhydrous and water may be added by the user at the fab.
  • another aspect relates to concentrated formulations of the compositions described in the first through fifth aspects with low amounts of water and/or solvent, or alternatively without water and/or solvent, wherein water and/or solvent may be added prior to use to form the removal compositions described herein.
  • the concentrated formulations may be diluted in a range from about 1:10 to 100:1 solvent to concentrate, wherein the solvent can be water and/or organic solvent.
  • the concentrates of the removal compositions are anhydrous and water may be added by the user at the fab.
  • kits including, in one or more containers, one or more components adapted to form the removal compositions described herein.
  • the kit may include, in one or more containers, at least one amine, hydrofluoric acid, optionally at least one organic solvent, optionally at least one chelating agent, optionally at least one additional acid, and optionally water for combining as is or with diluent (e.g., water and/or organic solvent) at the fab.
  • the kit may include at least one amine, hydrofluoric acid, at least one organic solvent, at least one additional acid, and optionally water, for combining as is or with diluent (e.g., water and/or organic solvent) at the fab.
  • the kit may include at least one amine, hydrofluoric acid, at least one organic solvent, and optionally water, for combining as is or with diluent (e.g., water and/or organic solvent) at the fab.
  • the kit may include, in one or more containers, at least one amine -hydrogen fluoride salt, additional hydrofluoric acid, at least one organic solvent, optionally water, and optionally at least one additional acid, for combining as is or with diluent (e.g., water and/or organic solvent) at the fab.
  • the kit may include, in one or more containers, hydrofluoric acid, at least one organic solvent, optionally at least one chelating agent, optionally water, and optionally at least one organic acid, for combining as is or with diluent (e.g., water and/or organic solvent) at the fab.
  • the kit may include, in one or more containers, hydrofluoric acid, at least one organic solvent, at least one oxidizing agent, at least one copper chelating agent, and optionally water, for combining as is or with diluent (e.g., water, organic solvent and/or oxidizing agent) at the fab.
  • the kit may include, in one or more containers, hydrofluoric acid, at least one surfactant, optionally water, optionally at least one organic solvent, optionally at least one organic acid and at least one chelating agent for combining as is or with diluent (e.g., water, organic solvent and/or oxidizing agent) at the fab.
  • diluent e.g., water, organic solvent and/or oxidizing agent
  • the kit may include any of the components of the foregoing embodiments, in any combination, as readily determined by one skilled in the art.
  • the containers of the kit should be chemically rated to store and dispense the component(s) contained therein.
  • the containers of the kit may be NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • kits may be packaged and directed to the removal of very specific materials. Tuning the kit to the user's requirements has the advantage of minimizing the overall cost of removal composition to the user as well as lowering the exposure of the microelectronic device structure/substrate to unnecessary chemicals. For example, if the user intends to remove oxides from the microelectronic device structure, then etchant, e.g., HF, based additives are appropriately added. If the user intends to remove metals, then select oxidizing agents and/or chelating agents are appropriately added. If the user intends to remove photoresist and other polymer-containing materials, then water soluble organic solvents are appropriately added.
  • etchant e.g., HF
  • an embodiment of this aspect is a base formulation to which selected components are added based on the requirements of the user.
  • the base formulation may be an etchant-containing formulation, e.g., an HF-containing formulation, including at least one additional component selected from the group consisting of water, at least one organic solvent, at least one surfactant, and combinations thereof.
  • the kit preferably includes the base formulation and a first additive for mixing with the base formulation, wherein the first additive includes at least one oxidizing agent, optionally at least one chelating agent, and optionally water.
  • the first additive includes at least one oxidizing agent, e.g., H 2 O 2 , and at least one chelating agent.
  • oxidizing agent e.g., H 2 O 2
  • chelating agent e.g., H 2 O 2
  • Instructions are preferably provided to instruct the user on what ratio of the base formulation relative to the first additive to combine, optionally with additional water, to substantially remove the oxide and metal materials. If the material(s) to be removed includes at least one oxide, polymer-containing material(s), and photoresist, the kit preferably includes the base formulation and a second additive for mixing with the base formulation, wherein the second additive includes at least one organic solvent, at least one amine and/or water.
  • Instructions are preferably provided to instruct the user on what ratio of the base formulation relative to the second additive to combine, optionally with additional water, to substantially remove the oxide, polymer-containing material(s) and photoresist.
  • the kit preferably includes the base formulation, the first additive and the second additive for mixing with the base formulation.
  • Instructions are preferably provided to instruct the user on what ratio of the base formulation relative to the first additive relative to the second additive to combine, optionally with additional water, to substantially remove the oxide, metal, polymer- containing material(s) and photoresist.
  • the base formulation, the first additive and the second additive are preferably packaged in separate containers for shipment.
  • the containers of the kit should be chemically rated to store and dispense the component(s) contained therein.
  • the containers of the kit may be NOWPak® containers described herein.
  • the material(s) to be removed further includes at least one chalcogenide, preferably at least one inorganic acid such as HCl, HNO 3 or H 2 SO 4 may be added to the base formulation.
  • the base formulation includes HF and the second additive includes at least one amine, e.g., NMMO.
  • the base formulation includes HF and at least one organic solvent, preferably diethylene glycol butyl ether and sulfolane.
  • the base formulation includes HF and at least one organic solvent, e.g., diethylene glycol butyl ether and/or sulfolane, and the second additive includes at least one oxidizing agent such as H 2 O 2 and at least one chelating agent such as CDTA.
  • the base formulation includes HF and at least one surfactant and the first additive includes at least one oxidizing agent such as H 2 O 2 and at least one chelating agent such as HEDP.
  • the base formulation includes HF, at least one surfactant and at least one organic solvent such as sulfolane.
  • the removal compositions may be formulated as foams, fogs, dense fluids (i.e., supercritical or subcritical, wherein the solvent is CO 2 , etc., in addition to or in lieu of water and/or organic solvent(s)).
  • the removal compositions dissolve or delaminate at least one removable material from the microelectronic device substrate in a single step (i.e., all of the material(s) to be removed may be removed by contacting the rejected microelectronic device substrate with a single composition for a single immersion).
  • the removable materials are dissolved or delaminated in a single step, with the provision that no mechanical polishing is necessary prior to recycling and/or reuse.
  • dissolution covers the process whereby a solid solute (e.g., the material to be removed) enters a solvent to form a solution.
  • Dissolution is also intended to include the etching, decomposition, chemical polishing and combinations thereof, of the material to be removed. Dissolution has the advantage of minimizing the generation of particulate matter that may subsequently settle on said substrate as well as substantially eliminating clogging of the removal equipment.
  • the remaining layers of the microelectronic device structure following the removal process are substantially smooth and undamaged, preferably without the need to planarize the front side and/or backside prior to additional manufacturing processes, i.e., deposition processes of new layers of materials, e.g., low-k dielectric, high-k dielectric, photoresist, metal stack layers, etch stop layers, etc.
  • the remaining layers include just the microelectronic device substrate and epitaxial Si layer, the substrate is preferably ready for recycling/reuse without the need for an expensive and structurally compromising mechanical polish.
  • low-k dielectric materials may be removed while maintaining the integrity of the underlying substrate and etch stop layers (e.g., SiCN, SiCO, SiC, SiON, SiGe, SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs), and metal stack materials.
  • etch stop layers e.g., SiCN, SiCO, SiC, SiON, SiGe, SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs
  • metal stack materials e.g., SiCN, SiCO, SiC, SiON, SiGe, SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs
  • low-k dielectric layers and metal stack materials may be removed while maintaining the integrity of the underlying substrate and/or etch stop layers.
  • low-k dielectric layers, etch stop layers and metal stack materials may be removed while maintaining the integrity of the underlying substrate.
  • the removal compositions of the invention may be used to clean the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed.
  • the process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure includes the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen gas and/or a deionized water spray.
  • an inert gas e.g., nitrogen gas and/or a deionized water spray.
  • the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side.
  • the front side of the structure which includes the blanketed or patterned layers that are not to be damaged, is not be exposed to the removal composition when cleaning the backside and/or bevel edge.
  • both the front side and the backside/bevel edge is exposed to the removal composition to simultaneously remove material from the front side (e.g., low-k dielectric material) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).
  • Microelectronic device wafers may be reworked off-site or in-house.
  • In-house reworking and recycling has the advantage of increasing the overall yield, decreasing the overall costs and reducing the cycle time between the diagnostic process and the rework.
  • a removal composition is contacted in any suitable manner to the rejected microelectronic device having material to be removed thereon, e.g., by spraying a removal composition on the surface of the device, by dipping (in a volume of a removal composition) of the device including the removable material, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that has a removal composition absorbed thereon, by contacting the device including the material to be removed with a recirculating removal composition, or by any other suitable means, manner or technique, by which a removal composition is brought into removal contact with the material to be removed.
  • the contacting conditions include a period of time and conditions sufficient to remove at the removable material.
  • the removal process using a removal compositions may include a static clean, a dynamic clean, or sequential processing steps including dynamic cleaning, followed by static cleaning of the device in a removal composition, with the respective dynamic and static steps being carried out alternatingly and repetitively, in a cycle of such alternating steps.
  • the removal compositions may be used with a large variety of conventional cleaning tools, including Verteq single wafer megasonic Goldfmger, OnTrak systems DDS (double-sided scrubbers), Laurell spin-spray tools, SEZ single wafer spray rinse, Applied Materials Mirra-MesaTM /Reflexion TM/Reflexion LKTM, and Megasonic batch wet bench systems.
  • Verteq single wafer megasonic Goldfmger OnTrak systems DDS (double-sided scrubbers), Laurell spin-spray tools, SEZ single wafer spray rinse, Applied Materials Mirra-MesaTM /Reflexion TM/Reflexion LKTM, and Megasonic batch wet bench systems.
  • removal compositions are usefully employed to remove at least one removable material from microelectronic device structures for reclaiming, reworking, recycling and/or reuse of said structures.
  • removal compositions may be used during chemical mechanical polishing processes to accelerate the removal of CDO and other low-k dielectric materials or post-CMP processes to remove post-CMP residue material.
  • a removal composition typically is contacted with the device structure for a time of from about 30 seconds to about 60 minutes, more preferably about 75 sec to about 5 min, the preferred time being dependent on the thickness of the layer(s) to be removed, at temperature in a range of from about 20 0 C to about 90 0 C, preferably about 25°C to about 60 0 C, most preferably about 25°C to about 50 0 C.
  • temperature is in a range from about 60 0 C to about 90 0 C.
  • the contacting time may be in a range of from about 5 minutes to about 3 hours at temperature in a range of from about 25°C to about 80°, depending on the thickness of the etch stop layer.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to substantially remove the material(s) from the device structure.
  • the removal composition is readily removed from the microelectronic device to which it has previously been applied, e.g., by rinse, wash, drying, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions described herein.
  • the microelectronic device may be rinsed with deionized water.
  • the microelectronic device may be dried with nitrogen gas, isopropanol, or SEZ (spin process technology).
  • dense fluids may be applied at suitable elevated pressures, e.g., in a pressurized contacting chamber to which the SCF-based composition is supplied at suitable volumetric rate and amount to effect the desired contacting operation, preferably in a range of from about 1,500 to about 4,500 psi, preferably in a range of from about 3,000 to about 4,500 psi.
  • suitable contacting times in a range of from about 1 minute to about 30 minutes and a temperature of from about 35°C to about 75°C, preferably in a range of from about 60 0 C to about 75°C, although greater or lesser contacting durations and temperatures may be advantageously employed where warranted.
  • the removal process using the dense fluid compositions may include a static soak, a dynamic contacting mode, or sequential processing steps including dynamic flow, followed by a static soak, with the respective dynamic flow and static soak steps being carried out alternatingly and repetitively, in a cycle of such alternating steps.
  • Removal compositions may be monitored and controlled using statistical process controls (SPC) during contact of the compositions with the rejected microelectronic device structures.
  • SPC statistical process controls
  • the SPC of the removal composition bath may be monitored and several inputs controlled, including temperature of the bath, pH of the bath, concentration of the major components of the bath, concentration of the byproducts, and feed chemical purity.
  • the removal composition is monitored using in-line monitoring, wherein in-line sampling equipment may be communicatively coupled with standard analytical tools to monitor bath weight loss (which is an indication of water and/or amine loss), fluoride concentration, H 2 O 2 concentration, pH, etc.
  • bath weight loss which is an indication of water and/or amine loss
  • fluoride concentration a concentration of water and/or amine inorganic acid
  • H 2 O 2 concentration a concentration of water and/or amine loss
  • pH pH
  • the purpose of the SPC is to maintain a substantial steady state of several parameters of the removal composition as processing occurs over time, as readily determined by one skilled in the art.
  • the removal composition may be sampled, manually and/or automatically, and the concentration of a component in the removal composition may be analyzed, using standard analytical techniques, and compared to the initial concentration of said component in the removal composition.
  • An aliquot of a solution of said component may be added, either manually and/or automatically, to the bath to boost the concentration of the component to initial levels, as readily determined by one skilled in the art.
  • the maintenance of the concentration of several components in the removal composition is dependent on how much loading of material(s) to be removed has occurred in said composition. As more and more compounds are dissolved therein, the solubility of many active components will actually decrease and eventually fresh removal composition will be required.
  • the SPC relates in one aspect to a multicomponent fluid composition monitoring and compositional control system, in which a component analysis is effected by titration or other analytical procedure, for one or more components of interest, and a computational means then is employed to determine and responsively adjust the relative amount or proportion of the one or more components in the multicomponent fluid composition, in order to maintain a predetermined compositional character of the multicomponent fluid composition.
  • the SPC system preferably comprises (i) an analyzer unit, constructed and arranged to monitor the concentration of one or more components of the multicomponent fluid using a real-time methodology, and (ii) a control unit constructed and arranged to compare the results of the analyzer unit to pre-programmed specifications and responsively control dispensing of the aforementioned one or more components into the multicomponent fluid as required to maintain a predetermined concentration of the aforementioned one or more components in the multicomponent fluid used in the fluid-using processing facility.
  • an SPC process of monitoring and compositionally controlling a multicomponent fluid used in a processing facility including conducting a real-time component analysis of the multicomponent fluid by titration or other analytical procedure, for one or more components of interest, and computationally and responsively adjusting in real time the relative amount or proportion of the one or more components in the multicomponent fluid composition, to maintain a predetermined compositional character of the multicomponent fluid composition utilized in the fluid-using processing facility.
  • a analysis unit e.g., a Karl Fischer analysis unit
  • the process for generating hydrogen peroxide at a point of use including a hydrogen peroxide-using processing facility includes generating hydrogen peroxide in an electrochemical cell, and monitoring hydrogen peroxide in an analysis unit, e.g., a Karl Fischer analysis unit, including sampling fluid from the electrochemical cell and analyzing same, and determining in real time the concentration of the hydrogen peroxide based on the analysis.
  • the control unit functions as a process controller and is used to accurately control the automatic replenishment of the solvent components, in particular water, guaranteeing optimum and stable processing over an extended period of time. Once the component analyzer determines the relative composition of the solvent system, the process controller can restore the system to the correct component ratio.
  • the SPC invention in another aspect relates to a means and method of in situ monitoring and H 2 O injection of compositions used for the reclamation and/or reworking of rejected microelectronic device structures. Using the concentration analysis and solvent replenishment system of the invention to analyze the solution and adjust the water level, the bath life can be increased by at least 100%. This results in substantial savings in a) chemicals, b) downtime for chemical changes, and c) chemical disposal costs.
  • the analyzer unit of the SPC may include: (a) a combination of temperature, electrical conductivity, viscosity and ultrasonic propagation velocity values may be analyzed and used to calculate the concentration of HF (see, e.g., U.S. Patent No.
  • H 2 O 2 monitoring techniques include iodometric or permanganate titrations, colorimetric processes such as the oxidation of titanium (IV) salt and the oxidation of cobalt (II) and bicarbonate in the presence of H 2 O 2 to form a carbonato-cobaltate (III) complex, and the scopoletin procedure using horseradish-derived peroxidase.
  • Analysis units may include, but are not limited to, UV-Vis spectrophotometers, IR spectrometers, near IR spectrometers, fluorometers, atomic spectrometers including inductively coupled plasma spectrometers and atomic absorption spectrometers, titration units, electrochemical units and chromatographic units.
  • the same microelectronic device structure may be reclaimed, for example, material(s) are removed to reclaim the substrate or to reclaim the substrate plus the layer(s) to be retained, multiple times.
  • the same substrate may be processed to deposit at least one material layer and subsequently reclaimed greater than or equal to two times, preferably greater than or equal to 5 times, more preferably greater than or equal to 10 times, and most preferably greater than or equal to 20 times, depending on the method and the material being deposited, said reclamation satisfies the reclamation requirements described herein each time.
  • the reclamation process preferably is a single step removal process (i.e., all of the material(s) to be removed are done so using a single composition in a single step) and preferably no post-reclamation planarization is needed prior to subsequent processing. That said, it should be appreciated by one skilled in the art that some deposition methods and some materials damage the substrate and as such, some planarization may be needed to successfully reclaim the substrate. Planarization will have the effect of limiting the number of times a substrate may be reclaimed.
  • the inventors surprisingly discovered that the same microelectronic device structure may be reworked, for example, photoresist and ARC material(s) are removed from the microelectronic device structure, upwards of ten times.
  • the same structure may be photolithographically processed and subsequently reworked to remove the erroneously positioned photoresist pattern greater than or equal to two times, preferably greater than or equal to five times, and most preferably, greater than or equal to ten times, wherein said rework does not substantially damage the layer(s) to be retained.
  • the inventors surprisingly discovered that the backside and/or bevel edge of the microelectronic device structure may be readily cleaned, for example, polymer-containing buildup and/or metals are removed from the backside and/or bevel edge of the microelectronic device structure without resorting to the methods used in the art (e.g., physical polishing, dry plasma etching, combustion, etc.).
  • the potency of a bath of the removal compositions may last greater than or equal to two days, preferably greater than or equal to five days, and most preferably, greater than or equal to ten days, at temperature in a range from about room temperature to about 60 0 C.
  • a ten-day old bath at temperature in a range from about room temperature to about 60 0 C may be used to successfully reclaim, rework, and/or clean (the backside and/or bevel edges) a microelectronic device structure, according to the requirements provided herein, assuming the bath is not "loaded" with material(s) to be removed.
  • a "loaded" composition corresponds to a volume of removal composition that can no longer dissolve and/or delaminate at least one material(s) to be removed from the microelectronic device structure, as readily determined by one skilled in the art.
  • a loaded removal composition can correspond to the undersaturation, saturation, or supersaturation of a particular material to be removed using the removal composition, the active component(s) in the composition, as well as byproducts thereof.
  • the recycled or reused microelectronic device structure or microelectronic device substrate may subsequently comprise one or more layers deposited thereon, including at least one of a low-k dielectric layer, high-k dielectric material, etch stop layer, metal stack material, nitride layer, suicide layer, oxide layer, ferroelectric layer, barrier layer materials, doped regions, and combinations thereof, in a subsequent microelectronic device manufacturing process.
  • a method of manufacturing an article comprising a microelectronic device comprising a microelectronic device structure or microelectronic device substrate that has been reclaimed, reworked, recycled, and/or reused using the method of the present invention, said method comprising contacting a microelectronic device structure with a removal composition for sufficient time and under sufficient conditions to substantially remove at least one removable material.
  • Another aspect relates to a method of processing a microelectronic device using the compositions described herein whereby the temperature of the processing bath is decreased.
  • the method relates to the lowering of the temperature of the removal composition during material removal, e.g., immersion, spraying, etc., followed by a hot rinse with solvent, water, or a solvent/water mixture to remove unwanted residue buildup that occurred during the material removal process.
  • the wafer may be optionally: rinsed with additional solvent (e.g., at room temperature); dried, (e.g., with an IPA vapor dry); polished; and/or otherwise prepared for additional processing, e.g., deposition of new material layers, as readily determined by one skilled in the art.
  • the hot rinse solvent comprises water and/or an organic solvent, e.g., methanol, ethanol, isopropanol, ethylene glycol, propylene glycol, diethylene glycol butyl ether, dipropylene glycol methyl ether.
  • megasonics or agitation may be used in conjunction with the hot rinse to assist in the removal of the residue buildup.
  • a method for removing material from a microelectronic device having same thereon may comprise:
  • the difference between the first temperature and the second temperature is in a range from about 40 0 C to about 90 0 C.
  • the first temperature may be in a range from about 5°C to about 30 0 C and the second temperature may be in a range from about 45°C to about 99°C. Accordingly, the first temperature is lower than the second temperature.
  • Applicable times for material removal are in a range from about 1 minute to about 60 minutes, preferably about 1 minute to about 30 minutes, and most preferably about 1 minute to about 10 minutes, the preferred time being dependent on the thickness of the layer(s) to be removed.
  • microelectronic devices may be processed as single wafers or as a batch and the hot rinse process may be repeated more than once, in part (e.g., just part (a) or just part (b)) or in whole (e.g., part (a) and part (b)).
  • the TEFLON® coated materials may be a shape that is designed to easily fit over the bath, e.g., a floating lid.
  • Yet another aspect relates to methods of using external visible indicators to identify the endpoints of the removal composition bath.
  • some of the removal compositions include an oxidizing agent, e.g., H 2 O 2 , and it is known that the effectiveness of the removal composition decreases concomitantly with a decrease in the concentration of said oxidizing agent. If the user were able to externally visualize the concentration of oxidizing agent at any time after initiation of cleaning, the user may be able to increase the concentration of oxidizing agent, either manually or automatically, and thus increase the life of the bath.
  • the external indicators may be a strip consisting of colorants or functional photonic crystals film.
  • the colorant strips may include an oxidation-reduction indicator or an acid-base indicator, and an organic binder, wherein the strip material and the organic binder do not chemically react with the removal compositions, the rejected microelectronic devices, or the indicator material.
  • the colorants may include, but are not limited to, Methylene violet, Lissamine green B, Alkali blue 6 B, Malachite green oxalate, Toluidine blue O, Brilliant green, or combinations thereof.
  • the organic binder may be selected from synthetic or natural polymers or resins, including but not limited to, cellulose acetate butyrate, ethyl cellulose, ethyl cellulose, acrylic resins, shellac, and combinations thereof.
  • the strip substrate may include, but is not limited to, polymer materials, such as polyester, polyethylene, or polystyrene films, papers, and the like.
  • oxidizing agent e.g., hydrogen peroxide
  • the indicator will change to a predetermined color (or remain colorless) based on the indicator chosen and the concentration of H 2 O 2 in the removal composition.
  • the strip will undergo visible changes which will indicate that the concentration of the H 2 O 2 is decreasing.
  • photonic crystal strips including a functional reducing agent, organic polymeric or inorganic photonic crystals, and a substrate may be used to externally monitor the removal composition.
  • the hydrogen peroxide will react with the functional reducing agent, whereby the lattice spacing and hence the diffraction of the photonic crystals change.
  • color changes in the UV-VIS, visible, or near IR electromagnetic range may be observed or detected using the naked eye or UV-VIS-Near IR spectroscopy.
  • a variation of the indicator teaching includes including a visible indicator in the removal composition, wherein the visible indicator changes from one color to another when the removal composition is no longer efficacious for the removal of materials from the rejected microelectronic device, e.g., the bath endpoint.
  • the visible indicator may be present in the one or more containers of a removal composition kit whereby upon mixing the indicator is activated.
  • the indicator may be manufactured separately as a solid or a liquid that is added to the removal composition prior to or during material removal action.
  • the indicator may be included in one or more containers of a removal composition kit and the indicator is already active.
  • the indicator When the composition has reached its endpoint, the indicator will undergo the transition from one "color” to another "color.” It is to be appreciated that the transition may be from colorless to a color in the visible spectrum, from a color in the visible spectrum to another color in the visible spectrum, or from a color in the visible spectrum to colorless.
  • the indicator may be a dye additive, for example, Malachite green oxalate, Crystal violet, Methyl violet 2B, Ethyl violet, New fuchsin, Victoria blue B, Victoria pure blue BO, Toluidine blue O, Luxol brilliant green BL, Disperse blue 1, Brilliant blue R, Victoria R, Quinea green B, Thionin, Meldolas blue, Methylene green, Lissamine green B, Alkali blue 6B, Brilliant green, Spirit soluble HLK BASF, Victoria green S extra, Acid violet 17, Eriochrome black T, Eriochrome blue black B, D & C green no. 2, Spirit soluble fast RR, Spirit soluble fast red 3B, D & C red no.
  • a dye additive for example, Malachite green oxalate, Crystal violet, Methyl violet 2B, Ethyl violet, New fuchsin, Victoria blue B, Victoria pure blue BO, Toluidine blue O, Luxol brilliant green BL, Disperse blue
  • any of the removal compositions described herein may further include an indicator therein.
  • a salt bridge used for corrosion measurement in HF-based removal compositions is described.
  • Hydrogen fluoride readily corrodes the glass-made reference electrodes, making the measurement of corrosion virtually impossible, especially at HF concentrations typical of the removal compositions.
  • One commercial alternative is the glass, U-shaped salt bridge with a Luggin capillary connecting the testing solution and a reference electrode container having an HF-free solution, e.g., a KCl solution.
  • a glass vessel will not work with the removal compositions of the invention because of the concentration of HF and the U-shape is inconvenient for testing because of the necessity of the separate reference electrode container.
  • the salt bridge includes a plastic tube (or some other material that is resistant to the removal compositions described herein, e.g., TEFLON®-coated materials) as a secondary container for the reference electrode and PTFE tubing as the Luggin capillary.
  • the Luggin capillary may be a gel loading micropipette tip composed of PTFE.
  • the Luggin capillary and a small section of the tube are filled with an electrolyte gel, e.g., Agar in KCl, before the gel sets.
  • a tube for the salt bridge of the invention may be polygonal in shape and does not have to have a uniform inner diameter.
  • a Ag/AgCl reference electrode is inserted into the salt bridge tube and the Luggin capillary of the salt bridge may be inserted into a container filled with a composition, e.g., the removal composition.
  • a counter electrode e.g., a Pt electrode
  • a working electrode are immersed in the composition.
  • the working electrode may be constructed by taping the material to be tested (for corrosivity) to a TEFLON® plate with TEFLON® tape. Corrosion experiments, as readily determined by one skilled in the art, may thereafter be carried out.
  • the present aspect relates to a method of removing at least one removable material from a microelectronic device structure having said material(s) thereon, said method comprising: contacting the microelectronic device with a removal composition for sufficient time to substantially remove at least one removable material from the microelectronic device;
  • the step to remove metal(s) and barrier layer material(s) may include contacting the microelectronic device structure with a first composition including at least one oxidizing agent and at least one chelating agent to yield a microelectronic device structure that is substantially devoid of metal(s) and barrier layer material(s).
  • the step to remove all other non-metal layer(s) may include contacting the microelectronic device structure that is substantially devoid of metal(s) and barrier layer material(s) with a removal composition described herein, e.g., the removal compositions of the second aspect or the fifth aspect and any other removal composition that is devoid of oxidizing agent, to yield a microelectronic device substrate.
  • a rinse step may be incorporated between the step to remove metal(s) and barrier layer material(s) and the step to remove all other non-metal layer(s).
  • the aforementioned neutralizing bath may be incorporated into the process subsequent to the step to remove all other non-metal layer(s) to neutralize the high fluoride content of the removal composition that remains on the device wafer following immersion therein. Regardless of whether the neutralizing bath is used or not, the microelectronic device substrate may be rinsed, dried, and further processed for recycling and/or reuse as described herein.
  • Another aspect relates to the removal of conductive inks from a microelectronic device structure having same thereon including, but not limited to, flat panel displays, antennas, batteries, and RFID technologies, using removal compositions.
  • Conductive inks include, but are not limited to, conductive metals (e.g., Ag, Cu, Al), carbon, adhesive polymer binders, conductive polymers, nanoparticles, conductive carbon nanotubes, and combinations thereof.
  • the components of the removal composition are dependent on the compositional makeup of the conductive ink, as readily determined by one skilled in the art. Depending on the compositional makeup, the removal compositions described herein may be used as is, after modification by adding additional component(s), or alternatively, new removal compositions may be formulated.
  • the oxidizing agents contemplated include the species previously introduced herein and preferably include, but are not limited to, H 2 O 2 , persulfate salts (e.g., sodium, potassium, ammonium), oxone, peroxydisulfate salts, organic peroxides, and combinations thereof.
  • the removal composition includes at least one base and at least one oxidizing agent when the conductive ink to be removed from the microelectronic device substrate includes conductive metal, carbon and/or conductive polymers.
  • Bases contemplated include ammonium hydroxide, sodium peroxide, potassium hydroxide, and hydroxide species having the formula NR 1 R 2 R 3 R 4 OH, wherein R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched CpC 6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), and substituted or unsubstituted C 6 -Ci 0 aryl, e.g., benzyl.
  • CpC 6 alkyl e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl
  • substituted or unsubstituted C 6 -Ci 0 aryl e.g., benzyl.
  • the treatments may be sequential or in a one-step mixed bed approach.
  • the waste water stream of the fab should be exposed to the treatment(s) until the COD is lowered to promulgated acceptable levels.
  • the presence of added water may decrease the rate of SiC etching.
  • the best etch rate of SiC observed, about 9 A min "1 is an improvement over the prior art, however, there was simultaneous backside etching of the Si wafer.
  • the backside by protected much like the front-side is during reworking, e.g., positioning the wafer in a single wafer tool that protects the back side of the wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray.
  • the back side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the back side including an one-sided adhesive material that is resistant to the removal composition and is sized to cover the back side of the wafer.

Abstract

L'invention concerne des compositions et procédés d'enlèvement destinés à enlever au moins une couche de matériau à partir d'une structure du dispositif micro-électronique rejetée ayant celle-ci dessus. La composition d'enlèvement comprend de préférence de l'acide fluorhydrique. La composition obtient un enlèvement important d'huile ou de matériau(x) devant être enlevé(s) sans détériorer les couches devant être retenues, pour récupérer, réusiner, recycler et/ou réutiliser la structure. Les procédés comprennent la surveillance et la modification des compositions.
PCT/US2008/066906 2007-06-13 2008-06-13 Compositions et procédés de récupération de plaquette WO2008157345A2 (fr)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US94373307P 2007-06-13 2007-06-13
US94373807P 2007-06-13 2007-06-13
US60/943,733 2007-06-13
US60/943,738 2007-06-13

Publications (2)

Publication Number Publication Date
WO2008157345A2 true WO2008157345A2 (fr) 2008-12-24
WO2008157345A3 WO2008157345A3 (fr) 2009-04-16

Family

ID=40156915

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/066906 WO2008157345A2 (fr) 2007-06-13 2008-06-13 Compositions et procédés de récupération de plaquette

Country Status (2)

Country Link
TW (1) TW200918664A (fr)
WO (1) WO2008157345A2 (fr)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2101219A1 (fr) * 2008-03-14 2009-09-16 Air Products and Chemicals, Inc. Dissolvant pour nettoyer le cuivre/BEOL à faible K
WO2010081661A3 (fr) * 2009-01-14 2010-10-07 Mallinckrodt Baker Bv Solution destinee a augmenter la resistance de couche de plaquette et/ou le niveau de densite de puissance de cellule photovoltaïque
EP1975987A3 (fr) * 2007-03-31 2011-03-09 Advanced Technology Materials, Inc. Procédés de décapage de matériau pour réclamation de tranche
DE102011000322A1 (de) * 2011-01-25 2012-07-26 saperatec GmbH Trennmedium, Verfahren und Anlage zum Trennen von Mehrschichtsystemen
US8366954B2 (en) 2009-01-13 2013-02-05 Avantor Performance Materials, Bv Solution for increasing wafer sheet resistance and/or photovoltaic cell power density level
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
WO2015143056A1 (fr) * 2014-03-18 2015-09-24 Specmat, Inc. Procédé et technologie de fabrication pour couches d'oxyde
KR20160014714A (ko) * 2013-06-06 2016-02-11 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
CN105388713A (zh) * 2015-12-16 2016-03-09 无锡吉进环保科技有限公司 一种薄膜液晶显示器中的铝膜水系光阻剥离液
EP2939263A4 (fr) * 2012-12-31 2016-11-02 Nalco Co Maîtrise améliorée des niveaux de fluorure d'hydrogène dans un agent de gravure d'oxydes
EP2964725A4 (fr) * 2013-03-04 2016-11-23 Advanced Tech Materials Compositions et procédés pour graver sélectivement du nitrure de titane
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
WO2018148237A1 (fr) * 2017-02-10 2018-08-16 Fujifilm Electronic Materials U.S.A., Inc. Compositions de nettoyage
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
WO2019079547A1 (fr) * 2017-10-19 2019-04-25 Fujifilm Electronic Materials U.S.A., Inc. Compositions de gravure
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
WO2019204707A1 (fr) * 2018-04-19 2019-10-24 Georgia Tech Research Corporation Systèmes et procédés de recyclage d'électrodes
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US10619097B2 (en) 2014-06-30 2020-04-14 Specmat, Inc. Low-[HF] room temperature wet chemical growth (RTWCG) chemical formulation
US10647950B2 (en) 2015-03-31 2020-05-12 Versum Materials Us, Llc Cleaning formulations
CN112967930A (zh) * 2021-02-07 2021-06-15 西安微电子技术研究所 一种SiC晶圆的金属化层剥离方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN115465846A (zh) * 2022-09-13 2022-12-13 宜都兴发化工有限公司 一种多孔磷酸铁的制备方法
US20230339843A1 (en) * 2020-03-26 2023-10-26 Dongwoo Fine-Chem Co., Ltd. Composition for Removing Polymer

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI508996B (zh) * 2013-08-14 2015-11-21 Far Eastern New Century Corp To reduce the color difference with the composition and conjugate polymer guide The method of forming an insulating region and a conductive region by a film and reducing the color difference between the regions
US9771550B2 (en) 2013-12-11 2017-09-26 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
JP6460729B2 (ja) * 2014-10-31 2019-01-30 富士フイルム株式会社 基板処理方法、及び、半導体素子の製造方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5073289A (en) * 1989-11-02 1991-12-17 The Curators Of The University Of Missouri Paint stripper composition having reduced volatility containing decanolactone, n-methylpyrrolidone and butyrolactone and method of use
US6586382B1 (en) * 1998-10-19 2003-07-01 The Procter & Gamble Company Process of bleaching fabrics
US20040224866A1 (en) * 2003-02-19 2004-11-11 Hiroshi Matsunaga Cleaning solution and cleaning process using the solution
US20050049157A1 (en) * 2003-08-29 2005-03-03 Kimberly-Clark Worldwide, Inc. Single phase color change agents
JP2006083376A (ja) * 2004-08-18 2006-03-30 Mitsubishi Gas Chem Co Inc 洗浄液および洗浄法。
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3210800B2 (ja) * 1994-04-12 2001-09-17 ワッカー・エヌエスシーイー株式会社 半導体基板の洗浄方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5073289A (en) * 1989-11-02 1991-12-17 The Curators Of The University Of Missouri Paint stripper composition having reduced volatility containing decanolactone, n-methylpyrrolidone and butyrolactone and method of use
US6586382B1 (en) * 1998-10-19 2003-07-01 The Procter & Gamble Company Process of bleaching fabrics
US20040224866A1 (en) * 2003-02-19 2004-11-11 Hiroshi Matsunaga Cleaning solution and cleaning process using the solution
US20050049157A1 (en) * 2003-08-29 2005-03-03 Kimberly-Clark Worldwide, Inc. Single phase color change agents
JP2006083376A (ja) * 2004-08-18 2006-03-30 Mitsubishi Gas Chem Co Inc 洗浄液および洗浄法。
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids

Cited By (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1975987A3 (fr) * 2007-03-31 2011-03-09 Advanced Technology Materials, Inc. Procédés de décapage de matériau pour réclamation de tranche
EP2101219A1 (fr) * 2008-03-14 2009-09-16 Air Products and Chemicals, Inc. Dissolvant pour nettoyer le cuivre/BEOL à faible K
US8366954B2 (en) 2009-01-13 2013-02-05 Avantor Performance Materials, Bv Solution for increasing wafer sheet resistance and/or photovoltaic cell power density level
WO2010081661A3 (fr) * 2009-01-14 2010-10-07 Mallinckrodt Baker Bv Solution destinee a augmenter la resistance de couche de plaquette et/ou le niveau de densite de puissance de cellule photovoltaïque
CN102282682A (zh) * 2009-01-14 2011-12-14 安万托特性材料有限公司 增加晶片薄层电阻和/或光电池功率密度水平的溶液
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US10618268B2 (en) 2011-01-25 2020-04-14 saperatec GmbH Method for separating multilayer systems
DE102011000322A1 (de) * 2011-01-25 2012-07-26 saperatec GmbH Trennmedium, Verfahren und Anlage zum Trennen von Mehrschichtsystemen
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US10392560B2 (en) 2011-12-28 2019-08-27 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
EP2939263A4 (fr) * 2012-12-31 2016-11-02 Nalco Co Maîtrise améliorée des niveaux de fluorure d'hydrogène dans un agent de gravure d'oxydes
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
EP2964725A4 (fr) * 2013-03-04 2016-11-23 Advanced Tech Materials Compositions et procédés pour graver sélectivement du nitrure de titane
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
KR20160014714A (ko) * 2013-06-06 2016-02-11 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
EP3004287A4 (fr) * 2013-06-06 2017-06-21 Entegris, Inc. Compositions et procédés pour l'attaque sélective de nitrure de titane
KR102338550B1 (ko) * 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
WO2015143056A1 (fr) * 2014-03-18 2015-09-24 Specmat, Inc. Procédé et technologie de fabrication pour couches d'oxyde
CN106415783B (zh) * 2014-03-18 2020-03-20 斯派克迈特股份有限公司 氧化物层的工艺和制造技术
CN106415783A (zh) * 2014-03-18 2017-02-15 斯派克迈特股份有限公司 氧化物层的工艺和制造技术
US10622495B2 (en) 2014-03-18 2020-04-14 Specmat, Inc. Method, process and fabrication technology for oxide layers
US10619097B2 (en) 2014-06-30 2020-04-14 Specmat, Inc. Low-[HF] room temperature wet chemical growth (RTWCG) chemical formulation
US10647950B2 (en) 2015-03-31 2020-05-12 Versum Materials Us, Llc Cleaning formulations
CN105388713A (zh) * 2015-12-16 2016-03-09 无锡吉进环保科技有限公司 一种薄膜液晶显示器中的铝膜水系光阻剥离液
JP2020508369A (ja) * 2017-02-10 2020-03-19 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄用調合物
WO2018148237A1 (fr) * 2017-02-10 2018-08-16 Fujifilm Electronic Materials U.S.A., Inc. Compositions de nettoyage
CN110249041A (zh) * 2017-02-10 2019-09-17 富士胶片电子材料美国有限公司 清洗制剂
US10626353B2 (en) 2017-02-10 2020-04-21 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations
US11198816B2 (en) 2017-10-19 2021-12-14 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US10889757B2 (en) 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
CN111225965B (zh) * 2017-10-19 2021-12-03 富士胶片电子材料美国有限公司 蚀刻组合物
WO2019079547A1 (fr) * 2017-10-19 2019-04-25 Fujifilm Electronic Materials U.S.A., Inc. Compositions de gravure
CN111225965A (zh) * 2017-10-19 2020-06-02 富士胶片电子材料美国有限公司 蚀刻组合物
WO2019204707A1 (fr) * 2018-04-19 2019-10-24 Georgia Tech Research Corporation Systèmes et procédés de recyclage d'électrodes
US20230339843A1 (en) * 2020-03-26 2023-10-26 Dongwoo Fine-Chem Co., Ltd. Composition for Removing Polymer
CN112967930A (zh) * 2021-02-07 2021-06-15 西安微电子技术研究所 一种SiC晶圆的金属化层剥离方法
CN112967930B (zh) * 2021-02-07 2023-05-12 西安微电子技术研究所 一种SiC晶圆的金属化层剥离方法
CN115465846A (zh) * 2022-09-13 2022-12-13 宜都兴发化工有限公司 一种多孔磷酸铁的制备方法
CN115465846B (zh) * 2022-09-13 2023-10-27 宜都兴发化工有限公司 一种多孔磷酸铁的制备方法

Also Published As

Publication number Publication date
WO2008157345A3 (fr) 2009-04-16
TW200918664A (en) 2009-05-01

Similar Documents

Publication Publication Date Title
WO2008157345A2 (fr) Compositions et procédés de récupération de plaquette
EP1975987A2 (fr) Procédés de décapage de matériau pour réclamation de tranche
US20100112728A1 (en) Methods for stripping material for wafer reclamation
TWI485110B (zh) 使用界面活化劑/消泡劑混合物以增強矽基板之金屬負載及表面鈍化作用
US8642526B2 (en) Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
KR102324018B1 (ko) 텅스텐 워드 라인 리세스를 위한 에칭 용액
CN110777381B (zh) 用于TiN硬掩模去除和蚀刻残留物清洁的组合物
KR101444468B1 (ko) 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
KR101891363B1 (ko) 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
US20120042898A1 (en) Compositions and method for the removal of photoresist for a wafer rework application
US20080125342A1 (en) Formulations for cleaning memory device structures
EP4101009B1 (fr) Tensioactifs pour l'électronique
KR20100014916A (ko) TiSiN의 선택적 제거를 위한 조성물 및 공정
TW201610102A (zh) 具有金屬、電介質及氮化物相容性之抗反射塗層清洗及蝕刻後殘留物移除組成物
CN116286222A (zh) Tin拉回和清洁组合物
TW201730326A (zh) 具有優異基材相容性及卓越浴穩定性之經酸性半水性氟化物活化的抗反射塗層清潔劑

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08771008

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08771008

Country of ref document: EP

Kind code of ref document: A2