WO2007026816A1 - 露光装置および方法ならびにデバイス製造方法 - Google Patents

露光装置および方法ならびにデバイス製造方法 Download PDF

Info

Publication number
WO2007026816A1
WO2007026816A1 PCT/JP2006/317208 JP2006317208W WO2007026816A1 WO 2007026816 A1 WO2007026816 A1 WO 2007026816A1 JP 2006317208 W JP2006317208 W JP 2006317208W WO 2007026816 A1 WO2007026816 A1 WO 2007026816A1
Authority
WO
WIPO (PCT)
Prior art keywords
exposure
amount
exposure apparatus
vacuum vessel
carbon
Prior art date
Application number
PCT/JP2006/317208
Other languages
English (en)
French (fr)
Inventor
Yoshio Gomei
Hiromitsu Takase
Shigeru Terashima
Original Assignee
Canon Kabushiki Kaisha
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Kabushiki Kaisha filed Critical Canon Kabushiki Kaisha
Priority to JP2007533322A priority Critical patent/JPWO2007026816A1/ja
Priority to EP06797168A priority patent/EP1926128A4/en
Priority to US11/614,416 priority patent/US7724348B2/en
Publication of WO2007026816A1 publication Critical patent/WO2007026816A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70841Constructional issues related to vacuum environment, e.g. load-lock chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants

Definitions

  • the present invention relates to an exposure apparatus and method for exposing a substrate through an original plate using exposure light, and a device manufacturing method.
  • EUV lithography Since EUV light is strongly absorbed by substances, transmissive optical elements utilizing refraction like UV lithography cannot be used.
  • EUV lithography reflective optical elements are used, and on the surface, a multilayer film in which two types of substances with different optical constants are alternately stacked is placed. The power of 13.5 nm and its neighboring EUV light is efficiently reflected by alternately laminating molybdenum (Mo) and silicon (Si) on the surface of a glass substrate polished into a precise shape.
  • Mo molybdenum
  • Si silicon
  • EUV light is absorbed by gas components present in the atmosphere, the inside of the exposure apparatus is kept at a moderate vacuum. In this vacuum atmosphere, gas containing moisture and carbon-based substances remains. These gases are generated mainly from parts and cables used in the exposure apparatus. It also contains components that volatilize from the resist (photosensitive material) applied on the wafer. These residual gas components are adsorbed on the surface of the optical element and are irradiated with EUV light during the residence time. EUV light has a high ability to decompose substances, so in the case of carbon-based residual gas components, carbon deposition occurs due to decomposition on the surface. Similarly, in the case of moisture, active oxygen components are generated by decomposition on the surface, and the surface material is oxidized.
  • Such carbon and oxygen components on the surface When added, it absorbs EUV light depending on its thickness. This absorption is non-negligible and results in a degradation of the EUV light reflectivity of the optical element. Since a large number of optical elements are used to construct a reduction projection system with excellent resolving power, the amount of light passing through the optical system is reduced in a manner that synchronizes the deterioration of reflectance per element. Correspondingly, the exposure time increases and the performance of the exposure apparatus decreases.
  • target gases include hydrocarbons such as methane, ethane, or propane, linear organic substances such as isopropyl alcohol or polymethyl methacrylate, and cyclic organic substances such as benzene or phthalate esters (Patent Document 1). .
  • Patent Document 2 As a method for removing deposited carbon, UV light having a wavelength of less than 250 nm in the presence of an oxygen-containing species selected from water, nitrogen oxides, and oxygen-containing hydrocarbons in an atmosphere in which an optical element is placed Alternatively, a method of irradiating EUV light has been proposed (Patent Document 2). As a carbon removal method, there has been proposed a method in which a processing gas containing at least one substance selected from water vapor, oxygen, ozone and radical groups is introduced and irradiated with an electron beam (Patent Document 3). ).
  • Non-patent Document 1 In the prevention of oxidation, when the uppermost layer of the multilayer film is Si, it is divided into a certain degree that it is effective to some extent by introducing ethanol into the optical element installation atmosphere and performing exposure (Non-patent Document 1). In addition, when a thin ruthenium (Ru) protective film is placed on the uppermost layer of the multi-layer film, Ru has acid resistance, so that it is possible to suppress EUV light absorption to some extent (Non-patent Document 2).
  • Ru ruthenium
  • Patent Document 1 Japanese Patent No. 3467485
  • Patent Document 2 Japanese Patent Laid-Open No. 2003-188096
  • Patent Document 3 Japanese Patent Laid-Open No. 2002-237443
  • Non-Patent Document 1 L. Klebanoff, 2nd International EUVL Workshop, [online], October 19-20, 2000, International SEMATECH Manufacturing Initiative, [searched June 10, 2005], Internet http: ZZwww sematec h. org / resources / litho / meetings / euvl / 20001019 / index, htm
  • Non-Patent Document 2 S. Bajt, H. Chapman, N. Nguyen, J. Alameda, J. Robinson, M. Malinowski ⁇ E. Gullikson, A. Aquila ⁇ C. Tarrio, S. Granthan, SPIE, Heisei 15 ( 2003), 5037, p. 236
  • the Ru film which is currently considered the most promising as a multilayer protective film, is an EUV light in an environment where there are few carbon-based residual gas components and in the presence of moisture introduced by simulating the exposure apparatus environment.
  • oxidation proceeds and the EUV light reflectance deteriorates. It is known that the decrease in exposure capability resulting from this deterioration in reflectivity is to an extent that cannot be tolerated by an exposure apparatus in a practical stage.
  • the present invention has been made in consideration of the above problems, and provides an example of providing a novel technique for reducing at least the former of the oxidation of ruthenium film and the deposition of carbon on the ruthenium film. Objective purpose.
  • a first invention is an exposure apparatus that exposes a substrate through an original using exposure light, and includes a multilayer film that reflects the exposure light, and a ruthenium film disposed on the multilayer film. Reflecting means for reflecting the exposure light;
  • An exposure apparatus comprising: adjusting means for supplying water into the vacuum container and adjusting the amount of water in the vacuum container.
  • the second invention is an exposure method for exposing a substrate through an original plate using exposure light, comprising: a multilayer film that reflects the exposure light; and a ruthenium film disposed on the multilayer film.
  • the third invention is a step of exposing a substrate using the exposure apparatus of the first invention. It is a device manufacturing method characterized by having.
  • the fourth invention is an exposure apparatus that exposes a substrate through an original using exposure light, and includes a multilayer film that reflects the exposure light and a ruthenium film disposed on the multilayer film. Reflecting means for reflecting the exposure light;
  • Detection means for detecting the amount of gaseous components in the vacuum vessel
  • Supply means for supplying at least one of water gas and carbon-based gas into the vacuum vessel
  • the supply means Based on the amount of the gas component detected by the detection means, the supply means causes the water gas amount and the carbon-based gas amount to be in a predetermined relationship in the vacuum vessel.
  • Control means for controlling at least one of the supply amounts;
  • the fifth invention is an exposure method for exposing a substrate through an original plate using exposure light, comprising: a multilayer film that reflects the exposure light; and a ruthenium film disposed on the multilayer film.
  • the amount of water gas and the amount of carbon-based gas in the vacuum vessel have a predetermined relationship. So as to adjust the supply amount of at least one of the
  • the sixth invention is a device manufacturing method comprising a step of exposing a substrate using the exposure apparatus of the fourth invention.
  • FIG. 1 is a block diagram of an exposure apparatus in an embodiment of the present invention.
  • FIG. 5 is a main part configuration diagram of an exposure apparatus according to another embodiment of the present invention.
  • FIG. 6 is a flowchart showing the operation of the exposure apparatus.
  • FIG. 1 shows an outline of an EUV exposure apparatus 1 which is an embodiment of the present invention.
  • the EUV light emitted from the plasma spot 14 formed at the condensing point of the laser light from the laser light source 10 is condensed and shaped by the illumination optical system 16 composed of a number of reflecting mirrors and applied to the reflective mask 20 Led.
  • a desired pattern is formed on the mask, and the reflected pattern is imaged on the wafer 26 via the projection optical system 22.
  • the mask and wafer are mounted on a mask stage 18 and a wafer stage 24, which can be translated. By exposing the wafer through the mask pattern while synchronously scanning the mask and the wafer in one direction, a pattern having a large size can be projected onto the wafer in the scanning direction.
  • a discharge type plasma light source may be used as the light source unit that generates EUV light.
  • UV light either actively use the UV light emitted from the EUV light source, or introduce light from the UV light source in the middle of the illumination optical system. UV light also has an adverse effect on image formation on the wafer and cannot be applied excessively.
  • the light emitted from the light source may contain UV light. In order to keep this below the allowable value, when installing a filter in the illumination system, it is necessary to set the thickness appropriately.
  • the optical elements used in the mask 20, the illumination optical system 16, and the projection optical system 22 are coated with a multilayer film. As described above, generally, about 50 pairs of Si and Mo are deposited.
  • the wafer 26, the mask 20, the illumination projection system 16, the projection optical system 22, the mask stage 18, the wafer stage 26 are stored in the vacuum container 2.
  • the vacuum vessel is evacuated to a pressure of about 10 15 to 10 _6 Pa by an evacuation means 28 such as a turbo molecular pump. Thereafter, moisture is introduced to a predetermined pressure by the gas introduction system 30 and exposure is performed while maintaining this state.
  • an evacuation means 28 such as a turbo molecular pump.
  • moisture is introduced to a predetermined pressure by the gas introduction system 30 and exposure is performed while maintaining this state.
  • FIG. 2 is a schematic diagram for explaining a configuration example of a water supply system (water supply system) and a gas introduction system 30 as adjustment means for adjusting the amount of water (steam) in the vacuum container 2. It is.
  • the gas introduction system 30 is configured as a tank to which the exhaust means 28 is connected.
  • 31 is a pressure sensor that measures the pressure in the vacuum vessel 2 (partial pressure of water)
  • 32 is a flow rate adjustment mechanism that includes an adjustment valve whose opening degree changes according to the output of the pressure sensor 31
  • 33 is A container that holds pure water.
  • 31 to 33 constitute a water supply system.
  • Container 33 has the function of freezing water. The ultrapure water in container 33 is then frozen.
  • the adjustment valve is closed and the water supply system is exhausted sufficiently using the exhaust means 28. Thereafter, the water is returned to the liquid, and water vapor evaporated from the liquid is supplied to the gas introduction system 30.
  • the flow rate of water to the gas introduction system 30 is adjusted by the flow rate adjusting mechanism 32 according to the signal from the pressure sensor 31.
  • an adjustment valve having a constant opening degree may be provided at the outlet of the container 33.
  • controlling the exhaust speed of the exhaust means 28 attached to the gas introduction system 30 may be effective for finely adjusting the gas supply amount to the vacuum vessel 2. In this way, the water pressure (partial pressure) in the vacuum vessel 2 of the exposure apparatus can be set to a desired value.
  • the system for supplying the carbon-based gas can be connected to the gas introduction system 30 or added independently as necessary, for example, with the same configuration as the water supply system.
  • An appropriate supply method may be used according to the carbon-based gas used. If the normal state of the substance to be supplied at normal temperature and pressure is gas, the supply system does not need a refrigeration function.
  • the present invention has been found in the process of performing the acceleration test described below.
  • the EUV light intensity irradiated to the exposure equipment projection optical system 22 is about 0.2 to 20 mWZcm 2, which varies greatly depending on the optical element. It is desirable that the exposure apparatus projection optical system has a lifetime of at least several years or the same as the exposure apparatus. Therefore, EUV light of lOWZcm 2 was irradiated for the purpose of performing an acceleration test of about 500 times with reference to a mirror that receives high-intensity irradiation.
  • the main component of the residual gas total pressure value 7xlO _5 Pa has proved to be a water mass number 18.
  • Ru by EUV irradiation As a carbon-based gas component that affects the surface, a mass number of 55 is generally used as a guide, and the amount of this component was about 1Z10000 with a mass number of 18. This carbon component comes from parts and cables used in the experimental equipment. However, even in the vacuum chamber 2 of the exposure apparatus, although it may vary in quantity, it is expected that carbon-based components are present as well. Therefore, EUV light was introduced into the above atmosphere, and a Mo and Si multilayer film substrate (optical element sample) with 2 nm of Ru as the uppermost layer was irradiated.
  • a thin carbon layer was formed and retained on the Ru surface, which suppressed the acidity of the Ru surface to a very low level.
  • the results in Fig. 3 are the results at the EUV light illuminance position where the carbon layer is observed in the clearest form. Where EUV illumination is high, the degree of carbon layer formation may be less. However, the carbon layer that is continuously generated by EUV light irradiation also effectively prevents Ru's oxidation. This carbon layer is oxidized by an oxidizing component caused by water generated by irradiation with EUV light to turn into volatiles (gas) and desorb from the surface. This process suppresses oxidation of Ru.
  • a thin carbon protective film may be formed on the Ru surface due to the quantitative relationship between the carbon-based components present in the atmosphere in which the optical element is placed and moisture. That is, a thin carbon film is deposited on the Ru surface, and as a result, the decrease in reflectance is minimized. Ru acidification is prevented in the fastened state.
  • the amount of carbon-based gas expressed by a mass number of 55 is reduced to a practically feasible range, and appropriate moisture is introduced. It is desirable that the apparatus can be set so that the effect can be obtained.
  • the desired moisture without excessively mixing the carbon-based gas expressed by the mass number 55. For example, it is effective to use highly pure water in the water supply system and to sufficiently exhaust the water supply system in a state where the water is frozen.
  • the pressure of the water in the vacuum container to be adjusted by adjusting means comprising a water supply system and gas supply system described above is preferably not more than l X 10 _6 Pa or l X 10 _2 Pa.
  • the lower limit of 1 X 10 _6 Pa is a lower limit for practical pressure adjustment, and if it is less than this pressure value, the effect of suppressing carbon layer deposition cannot be expected.
  • Exceeding the upper pressure limit of 1 X 10_2 Pa will cause adverse effects such as attenuation of EUV light and oxidation of the optical element surface.
  • the pressure of the carbon-based gas in the vacuum vessel is adjusted by the adjusting means, it is desirable that the gas supplied into the vacuum vessel is at least one of hide port carbon and alcohol.
  • Force is preferably not more than 1 X 10 _1G Pa least 1 X 10 _6 Pa.
  • the lower limit of 1 ⁇ 10_1 ° Pa is a lower limit for practical pressure adjustment, and if it is less than this pressure value, the effect of forming a carbon layer that suppresses oxidation of the optical element surface cannot be expected.
  • Exceeding the upper pressure value of 1 X 10 " 6 Pa will cause adverse effects such as a decrease in optical element reflectivity due to excessive deposition of the carbon layer.
  • FIG. 5 is a block diagram of the main part of the EUV exposure apparatus according to this embodiment.
  • the overall configuration of the EUV exposure system is the same as that shown in Fig. 1.
  • Components similar to or similar to those in FIG. 2 are given the same or similar reference numerals as in FIG. 2, and descriptions thereof are omitted as appropriate.
  • the vacuum vessel 2 of the EUV exposure apparatus includes not only the projection optical system but also a mask stage and a wafer stage. Therefore, the residual gas components in the atmosphere in the vacuum vessel 2 are found after the design and manufacture of the exposure apparatus, and can change over time depending on the usage conditions and the like. For this reason, a detector 3 ⁇ for detecting the amount of residual gas components in the vacuum vessel 2 is provided. For example, a quadrupole mass spectrometer can be used as the detector 3 ⁇ .
  • the water supply source 33 for supplying water (gas) into the vacuum vessel 2 is replaced with a carbon-based gas supply source 34 for supplying carbon-based gas into the vacuum vessel 2.
  • the carbon-based gas for example, one selected from the group of compounds specified as hydrocarbons or alcohols can be used.
  • Reference numeral 35 denotes a controller (controller), and the controller 35 controls the opening degree of the valve 32 based on the amount of the gas component detected by the detector 3 ⁇ to control the water. The amount of supply can be adjusted. Further, the controller 35 can adjust the supply amount of the carbon-based gas by controlling the opening degree of the valve 32 ′ based on the amount of the gas component detected by the detector 3 ⁇ .
  • Reference numeral 36 denotes a memory, which stores information for determining the supply amount of at least one of water and carbon-based gas, for example, information on the relationship between the amount of water and the amount of carbon-based gas. To do. The information on the relationship is preferably stored for each of a plurality of exposure conditions.
  • the exposure conditions include exposure light (EUV light) irradiation conditions, exposure light energy, and the like.
  • the information on the relationship includes, for example, the amount of water and carbon prepared for each exposure condition.
  • Information in a table format indicating a correspondence relationship with the amount of the system gas can be used.
  • FIG. 6 is a flowchart showing the flow of the operation.
  • the exhaust unit 28 is used to start exhausting the vacuum container 2 of the EUV exposure apparatus. After the degree of vacuum in the vacuum container 2 reaches a predetermined degree of vacuum suitable for exposure, the amount (partial pressure) of the residual gas component in the vacuum container 2 is detected using the detector 3 ⁇ (step S62). .
  • the amount of carbon-based residual gas components relative to water depending on the amount of each gas component having a mass number of 18 (water) and each gas component having a mass number of 45 or more. it can. In practice, it can often be determined by the amount of gas having a mass number of 55. For example, when this amount exceeds 1Z10000 of the amount of water (mass number 18), it can be determined to be many, and when it is 1Z10000 or less, it can be determined to be soot. However, this criterion may be different from the 1Z10000 water amount, depending on the type of gas present.
  • an appropriate quantitative relationship is a quantitative relationship in which the oxidation of the Ru film is suppressed and the deposition of carbon on the Ru film is suppressed.
  • the obtained quantitative relationship is stored in the above-described storage device, and is used for determining the supply amount of at least one of water and carbon-based gas by the controller 35.
  • the controller 35 opens the nozzle 32 in the water supply means having the water supply source 33 so that water is supplied into the vacuum vessel 2. At this time, the opening degree of the valve 32 is automatically controlled so as to obtain an appropriate partial pressure of water so that the oxidation of the Ru film does not proceed.
  • the controller 35 causes the carbon-based gas (for example, at least one of hydrocarbon and alcohol) to be supplied into the vacuum vessel 2. Open valve 32 'in the carbon-based gas supply means with supply 34. At this time, the opening degree of the valve 32 ′ is automatically controlled so as to obtain an appropriate partial pressure of the carbon-based gas so that excessive carbon deposition does not occur on the Ru film.
  • the moisture pressure may also be reduced.
  • Appropriate amounts of water and carbon-based gas may optimally exhibit the effect of reducing acid and z or carbon deposition. In such cases, it is effective to introduce both water and carbon-based gas into the vacuum vessel 2 and appropriately control the partial pressure of both.
  • the controller 35 is based on the amount (partial pressure) of the residual gas component in the vacuum vessel 2 detected using the detector 3 ⁇ !
  • the supply amount of at least one of the gases is determined (step S63).
  • the information on the appropriate quantitative relationship between water and the carbon-based gas for each exposure condition, which is obtained in advance and stored in the storage device 36, is referred to.
  • the controller controls the opening degree of at least one of the valves 32 and 32 'based on the determined supply amount of at least one of water and carbon-based gas (step S64). Thereby, at least one of water (gas) and carbon-based gas is supplied into the vacuum vessel 2, and the supply amount is adjusted by the opening degree of at least one of the valves 32 and 32 ′.
  • the carbon deposition on the Ru film in the vacuum vessel 2 is reduced, or the carbon deposition on the Ru film is reduced and the acidity of the Ru film is reduced.
  • the wafer (substrate) 26 is exposed through the reflecting means (mirrors) 16 and 22 having such a Ru film and the reflective mask 20 (step S65).
  • FIG. 6 is a diagram showing a flow of a semiconductor device manufacturing process.
  • step 1 circuit design
  • step 2 mask fabrication
  • a mask both original and reticle
  • step 3 wafer manufacture
  • a wafer also referred to as a substrate
  • step 4 wafer process
  • a pre-process is called a pre-process, and using the mask and wafer described above, an actual circuit is formed on the wafer by lithography using the exposure apparatus described above.
  • Form is formed on the wafer by lithography using the exposure apparatus described above.
  • step 5 assembly
  • step 6 the semiconductor device fabricated in step 5 undergoes inspections such as an operation confirmation test and durability test. The semiconductor device is completed through these processes, and is shipped in Step 7.
  • the wafer process in Step 4 includes the following steps. Oxidation step for oxidizing the wafer surface, CVD step for forming an insulating film on the wafer surface, electrode formation step for forming electrodes on the wafer by vapor deposition, ion implantation step for implanting ions into the wafer, and Ueno A resist processing step for applying a photosensitive agent, an exposure step for transferring a circuit pattern to the wafer after the resist processing step by the above exposure apparatus, a development step for developing the wafer exposed in the exposure step, and a resist image developed in the development step Etching step to remove other parts, resist stripping step to remove unnecessary resist after etching. By repeating these steps, multiple circuit patterns are formed on the wafer.

Landscapes

  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

 ルテニウム膜の酸化およびルテニウム膜への炭素の堆積のうち少なくとも前者を低減する新規な技術を提供する。露光光を用いて原版を介し基板を露光する露光装置を、該露光光を反射する多層膜と前記多層膜の上に配されたルテニウム膜とを有し、該露光光を反射する反射手段と、前記反射手段を内包する真空容器と、前記真空容器内を排気する排気手段と、前記真空容器内の気体成分の量を検出する検出手段と、前記真空容器内に水の気体および炭素系の気体の少なくとも一方を供給する供給手段と、前記検出手段により検出された気体成分の量に基づいて、前記真空容器内において水の気体の量と炭素系の気体の量とが予め定められた関係になるように、前記供給手段による該少なくとも一方の供給量を制御する制御手段と、を有するものとする。

Description

明 細 書
露光装置および方法ならびにデバイス製造方法
技術分野
[0001] 本発明は、露光光を用いて原版を介し基板を露光する露光装置および方法ならび にデバイス製造方法に関する。
背景技術
[0002] 現在メモリや論理回路など微細な半導体素子を製造するための先端リソグラフィ技 術として、紫外 (UV)光を用いた縮小投影露光装置が使われている。しかし素子の 高集積ィ匕が進み 50nm以下の線幅が使用されるようになると、 UV光を用いたリソダラ フィでは十分な解像力を提供できな!/、ことが危惧されて 、る。この状況を打開するた めに、 l l〜15nmとさらに短い波長を有する極紫外(Extreme Ultraviolet: EUV )光を用いたリソグラフィ技術が開発されている。
[0003] EUV光は物質に強く吸収されるため、 UVリソグラフィのように屈折を利用した透過 型光学素子は使えない。 EUVリソグラフィでは反射型光学素子を使用し、その表面 には光学定数の異なる 2種類の物質を交互に積層した多層膜を置く。精密な形状に 研磨されたガラス系基板表面に、モリブデン (Mo)とシリコン (Si)を交互に積層するも の力 波長 13. 5nmおよびその近傍の EUV光を効率よく反射する。 EUV露光装置 では、この反射型素子で構成される縮小投影光学系によりウェハ上にマスクのバタ 一ン像を形成する。
[0004] EUV光は雰囲気中に存在するガス成分によっても吸収されるため、露光装置内は 適度の真空に保たれる。この真空雰囲気には、水分や炭素系の物質を含むガスが 残留している。これらのガスは、主に露光装置内部で使用される部品やケーブルから 発生する。またウェハ上に塗布されたレジスト (感光性物質)から揮発する成分も含ま れる。これら残留ガス成分は光学素子表面に吸着し、その滞在時間中に EUV光の 照射を受ける。 EUV光は物質を分解する能力も高いため、炭素系残留ガス成分の 場合は表面での分解で炭素の堆積が生じる。水分の場合は同様に表面での分解で 活性酸素成分が生じ、表面素材が酸化される。このような炭素、酸素成分が表面に 加わると、その厚さに応じて EUV光を吸収する。この吸収は無視できない量であり、 その結果光学素子の EUV光反射率が劣化する。解像力に優れる縮小投影系を構 成するには多数の光学素子を使用するので、一素子当たりの反射率劣化を相乗す る形で光学系を通過する光量は減少する。これに相応して露光時間は増加し、露光 装置の性能は低下する。
[0005] これまで炭素の堆積にっ ヽては、堆積を防ぐ方法や堆積物を除去する方法が提案 されてきた。炭素堆積の防止には、光学素子が置かれる雰囲気の対象ガス圧力を低 く押さえる考え方が一般的である。対象ガスとしてメタン、ェタン、もしくはプロパンな どの炭化水素、イソプロピルアルコールもしくはポリメチルメタクリエートなどの直鎖有 機物、およびベンゼンもしくはフタル酸エステルなどの環状有機物を挙げた例がある (特許文献 1)。
[0006] 堆積炭素除去法として、光学素子が置かれる雰囲気に水、窒素酸化物、および酸 素含有炭化水素から選択された酸素含有種の存在下で、 250nmに満たな 、波長を 有する UV光もしくは EUV光を照射する方法が提案されて ヽる (特許文献 2)。また炭 素除去法として、水蒸気、酸素、オゾン及びラジカル群カゝら選択された少なくとも 1つ の物質を含む処理ガスを導入し、電子線を照射する方法が提案されている (特許文 献 3)。
[0007] 酸化防止では、多層膜の最上層が Siの場合に光学素子設置雰囲気にエタノール を導入し、露光することがある程度有効であると分力つている(非特許文献 1)。また多 層膜最上層に薄 ヽルテニウム (Ru)保護膜を置くと Ruに酸ィ匕耐性があるため、 EUV 光の吸収がある程度押さえられることが分力つている(非特許文献 2)。
特許文献 1:特許第 3467485号公報
特許文献 2 :特開 2003— 188096号公報
特許文献 3:特開 2002— 237443号公報
非特許文献 1 : L. Klebanoff、 2nd International EUVL Workshop, [online ]、平成 12年 10月 19— 20日、 International SEMATECH Manufacturing I nitiative、 [平成 17年 6月 10日検索]、インターネットく http : ZZwww. sematec h. org/ resources/litho/ meetings/ euvl/ 20001019/ index, htm 非特許文献 2 : S. Bajt、 H. Chapman, N. Nguyen, J. Alameda, J. Robinson, M. Malinowskiゝ E. Gullikson、 A. Aquilaゝ C. Tarrio、 S. Granthan、 SPIE、 平成 15 (2003)年、第 5037卷、 p. 236
発明の開示
発明が解決しょうとする課題
[0008] 現在多層膜保護膜として最も有望と考えられて ヽる Ru膜は、炭素系残留ガス成分 が少ない環境で、かつ露光装置環境を模擬して導入された水分の存在下で、 EUV 光照射実験を行なうと、酸化が進行し、 EUV光反射率が劣化する。この反射率劣化 の結果生じる露光能力の低下は、実用段階の露光装置では許容できな 、程度であ ることが知られている。
[0009] 本発明は、上記の課題を考慮してなされたもので、ルテニウム膜の酸ィ匕およびルテ ニゥム膜への炭素の堆積のうち少なくとも前者を低減する新規な技術を提供すること を例示的目的とする。
課題を解決するための手段
[0010] 第 1の発明は、露光光を用いて原版を介し基板を露光する露光装置であって、 該露光光を反射する多層膜と前記多層膜の上に配されたルテニウム膜とを有し、 該露光光を反射する反射手段と、
前記反射手段を内包する真空容器と、
前記真空容器内を排気する排気手段と、
前記真空容器内に水を供給し、前記真空容器内の水の量を調整する調整手段と を有することを特徴とする露光装置である。
[0011] また、第 2の発明は、露光光を用いて原版を介し基板を露光する露光方法であって 該露光光を反射する多層膜と該多層膜の上に配されたルテニウム膜とを有し該露 光光を反射する反射手段を内包する真空容器内を排気する排気ステップと、 該真空容器内に水を供給し、該真空容器内の水の量を調整する調整ステップと を有することを特徴とする露光方法である。
[0012] また、第 3の発明は、前記第 1の発明の露光装置を用いて基板を露光するステップ を有することを特徴とするデバイス製造方法である。
[0013] また、第 4の発明は、露光光を用いて原版を介し基板を露光する露光装置であって 該露光光を反射する多層膜と前記多層膜の上に配されたルテニウム膜とを有し、 該露光光を反射する反射手段と、
前記反射手段を内包する真空容器と、
前記真空容器内を排気する排気手段と、
前記真空容器内の気体成分の量を検出する検出手段と、
前記真空容器内に水の気体および炭素系の気体の少なくとも一方を供給する供給 手段と、
前記検出手段により検出された気体成分の量に基づいて、前記真空容器内にお いて水の気体の量と炭素系の気体の量とが予め定められた関係になるように、前記 供給手段による該少なくとも一方の供給量を制御する制御手段と
を有することを特徴とする露光装置である。
[0014] また、第 5の発明は、露光光を用いて原版を介し基板を露光する露光方法であって 該露光光を反射する多層膜と該多層膜の上に配されたルテニウム膜とを有し該露 光光を反射する反射手段を内包する真空容器内を排気する排気ステップと、 該真空容器内の気体成分の量を検出する検出ステップと、
該真空容器内に水の気体および炭素系の気体の少なくとも一方を供給する供給ス テツプと
を有し、
前記供給ステップは、前記検出ステップにお 、て検出された気体成分の量に基づ いて、該真空容器内において水の気体の量と炭素系の気体の量とが予め定められ た関係になるように、該少なくとも一方の供給量を調整する
ことを特徴とする露光方法である。
[0015] さらに、第 6の発明は、前記第 4の発明の露光装置を用いて基板を露光するステツ プを有することを特徴とするデバイス製造方法である。 [0016] 本発明の他の目的、特徴および効果等は、添付図面を参照してなされた後述の説 明により明らかにされている。なお、当該図面において、同一または類似の符号は複 数の図面を通して同一または類似の構成要素を表している。
発明の効果
[0017] 本発明によれば、ルテニウム膜の酸ィ匕およびルテニウム膜への炭素の堆積のうち 少なくとも前者を低減する新規な技術を提供することができる。
図面の簡単な説明
[0018] [図 1]本発明の実施形態における露光装置の構成図
[図 2]露光装置の要部構成図
[図 3]ォージェ Zスパッタ法で調べた元素組成を示す図
[図 4]半導体デバイスの製造プロセスのフローを示す図
[図 5]本発明の別の実施形態における露光装置の要部構成図
[図 6]露光装置の動作を示すフローチャート
符号の説明
[0019] 1 露光装置
2 真空容器
10 レーザー光源
12 集光レンズ
14 プラズマスポット
16 照明光学系
18 マスクステージ
20 マスク
22 投影光学系
24 ウエノ、ステージ
26 ウェハ
28 排気手段
30 ガス導入系
31' ' 検出器 33' 水供給源
34 炭素系ガス供給源
35 制御器
発明を実施するための最良の形態
[0020] (第 1の実施形態)
図 1に本発明の一実施形態である EUV露光装置 1の概略を示す。レーザー光源 1 0からのレーザー光の集光点に形成されるプラズマスポット 14から放射される EUV光 は多数の反射鏡で構成される照明光学系 16によって集光 '整形され、反射型マスク 20に導かれる。マスクには所望のパターンが形成されており、その反射パターンを投 影光学系 22を介してウェハ 26上に結像する。マスク、ウェハは、それぞれ並進運動 が可能なマスクステージ 18、ウェハステージ 24上に搭載される。マスクとウェハとを 一方向に同期走査しながらマスクのパターンを介してウェハを露光することにより、当 該走査方向に大きなサイズのパターンをウェハ上に投影することができる。 EUV光を 生成する光源部は、上記のようなレーザープラズマ光源の他に、例えば放電型ブラ ズマ光源を用いてもよい。 UV光を利用する場合は、 EUV光源力も放射される UV光 を積極的に利用するか、照明光学系の途中で UV光源力ゝらの光を導入する。 UV光 はウェハ上の結像を妨げる悪影響もあるので、過度に加えることはできない。 EUV光 源の方式により、光源が発する光に UV光が含まれる場合がある。これを許容値以下 とするために照明系内にフィルタを設置する場合は、その厚さを適切に設定する必 要がある。
[0021] マスク 20、照明光学系 16、投影光学系 22に用いる光学素子には多層膜をコーテ イングするが、前述のように Siと Moを 50対程度堆積するのが一般的である。ウェハ 2 6、マスク 20、照明投影系 16、投影光学系 22、マスクステージ 18、ウェハステージ 2 6などは真空容器 2内に収められる。真空容器は、ターボ分子ポンプなどの排気手段 28によって 10一5〜 10_6Pa程度の圧力までー且排気される。その後、ガス導入系 30 により水分を定められた圧力まで導入し、その状態を保持しながら露光を行なう。この ように露光装置を運転する時、光学素子が置かれる雰囲気に継続して定められた圧 力の水分を導入することが本実施形態の特徴である。真空容器空間がフィルタある いは微小コンダクタンス通路でさらに細分される場合、必要な場所に排気系 28およ びガス導入系 30を追加すればょ 、。
[0022] ガス導入系 30は必要に応じて複数のガスを供給できるようにする。図 2は、真空容 器 2内の水 (水蒸気)の量を調整する調整手段としての、水分を供給する系統 (水供 給系)およびガス導入系 30の構成例を説明するための模式図である。ガス導入系 30 は、ここでは、排気手段 28が接続されたタンクとして構成されている。図 2において、 31は真空容器 2内の圧力(水の分圧)を測定する圧力センサ、 32は圧力センサ 31の 出力に応じて開度が変化する調整弁を含む流量調整機構、 33は超純水を保持する 容器である。 31〜33は水供給系を構成する。容器 33は水を冷凍する機能を備えて いる。容器 33内の超純水はー且冷凍される。その状態で、上記調整弁を閉じ、排気 手段 28を用いて、水供給系を十分排気する。その後水を液体に戻し、液体から蒸発 する水蒸気をガス導入系 30に供給する。ガス導入系 30への水の流量は、圧力セン サ 31の信号に従って流量調整機構 32により調整される。なお、圧力を粗調するため に、容器 33の出口に開度が一定の調整弁を設けてもよい。また、ガス導入系 30に装 着する排気手段 28の排気速度を制御することは、真空容器 2へのガス供給量を微調 するのに有効な場合がある。このようにして、露光装置の真空容器 2内の水圧力(分 圧)を所望の値とすることができる。さらに、炭素系ガスを供給する系統を、必要に応 じて、例えば水供給系と同様の構成で、ガス導入系 30に接続し、あるいは独立に追 加することができる。使用する炭素系ガスに応じ、適切な供給方法を用いればよい。 供給対象物質の常温常圧での常態がガスである場合は、供給系に冷凍機能は必要 ない。
[0023] この発明は以下に述べる加速試験を行なう過程で見出された。露光装置投影光学 系 22に照射される EUV光強度は 0. 2— 20mWZcm2程度と光学素子によって大き く異なる。露光装置投影光学系は少なくとも数年、もしくは露光装置と等しい寿命が あることが望ましい。そこで、高強度の照射を受けるミラーを基準にして 500倍程度の 加速試験を行なう主旨で、 lOWZcm2の EUV光を照射した。この実験に使用した真 空容器を数日排気したときの残留ガススペクトラムを測定した結果、残留ガス全圧力 値 7xlO_5Paの主成分は質量数 18の水であることが判明した。 EUV照射により Ru 表面に影響を及ぼす炭素系ガス成分としては、質量数 55を目安にすることが一般的 であり、この成分の量は質量数 18の量の 1Z10000程度であった。この炭素系成分 は、実験装置で使用している部品やケーブルから出たものである。しかし、露光装置 の真空容器 2内でも、量的には異なり得るが、炭素系成分が同様に存在することが予 想される。そこで、上記の雰囲気中に EUV光を導入し、最上層として 2nmの Ruを付 カロした Mo、 Si多層膜基板 (光学素子サンプル)を照射した。
[0024] 実験の結果、 2. 3xl05jZcm2の照射を受けても、照射中心では反射率が当初の 値の 99%を保持していることが分かった。 2. 3xl05j/cm2は 20mWZcm2で照明 される光学素子でも 1. 2xl07秒 (約 3300時間)の照射に相当する。何故このように 反射率が保持されるかを調べるため、照射位置の深さ方向元素組成をオージ 電子 分析器とイオンスパッタリングとを用いる方法で調べた。図 3はその結果であり、横軸 はィオンスパッタする時間、縦軸は元素組成である。この結果から、 Ru表面に薄い炭 素層が形成'保持され、それが Ru表面の酸ィ匕を極く低いレベルに抑えていることが 判明した。なお、図 3の結果は、炭素層が最も明確な形で観測される EUV光照度位 置での結果である。 EUV光照度が高いところでは、炭素層の形成度がより少ないとこ ろもある。しかし、その場所でも EUV光照射で刻々生成される炭素層が Ruの酸ィ匕を 有効に防止することが分力つている。この炭素層は、 EUV光照射で生成された、水 に起因する酸化成分により酸化されて揮発物 (気体)に変わり、表面から脱離する。こ の過程により、 Ruの酸化が抑制される。
[0025] 基礎知識から、加速試験のときは水分の圧力も、照度と同等に上げる必要があるこ とが分力 ている。そこで次に全圧力が lxlO_2Paとなるまで水分を導入し、照射実 験を行った。この実験は、照射量が 700j/cm2となるまで行った力 照射中心での 反射率はやはり当初値の 99%を保持していた。詳しい評価から、このとき水導入に 伴って比例的に質量数 55の炭素系ガスも導入されたことが分力つた。この影響にも かかわらず、 EUV光反射率が保持されるのは、本発明の幅広い有効性を示唆する ものである。 Ruの素材特性に注目すると、光学素子が置かれる雰囲気に存在する炭 素系成分と水分との量的関係で、 Ru表面に薄い炭素保護膜が形成される可能性が ある。すなわち、 Ru表面に薄い炭素膜が堆積し、その結果、反射率の低下を極微に 留めた状態で Ruの酸ィ匕が防止される。
[0026] このことに着目すると、光学素子が置かれる雰囲気の水分量を積極的に制御するこ とが有効である。この水分量の適量は雰囲気中に存在する炭素系残留ガス成分量 に依存する。また照射する EUV光の強度にも依存する。最適な状態を生むために、 炭素系成分ガスを積極的に導入することも有効である。保護膜を形成するために、 E UV光に加えて真空紫外光を同時に照射することも有効である。
[0027] これまでの実験で、 EUV光の強度が極めて微弱な領域では厚い炭素層の堆積が あり、それに応じて EUV光反射率が低下することが分力つている。したがって、次の ことなどを確認のうえ、適切な方法を選択することが望ま ヽ。
[0028] i)露光装置内の雰囲気および照射条件により、導入水分量の制御のみで所望の 効果を得られるか。
[0029] ii)水分の注入および適当な炭素系ガスの注入を併用する必要がある力。
[0030] iii)さらに真空紫外光の照射を併用する必要があるか。
[0031] 例えば、露光装置の光学素子が置かれる雰囲気で、質量数 55で表現される炭素 系ガスの存在量を現実的に可能な範囲まで下げ、適当な水分を導入することにより、 所望の効果が得られるように、装置を設定できることが望ましい。なお、水供給系の 構成を考慮することで、質量数 55で表現される炭素系ガスの混入を過度に伴わず、 所望の水分を導入することができる。例えば、水供給系では極めて純度の高い水を 用いること、および水を冷凍した状態での水供給系の排気を十分に行うことが有効で ある。
[0032] なお、上述の水供給系およびガス導入系を含む調整手段により調整される真空容 器内の水の圧力は、 l X 10_6Pa以上 l X 10_2Pa以下であることが好ましい。下限の 1 X 10_6Paは、実用上圧力調整が可能な下限値であり、また、この圧力値未満では 炭素層の堆積を抑制する効果を期待できない。上限の圧力値 1 X 10_2Paを超えると 、 EUV光の減衰および光学素子表面の酸化と 、つた悪影響が生じる。
[0033] また、当該調整手段により、真空容器内の炭素系ガスの圧力を調整する場合、真 空容器内に供給するガスは、ハイド口カーボンおよびアルコールの少なくとも一方で あることが望ましい。その際、調整手段により調整される真空容器内の当該ガスの圧 力は、 1 X 10_1GPa以上 1 X 10_6Pa以下であることが好ましい。下限の 1 X 10_1°Pa は、実用上圧力調整が可能な下限値であり、また、この圧力値未満では光学素子表 面の酸化を抑制する炭素層の生成の効果を期待できない。上限の圧力値 1 X 10"6 Paを超えると、炭素層の過剰な堆積による光学素子の反射率の低下といった悪影響 が生じる。
[0034] 次に、本発明の別の実施形態を説明する。図 5は、本実施形態に係る EUV露光装 置の要部構成図である。 EUV露光装置の全体的な構成は、図 1に記載したものと同 様である。また、図 2と同様または類似の構成要素には、図 2と同様または類似の符 号を付し、その説明を適宜省略する。
[0035] EUV露光装置の真空容器 2内には、投影光学系のみならず、マスクステージゃゥ ェハステージ等が内包される。そのため、真空容器 2内の雰囲気中の残留ガス成分 は、露光装置の設計製作の後に判明し、また、使用状況等により経時的に変動し得 る。このため、真空容器 2内の残留ガス成分の量を検出するための検出器 3Γを設け る。検出器 3Γとしては、例えば、 4重極型質量分析器を用いることができる。また、 本実施形態においては、真空容器 2内へ水 (ガス)を供給するための水供給源 33に カロえて、真空容器 2内へ炭素系ガスを供給するための炭素系ガス供給源 34を設けて いる。当該炭素系ガスとしては、例えば、炭化水素またはアルコールとして特定され る化合物群の中力 選択されたものを用いることができる。
[0036] また、符号 35は、制御器 (コントローラ)を示し、制御器 35は、検出器 3Γにより検 出されたガス成分の量に基づ 、て、バルブ 32の開度を制御して水の供給量を調整 することができる。また、制御器 35は、検出器 3 Γにより検出されたガス成分の量に 基づいて、バルブ 32'の開度を制御して炭素系ガスの供給量を調整することができる 。さらに、符号 36は、記憶器 (メモリー)であり、水および炭素系ガスの少なくとも一方 の供給量を決定するための情報、例えば、水の量と炭素系ガスの量との関係の情報 を記憶する。当該関係の情報は、複数の露光条件のそれぞれに関して記憶されてい ることが好ましい。当該露光条件は、露光光 (EUV光)の照射条件、または露光光の エネノレギー、等を含む。
[0037] なお、当該関係の情報は、例えば、各露光条件につき用意された、水の量と炭素 系ガスの量との対応関係を示すテーブル形式の情報とすることができる。
[0038] つづいて、図 6を参照して、本実施形態に係る EUV露光装置の動作を説明する。
図 6は、当該動作の流れを示すフローチャートである。まず、ステップ S61において、 排気手段 28を用いて EUV露光装置の真空容器 2内の排気を開始する。真空容器 2 内の真空度が予め定められた露光に適した真空度に達した後、検出器 3Γを用いて 真空容器 2内の残留ガス成分の量 (分圧)を検出する (ステップ S62)。
[0039] 例えば、質量数 18 (水)のガスの成分および質量数 45以上の各ガスの成分がどの ような量で存在するかにより、水に対する炭素系残留ガス成分の多寡を判定すること ができる。実用的には、質量数 55のガスの量により判定できる場合が多い。一例で は、この量が水(質量数 18)の量の 1Z10000を超えるときは多、 1Z10000以下の 時は寡と判定することができる。但し、この判定基準は、存在するガス種等にもより、 水の量の 1Z10000とは異なる数値になることもあり得る。
[0040] よって、上述したように、実際に真空容器 2内において EUV光で露光された Ru膜 表面の元素分布を測定することにより、露光条件ごとに、水と炭素系ガスとの適切な 量的関係を予め求めておくのが好ましい。ここで、適切な量的関係は、 Ru膜の酸ィ匕 が抑えられ、かつ Ru膜上の炭素の堆積が抑えられるような量的関係である。求めら れた当該量的関係は、上述した記憶器に記憶させておくことにより、制御器 35による 水および炭素系ガスの少なくとも一方の供給量の決定に利用される。
[0041] 炭素系残留ガス成分量が多の場合、制御器 35は、真空容器 2内に水が供給される ように、水供給源 33を有する水供給手段におけるノ レブ 32を開く。このとき、 Ru膜 の酸化が進まな 、ような適切な水の分圧となるように、バルブ 32の開度を自動制御 する。一方、炭化水素系残留ガス成分量が寡の場合には、制御器 35は、真空容器 2 内に炭素系ガス (例えば、炭化水素およびアルコールの少なくとも一方)が供給され るように、炭素系ガス供給源 34を有する炭素系ガス供給手段におけるバルブ 32'を 開く。このとき、 Ru膜上に過度の炭素堆積が生じないような適切な炭素系ガスの分圧 となるように、バルブ 32'の開度を自動制御する。
[0042] なお、炭素系ガスの分圧を要求値まで低下させようとして炭素系ガスの供給量を低 下させる、または排気量を増大させると、水分圧も低下してしまう場合がある。また、 水と炭素系ガスとの適量が酸ィヒ及び z又は炭素の堆積を低減する効果を最適に発 揮することもあり得る。これらのような場合、水と炭素系ガスとの両者を真空容器 2内に 導入し、両者の分圧を適切に制御することが有効である。
[0043] このようにして、制御器 35は、検出器 3Γを用いて検出された真空容器 2内の残留 ガス成分の量 (分圧)に基づ!、て、水 (ガス)および炭素系ガスの少なくとも一方の供 給量を決定する(ステップ S63)。当該決定にあたっては、上述した、予め求められ記 憶器 36に記憶された、露光条件ごとの、水と炭素系ガスとの適切な量的関係の情報 が参照される。
[0044] つづ 、て、制御器は、決定された水および炭素系ガスの少なくとも一方の供給量に 基づいて、バルブ 32および 32'の少なくとも一方の開度を制御する(ステップ S64)。 これにより、真空容器 2内に水 (ガス)および炭素系ガスの少なくとも一方が供給され、 その供給量はバルブ 32および 32'の少なくとも一方の開度により調整される。
[0045] 以上のようにして、真空容器 2内の Ru膜への炭素の堆積が低減され、または、同 R u膜への炭素の堆積が低減され且つ同 Ru膜の酸ィ匕が低減される。そのような真空容 器 2内において、そのような Ru膜を有する反射手段 (ミラー) 16、 22および反射型マ スク 20を介して、ウェハ(基板) 26を露光する (ステップ S65)。
[0046] なお、本実施形態における以上の説明では、水供給源 33と炭素系ガス供給源 34 との双方を備えている例を説明した。しかし、装置構成及び Z又は装置使用条件等 により、真空容器 2内にぉ ヽて水(ガス)および炭素系ガスの 、ずれか一方の量 (分 圧)が必ず支配的に大であることも考えられる。その場合には、水供給源 33と炭素系 ガス供給源 34とのうち必要な一方のみを備えるようにすることも可能である。
[0047] 次に、半導体デバイスを例に、上記露光装置を利用したデバイスの製造プロセスを 説明する。図 6は半導体デバイスの製造プロセスのフローを示す図である。ステップ 1 (回路設計)では半導体デバイスの回路設計を行う。ステップ 2 (マスク作製)では設 計した回路パターンに基づ 、てマスク (原版またはレチクルとも 、う)を作製する。
[0048] 一方、ステップ 3 (ウェハ製造)ではシリコン等の材料を用いてウェハ(基板ともいう) を製造する。ステップ 4 (ウェハプロセス)は前工程と呼ばれ、上記のマスクとウェハを 用いて、上記の露光装置によりリソグラフィ技術を利用してウェハ上に実際の回路を 形成する。次のステップ 5 (組み立て)は後工程と呼ばれ、ステップ 4によって作製され たウェハを用いて半導体チップ化する工程であり、アッセンブリ工程 (ダイシング、ボ ンデイング)、ノ ッケージング工程 (チップ封入)等の組み立て工程を含む。ステップ 6 (検査)ではステップ 5で作製された半導体デバイスの動作確認テスト、耐久性テスト 等の検査を行う。こうした工程を経て半導体デバイスが完成し、ステップ 7でこれを出 荷する。
[0049] 上記ステップ 4のウェハプロセスは以下のステップを有する。ウェハの表面を酸化さ せる酸化ステップ、ウェハ表面に絶縁膜を成膜する CVDステップ、ウェハ上に電極 を蒸着によって形成する電極形成ステップ、ウエノヽにイオンを打ち込むイオン打ち込 みステップ、ウエノ、に感光剤を塗布するレジスト処理ステップ、上記の露光装置によ つて回路パターンをレジスト処理ステップ後のウェハに転写する露光ステップ、露光 ステップで露光したウェハを現像する現像ステップ、現像ステップで現像したレジスト 像以外の部分を削り取るエッチングステップ、エッチングが済んで不要となったレジス トを取り除くレジスト剥離ステップ。これらのステップを繰り返し行うことによって、ゥェ ハ上に多重に回路パターンを形成する。
[0050] 本願は、 2005年 9月 2日提出の日本国特許出願第 2005— 255090号を基礎とし て優先権を主張するものであり、その記載内容の全てを、ここに援用する。

Claims

請求の範囲
[1] 露光光を用いて原版を介し基板を露光する露光装置であって、
該露光光を反射する多層膜と前記多層膜の上に配されたルテニウム膜とを有し、 該露光光を反射する反射手段と、
前記反射手段を内包する真空容器と、
前記真空容器内を排気する排気手段と、
前記真空容器内に水を供給し、前記真空容器内の水の量を調整する調整手段と を有することを特徴とする露光装置。
[2] 前記調整手段は、さらに、前記真空容器内にハイド口カーボンおよびアルコールの 少なくとも一方を供給し、前記真空容器内の該少なくとも一方の量を調整することを 特徴とする請求項 1に記載の露光装置。
[3] 前記反射手段に真空紫外光を照射する照射手段をさらに有することを特徴とする 請求項 1または 2に記載の露光装置。
[4] 前記調整手段は、前記真空容器内の水の圧力を 1 X 10_6Pa以上 1 X 10_2Pa以 下に調整することを特徴とする請求項 1乃至 3のいずれかに記載の露光装置。
[5] 前記調整手段は、前記真空容器内の該少なくとも一方の圧力を 1 X 10_ 1 Pa以上
1 X 10_6Pa以下に調整することを特徴とする請求項 2に記載の露光装置。
[6] 該露光光は極紫外光であることを特徴とする請求項 1乃至 5のいずれかに記載の 露光装置。
[7] 露光光を用いて原版を介し基板を露光する露光方法であって、
該露光光を反射する多層膜と該多層膜の上に配されたルテニウム膜とを有し該露 光光を反射する反射手段を内包する真空容器内を排気する排気ステップと、 該真空容器内に水を供給し、該真空容器内の水の量を調整する調整ステップと を有することを特徴とする露光方法。
[8] 請求項 1乃至 6のいずれかに記載の露光装置を用いて基板を露光するステップを 有することを特徴とするデバイス製造方法。
[9] 露光光を用いて原版を介し基板を露光する露光装置であって、
該露光光を反射する多層膜と前記多層膜の上に配されたルテニウム膜とを有し、 該露光光を反射する反射手段と、
前記反射手段を内包する真空容器と、
前記真空容器内を排気する排気手段と、
前記真空容器内の気体成分の量を検出する検出手段と、
前記真空容器内に水の気体および炭素系の気体の少なくとも一方を供給する供給 手段と、
前記検出手段により検出された気体成分の量に基づいて、前記真空容器内にお いて水の気体の量と炭素系の気体の量とが予め定められた関係になるように、前記 供給手段による該少なくとも一方の供給量を制御する制御手段と
を有することを特徴とする露光装置。
[10] 該予め定められた関係の情報を記憶する記憶手段をさらに有することを特徴とする 請求項 9に記載の露光装置。
[11] 前記記憶手段は、複数の露光条件のそれぞれに関して、該予め定められた関係の 情報を記憶することを特徴とする請求項 10に記載の露光装置。
[12] 該露光条件は、該露光光の照射条件を含むことを特徴とする請求項 11に記載の 露光装置。
[13] 該露光条件は、該露光光のエネルギーを含むことを特徴とする請求項 11に記載の 露光装置。
[14] 該炭素系の気体は、炭化水素およびアルコールの少なくとも一方を含むことを特徴 とする請求項 9乃至 13のいずれかに記載の露光装置。
[15] 前記反射手段に真空紫外光を照射する照射手段をさらに有することを特徴とする 請求項 9乃至 14のいずれかに記載の露光装置。
[16] 該露光光は極紫外光であることを特徴とする請求項 9乃至 15のいずれかに記載の 露光装置。
[17] 露光光を用いて原版を介し基板を露光する露光方法であって、
該露光光を反射する多層膜と該多層膜の上に配されたルテニウム膜とを有し該露 光光を反射する反射手段を内包する真空容器内を排気する排気ステップと、 該真空容器内の気体成分の量を検出する検出ステップと、 該真空容器内に水の気体および炭素系の気体の少なくとも一方を供給する供給ス テツプと
を有し、
前記供給ステップは、前記検出ステップにお 、て検出された気体成分の量に基づ いて、該真空容器内において水の気体の量と炭素系の気体の量とが予め定められ た関係になるように、該少なくとも一方の供給量を調整する
ことを特徴とする露光方法。
請求項 9〜16のいずれかに記載の露光装置を用いて基板を露光するステップを有 することを特徴とするデバイス製造方法。
PCT/JP2006/317208 2005-09-02 2006-08-31 露光装置および方法ならびにデバイス製造方法 WO2007026816A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2007533322A JPWO2007026816A1 (ja) 2005-09-02 2006-08-31 露光装置および方法ならびにデバイス製造方法
EP06797168A EP1926128A4 (en) 2005-09-02 2006-08-31 EXPOSURE APPARATUS, METHOD, AND DEVICE MANUFACTURING PROCESS
US11/614,416 US7724348B2 (en) 2005-09-02 2006-12-21 Exposure apparatus and method, and device manufacturing method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005255090A JP2007067344A (ja) 2005-09-02 2005-09-02 露光装置および方法ならびにデバイス製造方法
JP2005-255090 2005-09-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/614,416 Continuation US7724348B2 (en) 2005-09-02 2006-12-21 Exposure apparatus and method, and device manufacturing method

Publications (1)

Publication Number Publication Date
WO2007026816A1 true WO2007026816A1 (ja) 2007-03-08

Family

ID=37808899

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/317208 WO2007026816A1 (ja) 2005-09-02 2006-08-31 露光装置および方法ならびにデバイス製造方法

Country Status (5)

Country Link
US (1) US7724348B2 (ja)
EP (1) EP1926128A4 (ja)
JP (2) JP2007067344A (ja)
KR (1) KR100907229B1 (ja)
WO (1) WO2007026816A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007067344A (ja) 2005-09-02 2007-03-15 Canon Inc 露光装置および方法ならびにデバイス製造方法
JP2007234822A (ja) 2006-02-28 2007-09-13 Canon Inc 露光装置及びその制御方法並びにデバイス製造方法
JP2008263173A (ja) * 2007-03-16 2008-10-30 Canon Inc 露光装置
EP2026556B1 (en) 2007-07-31 2013-05-01 Samsung Electronics Co., Ltd. Multi-functional device having scanner module and image scanning apparatus employing the scanner module
US8358447B2 (en) 2007-07-31 2013-01-22 Samsung Electronics Co., Ltd. Scanner module and image scanning apparatus employing the same
US8379275B2 (en) * 2007-07-31 2013-02-19 Samsung Electronics Co., Ltd. Scanner module and image scanning apparatus employing the same
NL1036181A1 (nl) * 2007-11-30 2009-06-04 Asml Netherlands Bv A lithographic apparatus, a projection system and a device manufacturing method.
US9498846B2 (en) 2010-03-29 2016-11-22 The Aerospace Corporation Systems and methods for preventing or reducing contamination enhanced laser induced damage (C-LID) to optical components using gas phase additives
DE102011079450A1 (de) * 2011-07-20 2013-01-24 Carl Zeiss Smt Gmbh Optische Anordnung mit Degradationsunterdrückung
US9323051B2 (en) 2013-03-13 2016-04-26 The Aerospace Corporation Systems and methods for inhibiting contamination enhanced laser induced damage (CELID) based on fluorinated self-assembled monolayers disposed on optics
CN108107681A (zh) * 2016-11-25 2018-06-01 中国科学院长春光学精密机械与物理研究所 一种光刻投影物镜腔体精密监控装置
US10274847B2 (en) 2017-09-19 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002237443A (ja) 2001-02-09 2002-08-23 Nikon Corp 汚染除去装置、露光装置の汚染除去方法及び露光装置
JP2003031483A (ja) * 2001-07-18 2003-01-31 Matsushita Electric Ind Co Ltd 軟x線縮小投影露光装置、軟x線縮小投影露光方法及びパターン形成方法
WO2003032329A1 (en) * 2001-10-04 2003-04-17 Carl Zeiss Smt Ag Optical element and method for its manufacture as well as lightography apparatus and method for manufacturing a semiconductor device
JP2003188096A (ja) 2001-11-19 2003-07-04 Asml Netherlands Bv リソグラフィ投影装置、デバイス製造方法、製造されたデバイス、これに関する、被汚染物を清掃する清掃装置および方法
JP2004207730A (ja) * 2002-12-20 2004-07-22 Asml Netherlands Bv リソグラフィック装置、デバイス製造方法およびその方法を使用して製造されたデバイス
JP2005255090A (ja) 2004-03-15 2005-09-22 Clarion Co Ltd 車載用機器、車載用機器の制御方法、制御プログラムおよび記録媒体
JP2006049758A (ja) * 2004-08-09 2006-02-16 Nikon Corp 露光装置の制御方法、並びに、これを用いた露光方法及び装置
JP2006319245A (ja) * 2005-05-16 2006-11-24 Canon Inc 露光方法、露光装置及びパターン形成方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4618990A (en) 1984-11-15 1986-10-21 General Electric Company Edge enhancement filtering for digital fluorography images
US5012096A (en) 1989-05-20 1991-04-30 Fujitsu Limited Digital X-ray apparatus
JP2574923B2 (ja) 1990-04-10 1997-01-22 大日本スクリーン製造株式会社 輪郭強調方法および画像処理装置
DE69214229T2 (de) 1991-08-14 1997-04-30 Agfa Gevaert Nv Verfahren und Vorrichtung zur Kontrastverbesserung von Bildern
US6009208A (en) 1995-08-21 1999-12-28 Lucent Technologies Inc. System and method for processing space-time images
JP3700804B2 (ja) 1996-12-13 2005-09-28 富士写真フイルム株式会社 画像処理方法および装置
US7050648B1 (en) 1998-09-18 2006-05-23 Canon Kabushiki Kaisha Image processing apparatus, image processing method, and recording medium
US20020053353A1 (en) 2000-03-13 2002-05-09 Shintaro Kawata Methods and apparatus for cleaning an object using an electron beam, and device-fabrication apparatus comprising same
US6467404B1 (en) * 2000-08-28 2002-10-22 Temple Tag, Inc. Hot stamp machine for custom imprinting plastic identifier tags
JP2002248344A (ja) * 2001-02-26 2002-09-03 Nikon Corp 極端紫外光発生装置並びにそれを用いた露光装置及び半導体製造方法
JP2002373852A (ja) 2001-06-15 2002-12-26 Canon Inc 露光装置
US6954255B2 (en) 2001-06-15 2005-10-11 Canon Kabushiki Kaisha Exposure apparatus
JP3754933B2 (ja) 2001-06-19 2006-03-15 キヤノン株式会社 画像処理装置、画像処理システム、画像処理方法、プログラム及び記憶媒体
JP2003115451A (ja) 2001-07-30 2003-04-18 Canon Inc 露光装置及びそれを用いたデバイスの製造方法
US6934003B2 (en) 2002-01-07 2005-08-23 Canon Kabushiki Kaisha Exposure apparatus and device manufacturing method
EP1333323A3 (en) * 2002-02-01 2004-10-06 Nikon Corporation Self-cleaning reflective optical elements for use in x-ray optical systems, and optical systems and microlithography systems comprising same
DE10209493B4 (de) * 2002-03-07 2007-03-22 Carl Zeiss Smt Ag Verfahren zur Vermeidung von Kontamination auf optischen Elementen, Vorrichtung zur Regelung von Kontamination auf optischen Elementen und EUV-Lithographievorrichtung
CN100437355C (zh) * 2002-09-30 2008-11-26 Asml荷兰有限公司 光刻投射装置及器件制造方法
US6986255B2 (en) 2002-10-24 2006-01-17 Rolls-Royce Plc Piloted airblast lean direct fuel injector with modified air splitter
DE10321103A1 (de) * 2003-05-09 2004-12-02 Carl Zeiss Smt Ag Verfahren zur Vermeidung von Kontamination und EUV-Lithographievorrichtung
WO2005091076A2 (en) * 2004-03-05 2005-09-29 Carl Zeiss Smt Ag Methods for manufacturing reflective optical elements
JP4508708B2 (ja) * 2004-04-12 2010-07-21 キヤノン株式会社 Euv光を用いた露光装置および露光方法
US20070030466A1 (en) 2004-08-09 2007-02-08 Nikon Corporation Exposure apparatus control method, exposure method and apparatus using the control method, and device manufacturing method
JP2007067344A (ja) 2005-09-02 2007-03-15 Canon Inc 露光装置および方法ならびにデバイス製造方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002237443A (ja) 2001-02-09 2002-08-23 Nikon Corp 汚染除去装置、露光装置の汚染除去方法及び露光装置
JP2003031483A (ja) * 2001-07-18 2003-01-31 Matsushita Electric Ind Co Ltd 軟x線縮小投影露光装置、軟x線縮小投影露光方法及びパターン形成方法
JP3467485B2 (ja) 2001-07-18 2003-11-17 松下電器産業株式会社 軟x線縮小投影露光装置、軟x線縮小投影露光方法及びパターン形成方法
WO2003032329A1 (en) * 2001-10-04 2003-04-17 Carl Zeiss Smt Ag Optical element and method for its manufacture as well as lightography apparatus and method for manufacturing a semiconductor device
JP2003188096A (ja) 2001-11-19 2003-07-04 Asml Netherlands Bv リソグラフィ投影装置、デバイス製造方法、製造されたデバイス、これに関する、被汚染物を清掃する清掃装置および方法
JP2004207730A (ja) * 2002-12-20 2004-07-22 Asml Netherlands Bv リソグラフィック装置、デバイス製造方法およびその方法を使用して製造されたデバイス
JP2005255090A (ja) 2004-03-15 2005-09-22 Clarion Co Ltd 車載用機器、車載用機器の制御方法、制御プログラムおよび記録媒体
JP2006049758A (ja) * 2004-08-09 2006-02-16 Nikon Corp 露光装置の制御方法、並びに、これを用いた露光方法及び装置
JP2006319245A (ja) * 2005-05-16 2006-11-24 Canon Inc 露光方法、露光装置及びパターン形成方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
S. BAJT ET AL., SPIE, vol. 5037, 2003, pages 236
See also references of EP1926128A4 *

Also Published As

Publication number Publication date
KR100907229B1 (ko) 2009-07-10
JP2007067344A (ja) 2007-03-15
KR20080019296A (ko) 2008-03-03
JPWO2007026816A1 (ja) 2009-03-12
EP1926128A4 (en) 2010-08-04
US7724348B2 (en) 2010-05-25
US20070097342A1 (en) 2007-05-03
EP1926128A1 (en) 2008-05-28

Similar Documents

Publication Publication Date Title
KR100907229B1 (ko) 노광장치 및 방법과, 디바이스 제조 방법
US7561247B2 (en) Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7355672B2 (en) Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
JP4487108B2 (ja) リソグラフィ投影装置、ガスパージ方法、デバイス製造方法およびパージガス供給システム
JP5380285B2 (ja) リソグラフィ装置
US7518132B2 (en) Light source apparatus, exposure apparatus and device fabrication method
JP2008263173A (ja) 露光装置
US7455880B2 (en) Optical element fabrication method, optical element, exposure apparatus, device fabrication method
KR20110055601A (ko) 리소그래피 장치용 광학 요소, 이러한 광학 요소를 포함하는 리소그래피 장치 및 광학 요소 생성 방법
JP4429201B2 (ja) リソグラフィック装置及びデバイス製造方法
JP2011517135A (ja) 内部センサおよびミニリアクタを備えるリソグラフィ装置、ならびに内部センサの検知面を処理する方法
JP2008522399A (ja) 荷電粒子に露出される表面の保護
TW201007380A (en) Method for removing a deposition on an uncapped multilayer mirror of a lithographic apparatus, lithographic apparatus and device manufacturing method
JP2007201460A (ja) 酸化汚染材料の化学還元方法、または汚染材料の酸化を減らす方法、およびこれらを行うための調整システム
Oestreich et al. Multilayer reflectance during exposure to EUV radiation
JP2003227898A (ja) 多層膜反射鏡、軟x線光学機器、露光装置及びその清掃方法
TWI422984B (zh) 包含磁鐵之微影裝置、在微影裝置中之磁鐵之保護方法和器件製造方法
US8004657B2 (en) Exposure apparatus, control method for the same, and device manufacturing method
JP2010045355A (ja) 放射源、リソグラフィ装置、および、デバイス製造方法
JP2010010380A (ja) 光学系、露光装置、及びデバイスの製造方法
JP2005347757A (ja) かすめ入射ミラー、かすめ入射ミラーを含むリソグラフィ装置、かすめ入射ミラーを提供する方法、かすめ入射ミラーのeuv反射を強化する方法、デバイス製造方法およびそれによって製造したデバイス
JP2006245254A (ja) 露光装置、露光方法、および微細パターンを有するデバイスの製造方法
JP2008182135A (ja) 露光装置、光学機器、露光方法及びデバイス製造方法
JP2006319245A (ja) 露光方法、露光装置及びパターン形成方法
JP2007311636A (ja) 露光装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2007533322

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2006797168

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: DE