WO2005029561A1 - 熱処理装置 - Google Patents

熱処理装置 Download PDF

Info

Publication number
WO2005029561A1
WO2005029561A1 PCT/JP2004/013922 JP2004013922W WO2005029561A1 WO 2005029561 A1 WO2005029561 A1 WO 2005029561A1 JP 2004013922 W JP2004013922 W JP 2004013922W WO 2005029561 A1 WO2005029561 A1 WO 2005029561A1
Authority
WO
WIPO (PCT)
Prior art keywords
heat
heat treatment
treatment apparatus
mounting table
processed
Prior art date
Application number
PCT/JP2004/013922
Other languages
English (en)
French (fr)
Inventor
Masahiro Shimizu
Shigeru Kasai
Masatake Yoneda
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US10/573,048 priority Critical patent/US7978963B2/en
Publication of WO2005029561A1 publication Critical patent/WO2005029561A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Definitions

  • the present invention relates to a heat treatment apparatus that performs a heat treatment such as an annealing process or a film forming process on a target object such as a semiconductor wafer.
  • a film formation process and a pattern etching process are performed on a semiconductor wafer.
  • an annealing process is generally performed for stabilizing the atomic structure after ion implantation of impurity atoms into the channel layer.
  • a shutter mechanism for blocking radiant heat is provided in a lamp house containing a heating lamp, and this shutter is used when the wafer temperature is lowered after the annealing process at a high temperature.
  • the radiant heat from the heating lamp is cut off, and the wafer is cooled at a high speed!
  • a Peltier element is provided on a wafer stage, and when a wafer is etched at about 100 to 250 ° C, the temperature rises and falls.
  • a heat treatment apparatus that sometimes uses the Peltier element.
  • the process temperature for etching is in the range of 100 to 250 ° C, which is not so high. For example, the temperature is too low to perform annealing at a high temperature. There wasn't enough, t, ivy. Disclosure of the invention
  • An object of the present invention is to provide a heat treatment apparatus capable of performing a high-speed temperature rise and a high-speed temperature decrease of an object when performing a heat treatment at a high temperature.
  • Another object of the present invention is to provide a heat treatment apparatus capable of improving the heating efficiency of an object to be processed.
  • the present invention provides a heat treatment apparatus for performing a heat treatment on an object to be processed at a temperature of 400 ° C or higher, comprising: a processing container having a transparent window in a ceiling; A mounting table provided in the container, for mounting the processing object facing the transmission window; and a mounting table provided above the processing container and irradiating the processing object with heat rays through the transmission window.
  • a heat treatment apparatus comprising: a plurality of heating lamps for heating a processing object; and a thermoelectric converter provided on the mounting table and capable of cooling at least the object to be processed.
  • this heat treatment apparatus when performing heat treatment on the object to be processed at a temperature of 400 ° C or higher, the object is heated mainly by the heating lamp power when the temperature of the object is raised, and the thermoelectric converter is turned on when the temperature is decreased. By using and forcibly cooling, the temperature of the object to be processed can be rapidly increased and decreased.
  • thermoelectric converter In this heat treatment apparatus, it is preferable that a heat medium jacket in which a heat medium passage is formed is provided below the thermoelectric converter.
  • thermoelectric converter is configured to heat the object to be processed by passing a current in a direction opposite to that in cooling the object to be processed.
  • thermoelectric converter includes a plurality of thermoelectric conversion elements arranged corresponding to the plurality of zones on the mounting table, respectively, and the heat treatment apparatus includes: It is preferable to further include a conversion element controller that controls a current flowing through the thermoelectric conversion element separately.
  • the conversion element controller performs control so that current flows intermittently through the thermoelectric conversion element, and based on the electromotive force of the thermoelectric conversion element during a period in which no current flows through the thermoelectric conversion element.
  • the temperature of the thermoelectric conversion element can be measured.
  • the plurality of heating lamps are preferably composed of an ultraviolet discharge lamp that mainly emits ultraviolet light and a halogen lamp that mainly emits visible light. ,.
  • the absorptivity of the object to be processed with respect to the heat rays from the heating lamp is increased, and the temperature can be increased at a higher speed.
  • the power control of the ultraviolet discharge lamp is performed by duty control.
  • the central portion of the object to be processed is mainly irradiated with ultraviolet rays having the ultraviolet discharge lamp power
  • the peripheral portion of the object is mainly irradiated with the visible rays having the halogen lamp power. It is composed, preferably.
  • the present invention is also a heat treatment apparatus for performing a heat treatment on an object to be processed at a high temperature, comprising: a processing container having a transmission window in a ceiling portion; A mounting table on which the object to be processed is placed so as to face each other; and a plurality of heating lamps which are provided above the processing container and heat the object by irradiating the object with heat rays through the transparent window.
  • the mounting table includes an absorbing plate that mainly absorbs the heat rays according to the type of the heat rays mainly emitted from the heating lamp.
  • the absorbing plate that mainly absorbs the heat ray can improve the heating efficiency of the object to be processed on the mounting table.
  • the mounting table mainly uses different types of heat rays.
  • a plurality of absorbing plates for absorbing may be included.
  • thermoelectric converter capable of cooling at least the object side is provided between the absorption plates.
  • FIG. 1 is a cross-sectional configuration diagram showing a first embodiment of a heat treatment apparatus of the present invention.
  • FIG. 2 is a plan view showing an example of an arrangement of heating lamps of a heating unit.
  • FIG. 3 is a plan view showing an example of an array of Peltier elements constituting a thermoelectric converter.
  • FIG. 4 is a graph showing the absorptance of a silicon wafer with respect to the wavelength of a heat ray.
  • FIG. 5 is a graph showing the transmittance of germanium and silicon with respect to the wavelength of a heat ray.
  • FIG. 6 is a graph showing the absorptivity of quartz, aluminum nitride (A1N), and SiC with respect to the wavelength of a hot wire.
  • FIG. 7 shows the transmission of CaF (calcium fluoride) and LiF (lithium fluoride) with respect to the wavelength of the heat ray.
  • FIG. 8 is a graph showing the transmittance of fused silica with respect to the wavelength of a heat ray.
  • FIG. 9 is a graph showing the transmittance in the infrared region when various base materials are coated.
  • FIG. 10 is a configuration diagram showing a structure of a mounting table in a heat treatment apparatus according to a second embodiment of the present invention.
  • FIG. 11 is a sectional view showing a third embodiment of the heat treatment apparatus of the present invention.
  • FIG. 12 is a sectional view showing a heat treatment apparatus according to a fourth embodiment of the present invention.
  • the heat treatment apparatus 2 according to the first embodiment of the present invention shown in FIG. 1 has a processing container 4 formed into a cylindrical shape by, for example, aluminum.
  • the ceiling of the processing container 4 is opened, and a transparent transmission window 8 is hermetically provided in the opening via a sealing member 6 such as an O-ring.
  • a mounting table 10 formed in a thin disk shape is provided inside the processing container 4, and a semiconductor wafer or W as a processing object is mounted on the upper surface of the mounting table 10. Is to be placed.
  • the material of the mounting table 10 is formed of a material that most easily absorbs the light from the heating lamp to be used, for example, a SiO material, an A1N material, a SiC material, which mainly mainly absorbs the light of an infrared lamp.
  • a SiO material for example, a SiO material, an A1N material, a SiC material, which mainly mainly absorbs the light of an infrared lamp.
  • Ge (germanium) material, Si material, metal material, etc., which can easily absorb wires, can be used.
  • a gate valve 12 that is opened and closed when the semiconductor wafer W is loaded and unloaded is provided on a side wall of the processing container 4, and a gas nozzle 14 that introduces a processing gas necessary for heat treatment into the inside is provided.
  • An exhaust port 16 is formed in the periphery of the bottom of the processing container 4, and an exhaust system 18 having a vacuum pump (not shown) is connected to the exhaust port 16 to connect the inside of the processing container 4. Is made evacuable, for example.
  • a large-diameter opening is formed on the center side of the processing container 4 except for the peripheral portion at the bottom, and a thick member made of, for example, aluminum is inserted through the opening with a sealing member 20 such as an O-ring.
  • the bottom plate 22 is hermetically attached and fixed.
  • thermoelectric converter 24 has, for example, a plurality of Peltier elements 24A as a plurality of thermoelectric conversion elements. These Peltier elements 24A hermetically penetrate through the bottom plate 22 to form a lead wire 26 wired outside.
  • a Peltier controller (conversion element controller) 28 is connected via the Peltier controller 28, and the direction and magnitude of the current can be controlled by the Peltier controller 28.
  • thermoelectric conversion refers to the conversion of thermal energy into electrical energy and electrical energy into thermal energy.
  • Bi Te bismuth '
  • a heat medium flow path 30 for flowing a heat medium is formed over the entire bottom plate 22 in the plane direction.
  • a path 32 is connected, and a heat medium discharge path 34 is connected to the other end.
  • the bottom plate 22 also serves as a heat medium jacket 36.
  • at least a refrigerant can flow as a heat medium, and the lower surface of the Peltier element 24A is deprived of heat and cooled.
  • a heating medium is allowed to flow to remove the lower surface force of the Peltier element It can be heated. This heat medium is circulated and used by the circulator 38, for example.
  • the bottom plate 22 is provided with lifter pins (not shown) for raising and lowering the wafer W with respect to the mounting table 10.
  • the heating means 40 includes a plurality of heating lamps 42A and 42B, and these heating lamps 42A and 42B are provided on the ceiling of a container-like lamp house 44 provided above the transmission window 8. Attach it all over the bottom!
  • the inner surface of the ceiling of the lamp house 44 is a reflecting mirror 48, which reflects the heat rays from the heating lamps 42A and 42B downward.
  • the total power of the heating lamps 42A and 42B is, for example, about 100 to 200 kilowatts. Control of these heating lamps 42A and 42B is performed by a lamp control unit 46, and for example, temperature information is input to the lamp control unit 46 from the Peltier control unit 28 as described later. .
  • the heating lamp 42A located at the central portion other than the peripheral portion of the lamp house 44 has its heat rays radiated directly downward, whereas the heating lamp 42A has a peripheral portion of the lamp house 44.
  • the heating lamp 42B is disposed obliquely inward in the downward direction, so that the direction of radiation of the heat rays is concentrated on the periphery of the Ueno and W.
  • the heat rays include all of ultraviolet rays, visible rays and infrared rays (including near infrared rays and far infrared rays).
  • the ceiling of the lamp house 44 provided with the heating lamps 42A and 42B is concentrically divided into a central inner zone 50A and a peripheral outer zone 50B.
  • a heating lamp group 42A is provided in the inner zone 50A
  • a heating lamp group 42B is provided in the outer zone 50B.
  • the power of the heating lamps 42A and 42B can be controlled for each of the zones 50A and 50B.
  • the heating lamps 42A are relatively sparsely arranged in the inner zone 50A, whereas the heating lamps 42B are relatively densely arranged in the outer zone 50B so that the in-plane temperature of the wafer is uniform. It is preferable to perform appropriate heating.
  • the heating lamps 42A and 42B are selected from the group consisting of an ultraviolet radiation lamp that mainly emits ultraviolet light, a halogen lamp that mainly emits visible light, and an infrared lamp that mainly emits infrared light. Use one or more lamps. You can.
  • an ultraviolet radiation lamp that mainly emits ultraviolet light
  • a halogen lamp that mainly emits visible light
  • an infrared lamp that mainly emits infrared light.
  • FIG. 1 for example, as the heating lamp 42A on the center side, for example, an ultraviolet discharge lamp which is large in size and can output a large power is used, whereas, as the heating lamp 42B on the peripheral side, for example, a miniaturized nozzle is used. A logen lamp is used.
  • the material (base material) and coating material of the transmission window 8 are determined so that the absorptivity to the light rays emitted from the heating lamps 42A and 42B is reduced. More specifically, for example, molten glass, heat-resistant glass, CaF (calcium fluoride) material, LiF (lithium fluoride) material, Ge (gel)
  • (Mn) material Ge base material with a coating, etc. are used.
  • the Peltier device 24A disposed on the bottom plate 22 is disposed over substantially the entire surface of the mounting table 10.
  • Each of the Peltier elements 24A has an inner zone 52A located at the center of the mounting table 10, a middle zone 52B located at the outer periphery thereof, and an outer zone 52C located at the outermost periphery thereof. It is divided concentrically into three zones. Further, the outer zone 52C, which requires delicate temperature control, is further divided into four divided zones including three Peltier elements 24A along the circumferential direction, and each of the above zones 52A, 52B, 52C (the outer zone). Zone 52C can be further controlled independently for each of the four divided zones.
  • FIGS. 3 (A) and 3 (B) are also possible to arrange them as shown in FIGS. 3 (B) and 3 (C). These have a structure in which the Peltier elements 24A are spread over substantially the entire surface of the mounting table 10, and there is almost no gap between the Peltier elements 24A. According to this, more precise and uniform temperature control can be achieved. In these arrangements, the zones 52A, 52B, and 52C do not form accurate concentric circles, but the zones 52A, 52B, and 52C may be appropriately determined as shown.
  • the shape of the Peltier element 24A is formed in a substantially square shape, and in the case shown in FIG.
  • FIG. 3 (C) it is formed in a substantially hexagonal shape. Also, in FIGS. 3B and 3C, different patterns are given to the Peltier element 24A for each zone to clarify the zone division. Further, the division format of the zones shown in FIG. 3 is merely an example, and is not limited to this.
  • an unprocessed semiconductor wafer W is introduced into the processing vessel 4 via the gate valve 12 opened for performing the arc processing, placed on the mounting table 10, and the inside of the processing vessel 4 is sealed. I do. So Then, the gas nozzle 14 controls the flow rate of, for example, N gas or Ar gas as a processing gas.
  • the inside of the processing container 4 is evacuated, for example, by vacuum evacuation to maintain a predetermined process pressure, for example, 110 Pa (7.5 mTorr-750 mTorr).
  • the heating means 40 is operated by the lamp control unit 46 to turn on the heating lamps 42A and 42B.
  • each of the heating lamps 42A and 42B pass through the transmission window 8 and enter the surface of the semiconductor wafer W, which is rapidly heated to increase the temperature.
  • the heating rate at this time is, for example, about 100-200 ° CZsec.
  • duty control is performed on the ultraviolet discharge lamp to control the input power. This is the same in the embodiments described below.
  • the annealing process is performed while maintaining the wafer W at a process temperature of 400 ° C. or more, for example, 500 to 1000 ° C., for a predetermined time.
  • the reason for performing the duty control on the ultraviolet discharge lamp is as follows.
  • a high-speed temperature drop for rapidly cooling the temperature of the wafer W is performed.
  • the heating lamps 42A and 42B are turned off, and a current is applied to each of the Peltier elements 24A of the thermoelectric converter 24 provided on the lower surface of the mounting table 10 in such a direction that the upper surface cools.
  • the upper surface of each Peltier element 24A is cooled by being generated, and the mounting table 10 in contact therewith is cooled and the wafer W is cooled.
  • the wafer W can be cooled rapidly, and the temperature of the wafer W can be rapidly lowered.
  • each of the heating lamps 42A and 42B is divided into a plurality of zones, in the illustrated example, two zones.
  • the wafer is maintained while maintaining the uniformity of the in-plane temperature.
  • W can be heated at a high speed.
  • the wafer temperature or the temperature of the mounting table 10 can be measured (as the temperature of the Peltier device 24A itself) by the Peltier controller 28 based on the electromotive force due to the Seebeck effect of each Peltier device 24A.
  • the temperature measurement result is input to a lamp control unit 46, and the control unit 46 controls each of the heating lamps 42A and 42B for each zone based on the measurement result, thereby ensuring uniformity of the in-plane temperature.
  • the wafer W can be heated at a high speed while maintaining the temperature higher.
  • each Peltier element 24A when the temperature of the wafer W is increased, a current is caused to flow through each Peltier element 24A in such a direction that the upper surface thereof generates heat (the direction of the current is opposite to that when the temperature of the wafer is decreased). W force S Each of the Peltier elements 24A will be supplementarily heated. For this reason, the temperature rising rate of the wafer W can be further increased. In this case, the temperature is measured based on the electromotive force of the Peltier device 24A during a period in which no current is flowing through each Peltier device 24A while a current for heating is intermittently passed through each Peltier device 24A. Then, the heating current flowing to the Peltier element 24A is controlled for each zone based on the measured temperature.
  • the in-plane uniformity of the wafer temperature at the time of temperature rise can be further maintained.
  • cold heat is generated on the lower surface of each Peltier element 24A, so that the heating medium flow path 30 of the heating medium jacket 36 is different from the wafer W when the temperature is lowered.
  • the heating medium is caused to flow, and the cooling medium generated on the lower surface of each Peltier element 24A is operated to be discharged out of the system by the heating medium for heating.
  • high-temperature hot water can be used as a heating medium for heating.
  • the current for cooling the wafer is intermittently passed through each Peltier element 24A, and based on the electromotive force of the Peltier element 24A during a period in which no current flows through each Peltier element 24A. Measure the temperature. Then, based on the measured temperature, the cooling current flowing to the Peltier element 24A is controlled for each zone. As a result, the wafer temperature can be lowered while maintaining the in-plane uniformity of the wafer temperature high. Also multiple By further increasing the number of zones that divide the Peltier device 24A, or by enabling current control for each Peltier device 24A, the uniformity of the in-plane temperature during wafer heating and cooling can be further improved. Can be kept high.
  • the temperature of the mounting table may be measured by a thermocouple embedded in the mounting table or a radiation thermometer that measures the amount of thermal radiation through an optical fiber.
  • the absorptivity of this silicon wafer to heat rays is as shown in the graph of FIG.
  • the absorptivity of a silicon wafer for heat rays depends on the wavelength of the heat rays and the temperature of the silicon wafer.
  • the term “heat ray” is used in a broad concept including ultraviolet rays and far infrared rays.
  • the absorption rate As shown in the figure, up to a wavelength of about 1. It shows a high absorption rate of about 0.5-0.7, which is related to the temperature of the silicon wafer. The rate greatly depends on the temperature of the silicon wafer, and the lower the temperature, the lower the absorptivity (the higher the transmittance). That is, when the temperature of the silicon wafer changes in the range of 270-600 ° C, the absorption rate changes accordingly in the range of about 0.1-0.7.
  • the heating lamp is a lamp that outputs a heat ray having a wavelength of 1. ⁇ ⁇ 1. ⁇ or less, that is, an ultraviolet discharge lamp that mainly emits ultraviolet rays, and is mainly a visible light ray. It is found to be preferable to use a halogen lamp that emits light. Further, by using this type of heating lamp, the heat rays can be effectively used, and the heating efficiency can be improved.
  • Fig. 5 is a graph showing the transmittance (1 absorptance) of germanium and silicon with respect to the wavelength of the hot wire
  • Fig. 6 is a graph showing the relationship between the wavelength of the hot wire and quartz (SiO 2), aluminum nitride (A1N), and silicon carbide.
  • the mounting table 10 can efficiently absorb the heat rays transmitted through the silicon wafer, and as a result, the silicon wafer can be heated by the heat of the mounting table 10. Therefore, the heating efficiency can be improved correspondingly, and the rate of temperature rise can be further improved.
  • each of the above materials does not show a sufficiently high absorptivity except in the infrared region where the wavelength is about 4 m or more, and has a very low absorptivity in the ultraviolet and visible light regions. Therefore, when an infrared lamp was used as the heating lamp, it was confirmed that it is preferable to use an SiO plate, an A1N plate, or a SiC plate as the material of the mounting table 10.
  • a ceramic material containing another oxidized material may be used.
  • Figure 7 shows CaF (calcium fluoride) [thickness: 3 mm] and LiF (lithium fluoride) with respect to the wavelength of the heat ray.
  • Fig. 8 is a graph showing the transmittance of [thickness: 2mm]
  • Fig. 8 is a graph showing the transmittance of fused silica (thickness: 1mm) with respect to the wavelength of the hot wire
  • Fig. 9 is the coating treatment for various base materials.
  • 6 is a graph showing the transmittance in the infrared region when the operation is performed.
  • the CaF plate (Fig. 7 (A)) has an 8 m
  • the CaF plate or the LiF plate can be used as the transmission window 8 for all lamps, such as an ultraviolet discharge lamp, a halogen lamp, and an infrared lamp, regardless of the type of the heating lamp.
  • the transmittance is as high as about 80% from the ultraviolet region having a wavelength of approximately 0 to the infrared region having a wavelength of approximately 4.0 m. The value is shown. Accordingly, it was confirmed that, similarly to the material shown in FIG. 7, fused quartz was preferable as the material of the transmission window 8 over a wide range from the ultraviolet region to the infrared region. In particular, in the visible light region (0.42-0.77 m), the absorptance was as high as 90% or more, which proved to be particularly preferable. In this case as well, the heating efficiency can be improved as described above, and the rate of temperature rise can be further improved.
  • the upper surface on the heat ray incident side uses a ThF coating material having a refractive index lower than that of the base material ZnSe, and the lower surface on the heat ray transmission side has a higher surface than the base material ZnSe.
  • the upper surface is made of a SiO coating material with a low refractive index
  • the lower surface is made of a Ge coating with a high refractive index.
  • the upper surface is made of SiO 2 with a lower refractive index than that of the base material Ge.
  • a coating material was used, and a Ge coating material having a refractive index higher than that of the base material Ge was used on the lower surface.
  • the mounting table 10 may be formed by laminating a plurality of absorption plates having different characteristics in main absorption wavelength bands.
  • FIG. 10 is a configuration diagram showing the structure of such a mounting table according to the second embodiment of the present invention. The components other than the mounting table 10 are not shown.
  • This mounting table 10 is In this configuration, a visible light absorbing plate 62 that mainly absorbs ultraviolet light and visible light and a infrared light absorbing plate 64 that mainly absorbs infrared light are laminated.
  • the material constituting each of the absorption plates 62 and 64 is, for example, germanium or silicon as the ultraviolet / visible light absorption plate 62.
  • a quartz plate, an A1N plate, or a SiC plate can be used as the infrared light absorbing plate 64.
  • the infrared light includes near infrared light, infrared light, and far infrared light.
  • the mounting table 10 can absorb all the heat rays in the absorption wavelength band of the absorption plate, which are transmitted through the object to be processed. Therefore, the heating efficiency can be greatly improved, and the rate of temperature rise can be further increased.
  • the order of lamination of the respective absorbing plates 62 and 64 is not particularly limited. Further, three types of absorption plates having different frequency regions having high absorption rates can be laminated.
  • the mounting table 10 is formed by laminating and absorbing two absorption plates 62 and 64, and the Peltier element 24A is joined to the lower surface side.
  • the Peltier element 24A may be interposed between the respective absorption plates 62 and 64.
  • each Peltier element 24A is set so that the upper side of each Peltier element 24A absorbs heat (generates cold heat) and the lower side of the Peltier element 24A emits heat (heats). So that current flows through it.
  • a heating medium for cooling for example, cooling water flows through the heating medium jacket 36 (Fig. 1).
  • each Peltier device 24A when the temperature of the wafer is raised at a high speed, the current is applied to each Peltier device 24A so that the upper surface of each Peltier device 24A is in a heat radiation (heat generation) state and the lower surface is in an endothermic state (generates cold heat). To make it flow.
  • a heating medium for heating flows through the heating medium jacket 36 (FIG. 1).
  • the configuration shown in FIG. 10 (B) can be applied to the case where there are three absorbing plates. With such a configuration, the thermal conductivity between the absorption plates 62 and 64 having different absorption wavelength bands of the heat rays can be improved, and as a result, the heating efficiency and the cooling efficiency of the wafer can be improved.
  • FIG. 11 shows a third embodiment of the present invention.
  • FIG. 11 The same components as those in FIG. 1 are denoted by the same reference numerals, and description thereof will be omitted.
  • a reflection plate 70 made of, for example, aluminum plating is formed on the entire upper surface of the heat medium jacket 36 forming the bottom plate 22 of the processing container 4.
  • the mounting table 10 is provided on the reflection plate 70 via the Peltier element 24A.
  • the heat rays transmitted through the wafer W and the mounting table 10 are reflected upward by the reflection plate 70 and can contribute to the heating of the wafer W again. Therefore, the heating efficiency can be improved correspondingly, so that the temperature can be raised at a higher speed.
  • the configuration of the second embodiment shown in FIG. 10 can of course be applied to the mounting table 10 of this embodiment, and the reflection plate 70 is installed between the mounting table 10 and the Peltier element 24A. Is also good. Regardless of the type of the caro-heat lamp 42A, one or more of an ultraviolet discharge lamp, a halogen lamp, and an infrared lamp can be used.
  • FIG. 12 is a sectional view showing a fourth embodiment of the present invention.
  • the same components as those in FIG. 1 are denoted by the same reference numerals, and description thereof will be omitted.
  • the heating lamps 42A a smaller number of lamps are used as the heating lamps 42A, and in the illustrated example, one large, high-power ultraviolet discharge lamp is used to roughly heat the wafer with a large number of heat rays.
  • the in-plane uniformity of the wafer temperature is maintained high by finely controlling the current of each Peltier element 24A for each zone or individually.
  • the electric power of the heating lamp 42A which is a large ultraviolet discharge lamp, is controlled by the duty control as described above.
  • a cylindrical leg portion 22A is provided on the bottom plate 22 to increase the overall height.
  • a rotation holder 74 is provided on the outer peripheral side of the upper portion of the bottom plate 22 so that the wafer W can be rotated with the wafer W lifted when necessary.
  • the rotation holding table 74 is formed into a cylindrical shape by, for example, aluminum or the like, and is arranged at a predetermined interval on the outer peripheral side of the mounting table 10 and the upper portion of the bottom plate 22.
  • the upper end of the rotary holder 74 is bent inward at a substantially right angle, and an appropriate number of holding members are attached to the tip.
  • a piece 76 is provided so as to extend in the horizontal direction.
  • the holding pieces 76 can hold the lower surface of the peripheral portion of the wafer W as necessary.
  • a large number of permanent magnets 78 are arranged inside the lower end of the rotation holder 74 along the circumferential direction.
  • a rotating electric coil 80 is provided on the leg 22A of the bottom plate 22 so as to face the permanent magnet 78 along the circumferential direction. By passing a current through the electric coil 80, a rotating magnetic turn is generated, so that the permanent magnet 78 side, that is, the rotation holding table 74, which is magnetically coupled to the rotating coil, can be rotated.
  • An electric coil 82 for floating is formed along the circumferential direction below the electric coil 80 for rotation.
  • a repulsive force is generated magnetically with the permanent magnet 78 so that the rotation holding table 74 can be lifted upward.
  • the rotation holder 74 is provided with an encoder and the like so that the number of rotations and the rotation position can be detected and controlled.
  • a notch or the like, not shown, that allows the holding piece 76 to move up and down is provided in the periphery of the mounting table 10.
  • Such a material examples include an A1 material for ultraviolet light, visible light and infrared light, an AgZAu material for visible light and ultraviolet light, or a material obtained by adding a reflection enhancing coating to these materials. Is received. Then, when the electric coil 80 for rotation is energized, a rotation magnetic rotation is generated, and the rotation holder 74 rotates. As described above, the wafer W is heated and heated in the state of being lifted from the upper surface of the mounting table 10 and rotated, and subjected to annealing. At this time, the temperature may be controlled by causing the upper surface of the Peltier element 24A to generate heat. As a result, only the wafer having a relatively small heat capacity needs to be heated and heated, and a further rapid heating can be achieved.
  • the power supply to the heating lamp 42A is cut off, the power supply to the electric coils 80 and 82 is cut off, and the rotary holder 74 is lowered, and the wafer W is cooled.
  • This cooling is performed while W is in contact with the upper surface of the mounting table 10.
  • an electric current is applied to the upper surface of the Peltier element 24A so as to generate cold heat, whereby the temperature of the wafer W can be rapidly decreased.
  • the holding portion 76 holds and lifts the peripheral portion of the wafer W; however, the present invention is not limited to this.
  • the mounting table 10 may be configured to be separable from the Peltier element 24A, and the holding piece 76 may hold the peripheral portion of the mounting table 10 and lift it.
  • the force described by taking an annealing process as an example of the heat treatment is not limited thereto.
  • the present invention is applicable to other heat treatments such as a film forming process, an oxidation diffusion process, and a reforming process. Of course, it can be applied.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • High Energy & Nuclear Physics (AREA)

Abstract

 シリコンウエハ等の被処理体に400°C以上の温度でアニール処理等の熱処理を施すための熱処理装置である。この装置は、天井部に透過窓(8)を有する処理容器(4)を備えている。この容器内は、透過窓と対向するように被処理体(W)を載置する載置台(10)が設けられている。処理容器の上方は、透過窓を通じて被処理体に熱線を照射することにより被処理体を加熱する複数の加熱ランプ(42A,42B)が設けられている。載置台には、被処理体を少なくとも冷却可能な熱電変換器(24)が設けられている。被処理体の昇温時には主として加熱ランプからの熱線により加熱し、降温時には熱電変換器を用いて強制的に冷却する。

Description

技術分野
[0001] 本発明は、半導体ウェハ等の被処理体に対してァニール処理や成膜処理等の熱 処理を行う熱処理装置に関する。
背景技術
[0002] 一般に、半導体デバイスを製造するには、半導体ウェハに成膜処理、パターンエツ 明
チング処理、酸化拡散処理、改質処理、ァニール処理等の各種の熱処理を繰り返し 田
行って所望のデバイスを製造するが、半導体デバイスが高密度化、多層化および高 集積ィ匕するに伴ってその仕様が年々厳しくなつており、これらの各種の熱処理のゥェ ハ面内における均一性の向上および膜質の向上が特に望まれている。例えば半導 体デバイスであるトランジスタのチャネル層の処理を例にとって説明すると、このチヤ ネル層に不純物原子のイオン注入後に、原子構造を安定化させる目的でァニール 処理が一般的に行われる。
[0003] この場合、上記ァニール処理を長時間行うと原子構造は安定化するが、不純物原 子が膜厚方向へ奥深くまで拡散して下方へ突き抜けてしまうので、極力短時間で行 う必要がある。すなわち、チャネル層などの膜厚を薄くしつつ、且つ突き抜けも生ずる ことなく原子構造を安定化させるためには、半導体ウェハを高温まで高速で昇温し、 且つァニール処理後にあっては拡散が生じな 、ような低 、温度まで高速で降温させ ることが必要となる。
このようなァニール処理を可能とするために、従来の熱処理装置では、加熱ランプ を収容したランプハウスに輻射熱を遮断するシャッター機構を設け、高温でァニール 処理した後にウェハ温度を降温させる際にこのシャッター機構を作動させて加熱ラン プからの輻射熱を遮断し、ウェハの高速降温を行うようになって!/、る。
[0004] また他の従来の熱処理装置としては、例えば特開 2001— 85408号公報に示すよう に、ウェハステージにペルチェ素子を設け、 100— 250°C程度でウェハをエッチング する際に、昇降温時に上記ペルチェ素子を用いるようにした熱処理装置がある。 [0005] ところで、シャッター機構を設けた上記従来の熱処理装置にあっては、このシャツタ 一機構自体が大きいために装置が大型化し、また装置自体も複雑化する、といった 問題があった。またペルチェ素子を用いた従来の熱処理装置にあっては、エツチン グを行うプロセス温度が 100— 250°Cの範囲であってそれ程高くなぐ例えば高温で ァニール処理等を行うには温度が低過ぎて十分ではな 、、 t 、つた問題があった。 発明の開示
[0006] 本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたもの である。本発明の目的は、高温での熱処理を行う際に、被処理体の高速昇温および 高速降温を行うことが可能な熱処理装置を提供することにある。また本発明の他の目 的は、被処理体の加熱効率を向上させることが可能な熱処理装置を提供することに める。
[0007] 上記目的を達成するために、本発明は、被処理体に 400°C以上の温度で熱処理 を施すための熱処理装置であって、天井部に透過窓を有する処理容器と、この処理 容器内に設けられ、前記透過窓と対向するように被処理体を載置する載置台と、前 記処理容器の上方に設けられ、前記透過窓を通じて被処理体に熱線を照射すること により被処理体を加熱する複数の加熱ランプと、前記載置台に設けられ、被処理体 を少なくとも冷却可能な熱電変換器と、を備えたことを特徴とする熱処理装置を提供 する。
[0008] この熱処理装置によれば、被処理体に 400°C以上の温度で熱処理を行うに際して 、被処理体の昇温時には主として加熱ランプ力 の熱線により加熱し、降温時には熱 電変換器を用いて強制的に冷却することで、被処理体の高速昇温および高速降温 を行うことが可能となる。
[0009] この熱処理装置においては、前記熱電変換器の下側に、内部に熱媒体流路の形 成された熱媒体ジャケットを設けることが好まし 、。
また、前記熱電変換器は、被処理体の冷却時とは逆方向の電流が流されることで、 被処理体を加熱するように構成されて ヽることが好ま 、。
[0010] さらに、前記熱電変換器は、前記載置台上の複数のゾーンにそれぞれ対応して配 置された複数の熱電変換素子を含み、当該熱処理装置は、前記載置台上の各ゾー ン別に熱電変換素子に流される電流を制御する変換素子制御器を更に備えることが 好ましい。
これによれば、被処理体の温度の面内均一性を維持したままこれを高速降温させ ることが可能となる。
その場合、前記変換素子制御器は、前記熱電変換素子に間欠的に電流を流すよ うに制御を行うと共に、前記熱電変換素子に電流が流れていない期間に前記熱電変 換素子の起電力に基づいて当該熱電変換素子の温度を測定するができる。
[0011] 被処理体はシリコンウェハである場合には、前記複数の加熱ランプは、主として紫 外線を放射する紫外線放電ランプと、主として可視光線を放射するハロゲンランプと で構成されることが好ま U、。
これにより、加熱ランプからの熱線に対する被処理体の吸収率が高くなり、より高速 で昇温させることができる。
その場合、前記紫外線放電ランプの電力制御は、デューティ制御により行われるこ とが好ましい。
また、被処理体の中央部に対しては主として前記紫外線放電ランプ力 の紫外線 が照射され、被処理体の周辺部には対しては主として前記ハロゲンランプ力 の可 視光線が照射されるように構成されて 、ることが好ま 、。
[0012] また、本発明は、被処理体に高温で熱処理を施すための熱処理装置であって、天 井部に透過窓を有する処理容器と、この処理容器内に設けられ、前記透過窓と対向 するように被処理体を載置する載置台と、前記処理容器の上方に設けられ、前記透 過窓を通じて被処理体に熱線を照射することにより被処理体を加熱する複数の加熱 ランプと、を備え、前記載置台は、前記加熱ランプから主として放射される熱線の種 類に応じて、その熱線を主として吸収する吸収板を含むことを特徴とする熱処理装置 を提供する。
このように、加熱ランプから主として放射される熱線の種類に応じて、その熱線を主 として吸収する吸収板によって、載置台上の被処理体に対する加熱効率を向上させ ることが可能となる。
この熱処理装置においては、前記載置台は、互いに異なる種類の熱線を主として 吸収する複数の吸収板を含むことができる。
その場合、前記吸収板どうしの間に、被処理体側を少なくとも冷却可能な熱電変換 器が設けられて 、ることが好ま 、。
図面の簡単な説明
[0013] [図 1]は、本発明の熱処理装置の第 1実施形態を示す断面構成図である。
[図 2]は、加熱手段の加熱ランプの配列の一例を示す平面図である。
[図 3]は、熱電変換器を構成するペルチェ素子の配列の一例を示す平面図である。
[図 4]は、熱線の波長に対するシリコンウェハの吸収率を示すグラフである。
[図 5]は、熱線の波長に対するゲルマニウムとシリコンの透過率を示すグラフである。
[図 6]は、熱線の波長に対する石英と窒化アルミニウム (A1N)と SiCとの吸収率を示 すグラフである。
[図 7]は、熱線の波長に対する CaF (弗化カルシウム)と LiF (弗化リチウム)の透過
2
率を示すグラフである。
[図 8]は、熱線の波長に対する熔融石英の透過率を示すグラフである。
[図 9]は、各種の母材に対してコーティング処理を行った時の赤外線領域の透過率を 示すグラフである。
[図 10]は、本発明の熱処理装置の第 2実施形態における載置台の構造を示す構成 図である。
[図 11]は、本発明の熱処理装置第 3実施形態を示す断面構成図である。
[図 12]は、本発明の熱処理装置第 4実施形態を示す断面構成図である。
発明を実施するための最良の形態
[0014] 以下に本発明に係る熱処理装置の実施の形態を添付図面に基づいて詳述する。
<第 1実施形態 >
図 1に示す本発明の第 1実施形態に係る熱処理装置 2は、例えばアルミニウムに より筒体状に成形された処理容器 4を有している。この処理容器 4の天井部は開口さ れており、この開口部には、 Oリング等のシール部材 6を介して透明な透過窓 8が気 密に設けられている。また処理容器 4の内部には、薄い円板状になされた載置台 10 が設けられており、この載置台 10の上面に、被処理体としての半導体ウエノ、 Wが載 置されるようになっている。ここで載置台 10の材料としては、使用する加熱ランプから の光線を最も吸収し易 ヽような材料で形成され、例えば主に赤外線ランプの光線を 吸収し易い SiO 材、 A1N材、 SiC材、主に紫外線ランプおよびノヽロゲンランプの光
2
線を吸収し易い Ge (ゲルマニウム)材、 Si材、金属材等を用いることができる。
[0015] また、この処理容器 4の側壁には、半導体ウェハ Wを搬出入する際に開閉されたゲ ートバルブ 12が設けられると共に、熱処理時に必要な処理ガスを内部へ導入するガ スノズル 14が設けられている。また処理容器 4の底部の周辺部には、排気口 16が形 成されており、この排気口 16には図示しない真空ポンプが介設された排気系 18が接 続されて、処理容器 4内の雰囲気を例えば真空排気可能としている。またこの処理容 器 4の底部の周辺部を残した中心側には大口径の開口が形成されており、この開口 に例えば Oリング等のシール部材 20を介在させて例えばアルミニウム製の肉厚な底 板 22が気密に取り付け固定されている。
[0016] そして、上記底板 22の上面側に、熱電変換器 24を介して上記板状の載置台 10が 接合して設けられている。この熱電変翻24は、複数の熱電変換素子として例えば 複数のペルチェ素子 24Aを有しており、これらのペルチェ素子 24Aはこれらより底板 22を気密に貫通して外側へ配線されたリード線 26を介してペルチヱ制御部(変換素 子制御器) 28へ接続されており、このペルチェ制御部 28により電流の方向や大きさ を制御できるようになつている。ここで熱電変換とは、熱エネルギーを電気エネルギー に、また電気エネルギーを熱エネルギーに変換することを言う。また、ペルチェ素子 2
4Aとしては、例えば 400°C以上の高温下での使用に耐え得る Bi Te (ビスマス'テ
2 3
ルル)素子、 PbTe (鉛'テルル)素子、 SiGe (シリコン.ゲルマニウム)素子等を用いる ことができる。
[0017] また上記底板 22の上部には、熱媒体を流すための熱媒体流路 30がその平面方向 の全体に亘つて形成されており、この熱媒体流路 30の一端には熱媒体導入路 32が 接続され、他端には熱媒体排出路 34が接続されて、この底板 22は熱媒体ジャケット 36として兼用されている。ここで、熱媒体としては少なくとも冷媒を流すことができ、上 記ペルチェ素子 24Aの下面力 温熱を奪ってこれを冷却するようになっている。また 必要に応じて温媒を流して上記ペルチェ素子 24Aの下面力 冷熱を奪ってこれをカロ 熱し得るようになつている。この熱媒体は、例えば循環器 38により循環使用される。 尚、この底板 22は、ウェハ Wを上記載置台 10に対して昇降させるために図示しない リフタピンが設けられる。
[0018] 一方、上記透過窓 8の上方には、上記ウェハ Wを加熱するための加熱手段 40が設 けられる。具体的には、この加熱手段 40は、複数の加熱ランプ 42A、 42Bよりなり、こ れらの加熱ランプ 42A、 42Bを、透過窓 8の上方に設けた容器状のランプハウス 44 の天井部の下面にその全体に亘つて取り付けて!/、る。またこのランプハウス 44の天 井部の内面は反射鏡 48となっており、各加熱ランプ 42A、 42Bからの熱線を下方向 に反射させるようになつている。また各加熱ランプ 42A、 42Bの総電力は例えば 100 一 200キロワット程度である。これらの各加熱ランプ 42A、 42Bの制御はランプ制御 部 46によって行われ、このランプ制御部 46には、上記ペルチェ制御部 28から後述 するように例えば温度情報が入力されるようになって 、る。
[0019] ここで図示例においては、ランプハウス 44の周辺部以外の中央部に位置する加熱 ランプ 42Aはその熱線の放射方向を真下に向けられているのに対して、ランプハウス 44の周辺部に位置する加熱ランプ 42Bは、下方向内側に向けて斜めに設けられて おり、その熱線の放射方向をウエノ、 Wの周辺部に集中させるようになつている。尚、 熱線とは、紫外線、可視光線および赤外線 (近赤外、遠赤外も含む)の全てを含むも のとする。
ここでは図 2に示すように、上記加熱ランプ 42A、 42Bが設けられたランプハウス 44 の天井部は、中央側の内側ゾーン 50Aと周辺部の外側ゾーン 50Bとで同心円状に 分けられる。内側ゾーン 50Aには加熱ランプ 42A群が設けられ、外側ゾーン 50Bに は加熱ランプ 42B群が設けられている。加熱ランプ 42A、 42Bの電力は、各ゾーン 5 0A、 50B毎に制御できるようになつている。尚、上記内側ゾーン 50Aには加熱ランプ 42A群を比較的疎に配置し、これに対して、上記外側ゾーン 50Bには加熱ランプ 42 B群を比較的密に配置してウェハの面内温度均一な加熱を図ることが好ましい。
[0020] ここで上記加熱ランプ 42A、 42Bとしては、紫外線を主として射出する紫外線放射 ランプと、可視光線を主として射出するハロゲンランプと、赤外線を主として射出する 赤外線ランプとよりなる群の内から選択された 1種または 2種以上のランプを用いるこ とができる。図 1では例えば中央側の加熱ランプ 42Aとしては、例えば大きさは大きく て大出力が可能な紫外線放電ランプを用い、これに対して、周辺部側の加熱ランプ 42Bとしては例えば小型化されたノヽロゲンランプが用いられる。ここで上記透過窓 8 は、上記加熱ランプ 42A、 42B力 射出する光線に対する吸収率が少なくなるように その材質 (母材)、コーティング材料が決定されている。具体的には、例えば熔融石 英ガラス、耐熱ガラス、 CaF (弗化カルシウム)材、 LiF (弗化リチウム)材、 Ge (ゲル
2
マニウム)材、コーティングが施された Ge母材等が用いられる。
[0021] また図 3 (A)に示すように、底板 22上に配置されたペルチェ素子 24Aは、載置台 1 0の略全面に亘つて配置されている。そして、各ペルチェ素子 24Aは、載置台 10上 の中央部に位置する内側ゾーン 52Aと、その外側の中周に位置する中側ゾーン 52 Bと、その外側の最外周に位置する外側ゾーン 52Cとの 3つのゾーンに同心円状に 区分されている。更に、微妙な温度コントロールが必要な外側ゾーン 52Cは、更にそ の周方向に沿ってペルチェ素子 24Aを 3個ずつ含む 4つの分割ゾーンに区画されて おり、上記各ゾーン 52A、 52B、 52C (外側ゾーン 52Cについては更に 4つの分割ゾ ーン)毎に独立して制御できるようになって 、る。
尚、図 3 (A)に示したペルチヱ素子の配列に変えて、図 3 (B)、図 3 (C)のように配 列することも可能である。これらは載置台 10の略全面にわたりペルチェ素子 24Aが 敷き詰められた構造を有し、ペルチェ素子 24A間にはほとんど隙間がない。これによ れば、より精密で均一な温度制御を達成することができる。これらの配列においては 、各ゾーン 52A、 52B、 52Cが正確な同心円状にならないが、各ゾーン 52A、 52B、 52Cは図示されるように適宜決定すればよい。ここで図 3 (A)、図 3 (B)ではペルチヱ 素子 24Aの形状は、略四角形に形成され、図 3 (C)に示す場合には略六角形に形 成されて!/、る。また図 3 (B)および図 3 (C)ではゾーン毎にペルチヱ素子 24Aに異な る模様を付してゾーン区分を明確にして 、る。またこれら図 3に示したゾーンの分割 形式は、単に一例を示したに過ぎず、これに限定されないのは勿論である。
[0022] 次に、上述のように構成された熱処理装置 2の動作にっ 、て説明する。まず、ァ- ール処理を行うために開放されたゲートバルブ 12を介して未処理の半導体ウェハ W は処理容器 4内へ導入されて載置台 10上に載置され、処理容器 4内を密閉する。そ して、ガスノズル 14より処理ガスとして例えば N ガス、或いは Arガスを流量制御しつ
2
つ導入すると共に、処理容器 4内を例えば真空排気して所定のプロセス圧力、例え ば 1一 100Pa (7. 5mTorr— 750mTorr)を維持する。これと同時に、ランプ制御部 46により加熱手段 40を動作させて各加熱ランプ 42A、 42Bを点灯させる。
[0023] これにより、各加熱ランプ 42A、 42Bより発せられた熱線が透過窓 8を透過して半導 体ウェハ Wの表面に入射し、これを急速に加熱して昇温する。この時の昇温速度は 例えば 100— 200°CZsec程度である。ここで加熱ランプとして紫外線放電ランプを 用いた場合には、この紫外線放電ランプに対してデューティ制御を行って、その投入 電力を制御する。この点は、以降に説明する各実施形態においても同様である。そし て、ウェハ Wを 400°C以上、例えば 500— 1000°Cのプロセス温度に、所定の時間だ け維持してァニール処理を行う。尚、ここで紫外線放電ランプに対してデューティ制 御を行う理由は、次の通りである。すなわち紫外線放電ランプに連続的に電力を供 給した場合にあっては、この電力を徐々に上げていっても、ある値(閾値)以上になら ないと放電が起こらず、つまり投入電力に対し、比例的な熱線量が得られないと共に 、熱線量を 0— 100%まで連続的に変化させる事ができない。そこで、放電を起こさ せるに十分な電力によりデューティ制御を行えば、これらの問題を一挙に解決できる ことになる。
[0024] このようにして、ァニール処理が終了したならば、ウェハ Wの温度を急速に冷却す る高速降温を行う。そのために、各加熱ランプ 42A、 42Bを消灯すると共に、載置台 10の下面に設けてある熱電変^ ^24の各ペルチェ素子 24Aに、その上面が冷える ような方向で電流を流す。これにより、処理容器 4内の対流と放射による冷却効果以 外に各ペルチェ素子 24Aの上面に冷熱が発生して冷却されるので、これと接して ヽ る載置台 10が冷却されてウェハ Wを急速に冷却することができ、ウェハ Wの高速降 温を行うことができる。 この際、各ペルチェ素子 24Aの下面には温熱が発生して 熱くなるので、底板 22に形成した熱媒体ジャケット 36の熱媒体流路 30に冷却用の 熱媒体を流し、上記各ペルチェ素子 24Aの下面に発生した温熱を上記熱媒体により 系外へ運び出して各ペルチェ素子 24Aの下面を冷却することになる。この時の冷却 用の熱媒体としては冷却水等を用いることができる。 [0025] さて、このような動作において、図 2に示すように各加熱ランプ 42A、 42Bは複数の ゾーン、図示例では 2つのゾーンに分けられており、特に外側ゾーン 50Bの各加熱ラ ンプ 42Bは、ウェハ Wを昇温させ難いウェハ周辺部に向けられており、この周辺部に 熱線を集中的に照射するようになって 、るので、面内温度の均一性を維持したままゥ ェハ Wを高速昇温することができる。この場合、ウェハ温度、或いは載置台 10の温 度は、各ペルチェ素子 24Aのゼーベック効果による起電力に基づ!/、てペルチヱ制御 部 28により(ペルチェ素子 24A自体の温度として)測定できる。その温度測定結果を ランプ制御部 46へ入力して、この制御部 46で測定結果に基づ 、て上記各加熱ラン プ 42A、 42Bをゾーン毎に制御することにより、面内温度の均一性をより高く維持し たままウェハ Wを高速昇温することができる。
[0026] 更には、ウェハ Wの昇温時に、各ペルチェ素子 24Aに、その上面が発熱するような 方向で電流を流すことにより(ウェハの降温時とは電流の方向は逆になる)、ウェハ W 力 Sこの各ペルチェ素子 24Aにより補助的に加熱されることになる。このため、ウェハ Wの昇温速度を一層大きくすることができる。この場合、各ペルチェ素子 24Aに加熱 用の電流を間欠的に流しながら、各ペルチェ素子 24Aに電流が流れていない期間 にペルチェ素子 24Aの起電力に基づいてその温度を測定する。そして、この測定温 度に基づいてペルチェ素子 24Aへ流す加熱用の電流をゾーン毎に制御する。これ により、昇温時のウェハ温度の面内均一性を一層高く維持することができる。尚、ゥェ ハ Wの昇温時には、各ペルチヱ素子 24Aの下面に冷熱が発生するので、熱媒体ジ ャケット 36の熱媒体流路 30には、ウェハ Wの降温時とは異なって加熱用の熱媒体を 流し、各ペルチェ素子 24Aの下面に生じた冷熱を上記加熱用の熱媒体で系外へ排 出するように動作させる。この場合、加熱用の熱媒体としては、例えば高温の温水を 用!/、ることができる。
[0027] またウェハ Wの降温時にも、ウェハ冷却用の電流を間欠的に各ペルチエ素子 24A に流しながら、各ペルチヱ素子 24Aに電流が流れていない期間にペルチヱ素子 24 Aの起電力に基づいてその温度を測定する。そして、この測定温度に基づいてペル チェ素子 24Aへ流す冷却用の電流をゾーン毎に制御する。これにより、ウェハ温度 の面内均一性を高く維持した状態でウェハ温度を降温させることができる。また複数 のペルチェ素子 24Aを分割するゾーン数を更に多くしたり、或いは個々のペルチェ 素子 24A毎に電流制御ができるようにすれば、ウェハ昇温時および降温時の面内温 度の均一性をより一層高く維持することができる。尚、載置台の温度は、これに埋設さ れた熱電対、または光ファイバ一を通じて熱輻射量から計る放射温度計により測定し ても良いのは勿論である。
[0028] ここで被処理体を高速昇温させるためには、加熱手段 40の各加熱ランプへの投入 電力を増加させるだけでは十分ではなぐランプからの熱線に対する被処理体自体 の吸収率を大きくする必要がある。 被処理体として例えばシリコンウェハを用 ヽた場 合を例にとると、熱線に対するこのシリコンウェハの吸収率は図 4に示すグラフのよう になる。図 4に示すように、シリコンウェハの熱線の吸収率は熱線の波長およびシリコ ンウェハの温度に依存する。ここで熱線とは、前述したように紫外線カゝら遠赤外線ま で含む広 、概念で用いて 、る。
図示するように、波長が 1. 程度までは、シリコンウェハの温度に関係なぐ 0 . 5-0. 7程度の高い吸収率を示しているが、波長が 1. 17 mよりも大きくなると、 吸収率はシリコンウェハの温度に大きく依存し、温度が低い程、吸収率が小さくなる( 透過率は大きくなる)。すなわち、シリコンウェハの温度が 270— 600°Cの範囲で変 化すると、それに応じて吸収率は 0. 1-0. 7程度の範囲で変化している。
[0029] 従って、被処理体を高速昇温させるには、加熱ランプとしては、波長が 1. ΙΊ μ χη 以下の熱線を出力するランプ、すなわち主として紫外線を射出する紫外線放電ラン プゃ主として可視光線を射出するハロゲンランプを用いるのが好ましいことが判明す る。またこの種の加熱ランプを用いることにより熱線を有効に利用でき、加熱効率を向 上させることができる。
[0030] 加熱効率を向上させるためには、シリコンウェハを透過した熱線がその下の載置台 10によってどの程度吸収されるかが大きな問題となる。そこで、次に載置台 10の材 質について検討する。
図 5は熱線の波長に対するゲルマニウムとシリコンの透過率( 1 吸収率)を示す グラフ、図 6は熱線の波長に対する石英(SiO )と窒化アルミニウム (A1N)と炭化シリ
2
コン(SiC)の吸収率を示すグラフである。まず、図 5に示すようにここではゲルマニウ ムは厚さを 2mmに設定し、シリコンは厚さを 2. 5mmに設定している。図 5から明らか なように、熱線の波長が 0. 77 m以下である可視光線の領域および紫外線の領域 では透過率は 10%以下、すなわち吸収率は 90%以上で非常に大きくなつている。 従って、加熱ランプとして紫外線放電ランプやハロゲンランプを用いた場合、或いは 本実施形態のように両ランプを混在させて設けた場合には、載置台 10の材料として ゲルマニウム基板やシリコン基板を用いるのが好まし 、ことが確認できた。これによれ ば、シリコンウェハを透過した熱線を載置台 10が効率良く吸収でき、この結果、この 載置台 10の熱でシリコンウェハを加熱することができる。従って、その分、加熱効率 を向上させることができ、また、昇温速度も更に向上させることができる。
[0031] また図 6に示す SiO (図 6 (A) )、 A1N (図 6 (B) )、 SiC (図 6 (C) )の板厚は 1. 3—
2
3. 4mmに設定されている。上記各材料は、波長が約 4 m以上の赤外線の領域で なければ十分に大きな吸収率を示しておらず、紫外線および可視光線の領域では 吸収率が非常に低い。従って、加熱ランプとして赤外線ランプを用いた場合には、載 置台 10の材料として SiO 板、 A1N板、 SiC板を用いるのが好ましいことが確認でき
2
た。この場合、 SiC等の他に、他の酸ィ匕物を含むセラミック材を用いてもよい。
[0032] また、加熱効率を向上させるには熱線に対する透過窓 8の透過率も大きな問題とな る。そこで、次に透過窓 8について検討する。
図 7は熱線の波長に対する CaF (弗化カルシウム) [厚さ: 3mm]と LiF (弗化リチウ
2
ム) [厚さ: 2mm]の透過率を示すグラフ、図 8は熱線の波長に対する熔融石英 (厚さ : 1mm)の透過率を示すグラフ、図 9は各種の母材に対してコーティング処理を行つ た時の赤外線領域の透過率を示すグラフである。
まず、図 7に示すように、 CaF 板(図 7 (A) )は 0. 2 mの紫外線力ら 8 mの赤外
2
線の範囲で、また LiF板(図 7 (B) )は 0. 12 mの紫外線から 7 mの赤外線の範囲 で、共に 90%前後の高い透過率を示している。従って、加熱ランプの種類を問わず 、紫外線放電ランプ、ハロゲンランプ、赤外線ランプの全てのランプに対してこの CaF 板や LiF板を透過窓 8として用いることができることを確認できた。特に、この CaF
2 2 板や LiF板は紫外線の領域で高い透過率を示しており、従って、加熱う
外線放電ランプを用いる場合に特に有効であることが確認できた。 [0033] また図 8に示すように熔融石英の場合には、波長が略 0. の紫外線の領域か ら波長が略 4. 0 mの赤外線の領域に亘つて透過率は 80%前後の高い値を示して いる。従って、図 7に示した材料と同様に、熔融石英も紫外線の領域から赤外線の領 域の広い範囲に亘つて透過窓 8の材料として好ましいことが確認できた。特に、可視 光線の領域 (0. 42-0. 77 m)の領域では吸収率が 90%以上と非常に高くなつて おり、特に好ましいことが確認できた。この場合にも、先に説明したと同様に加熱効率 を向上でき、また、昇温速度も更に向上させることができる。
[0034] 次に、図 9を参照して赤外線領域の光線について検討する。図 9に示すように、 Zn Se板、 Si板、 Ge板がそれぞれ単独の場合 (コートなし)には、透過率はそれぞれ 70 %、 50%、 45%であってそれ程高くない。しかし、以下に述べる両面コートをそれぞ れに施すと、透過率は全て 90— 100%の範囲内に大幅に上昇し、高い加熱効率を 発揮できることが確認できた。すなわち、加熱ランプとして赤外線ランプを用いた場合 には上記両面コートの ZnSe板、 Ge板、 Si板を用いるのが好ましいことが確認できた
[0035] 二こで ZnSe板の場合、熱線入射側の上面には母材の ZnSeよりも低 、屈折率の Th F コーティング材を用い、熱線透過側の下面には母材の ZnSeよりも高い屈折率の
4
ZeSeコーティングを用いた。また、 Si板の場合、上面には母材の SUりも低い屈折率 の SiO コーティング材を用い、下面には母材の SUりも高い屈折率の Geコーティン
2
グ材を用いた。 また、 Ge板の場合、上面には母材の Geよりも低い屈折率の SiO コ
2 一ティング材を用い、下面には母材の Geよりも高 、屈折率の Geコ一ティング材を用 いた。
[0036] <第 2実施形態 >
上記第 1実施形態においては載置台 10として 1種類の材料を用いた場合を例にと つて説明したが、これに限定されない。例えば主たる吸収波長帯域が互いに異なる 特性を有する複数の吸収板を積層することにより載置台 10を形成するようにしてもよ い。
図 10は、そのような本発明の第 2実施形態の載置台の構造を示す構成図である。 尚、載置台 10以外の構成については図示省略している。この載置台 10は、具体的 には、紫外線と可視光線とを主として吸収する可視光吸収板 62と、赤外線を主として 吸収する赤外光吸収板 64とを積層して構成されて ヽる。
[0037] ここで各吸収板 62、 64を構成する材料としては、図 5および図 6を参照して先に説 明したように、紫外光 ·可視光吸収板 62としては例えばゲルマニウム、或いはシリコン 板を用い、赤外光吸収板 64としては例えば石英板、 A1N板、或いは SiC板を用いる ことができる。尚、ここで赤外光とは、近赤外線、赤外線および遠赤外線を含む。 こ の場合には、吸収板の吸収波長帯域の熱線であって被処理体を透過した熱線を全 て載置台 10で吸収することができる。従って、その分、加熱効率を大幅に向上させる ことができ、また昇温速度を更に上げることができる。
[0038] 尚、図 10 (A)において、各吸収板 62、 64の積層の順序は特に限定されない。また 、吸収率の高い周波数領域が異なる 3種類の吸収板を積層することもできる。
また図 10 (A)に示す場合には、 2枚の吸収板 62、 64を重ね合わせて積層して載 置台 10を形成し、この下面側にペルチェ素子 24Aを接合している。これに対して、図 10 (B)に示すように各吸収板 62、 64どうしの間にペルチェ素子 24Aをそれぞれ介 在させるようにしてもよい。この場合、ァニール処理後にウェハ温度を高速降温させる ためには、各ペルチェ素子 24Aの上面側を吸熱状態とし (冷熱を発生)、下面側を放 熱 (発熱)状態とするように各ペルチ 素子 24Aに電流を流すようにする。この時、当 然のこととして熱媒体ジャケット 36 (図 1)には冷却用の熱媒体、例えば冷却水を流す
[0039] これに対して、ウェハの高速昇温時には、各ペルチヱ素子 24Aの上面側を放熱( 発熱)状態とし、下面側を吸熱状態 (冷熱を発生)とするように各ペルチェ素子 24Aに 電流を流すようにする。この時、当然のこととして熱媒体ジャケット 36 (図 1)に加熱用 の熱媒体を流す。図 10 (B)に示す構成は、吸収板が 3枚の場合にも適用することが できる。 このように構成することにより、熱線の吸収波長帯域が異なる吸収板 62、 64 間の熱伝導率を向上させることができるので、結果として、ウェハの加熱効率および 降温効率を向上させることができる。
[0040] <第 3実施形態 >
次に本発明の第 3実施形態について説明する。 図 11は本発明の第 3実施形態を 示す断面構成図である。尚、図 1中と同一構成部分については同一符号を付してそ の説明を省略する。ここでは図 11に示すように、処理容器 4の底板 22をなす熱媒体 ジャケット 36の上面に、例えばアルミニウムメツキよりなる反射板 70を全面に亘つて形 成している。そして、この反射板 70の上にペルチェ素子 24Aを介して載置台 10を設 けるようにしている。
[0041] この実施形態によれば、ウェハ Wや載置台 10を透過した熱線をこの反射板 70で 上方に反射させて再度ウェハ Wの加熱に寄与できる。従って、その分、加熱効率を 向上させることができるので、更に高速で昇温を行うことができる。尚、この実施形態 の載置台 10に対しても、図 10に示す第 2実施形態の構成を採用できるのは勿論で あり、反射板 70は載置台 10とペルチェ素子 24Aの間に設置されてもよい。また、カロ 熱ランプ 42Aの種類は、ここでも問わず、紫外線放電ランプ、ハロゲンランプ、赤外 線ランプの内の 1種、または 2種以上を用いることができる。
[0042] <第 4実施形態 >
次に本発明の第 4実施形態について説明する。図 12は本発明の第 4実施形態を 示す断面構成図である。尚、図 1中と同一構成部分については同一符号を付してそ の説明を省略する。
この第 4実施形態では、加熱ランプ 42Aとしてより少ない数のランプ、図示例では 1 つの大型で大電力の紫外線放電ランプを用いて、ウェハを多量の熱線で粗く加熱す るようになっている。この場合、ウエノ、 Wの昇降時および降温時には、各ペルチェ素 子 24Aの電流をゾーン毎に、或いは個別に微細に制御することによりウェハ温度の 面内均一性を高く維持する。またこの大型の紫外線放電ランプよりなる加熱ランプ 42 Aの電力は、前述したようにデューティ制御によりコントロールされる。
[0043] また、ここでは底板 22に円筒状の脚部 22Aを設けて、全体の高さをかさ上げしてい る。そして、この底板 22の上部の外周側に回転保持台 74を設けて、必要時にウェハ Wを持ち上げた状態でこれを回転し得るようになつている。具体的には、この回転保 持台 74は例えばアルミニウム等により円筒体状に成形されて、載置台 10および底板 22の上部の外周側に所定の間隔を隔てて配置されている。そして、この回転保持台 74の上端部は、内側へ略直角に折り曲げられており、その先端部に適当数の保持 片 76が水平方向に延びるようにして設けられている。それらの保持片 76により、必要 に応じてウェハ Wの周辺部の下面を保持できるようになって 、る。またこの回転保持 台 74の下端部の内側には、その周方向に沿って永久磁石 78が多数配列させて設 けられている。また、上記底板 22の脚部 22Aには、上記永久磁石 78に対向させて 回転用の電気コイル 80がその周方向に沿って設けられている。この電気コイル 80に 電流を流すことにより回転磁回を発生させて、これに磁気結合される上記永久磁石 7 8側、すなわち回転保持台 74を回転し得るようになって ヽる。
[0044] また上記回転用の電気コイル 80の下部には、浮上用の電気コイル 82がその周方 向に沿って形成されている。必要に応じてこの浮上用の電気コイル 82に通電するよ うことにより上記永久磁石 78との間で磁気的に反発力を生ぜしめて、上記回転保持 台 74を上方へ浮かせることができるようになつている。尚、図示されていないが、この 回転保持台 74にはエンコーダ等が設けられており、その回転数や回転位置を検知 し、且つ制御できるようになつている。また、載置台 10の周辺部には、上記保持片 76 の上下移動を許容する図示しな 、切り欠き等が設けられて 、る。
[0045] さてこのような熱処理装置にあっては、ウェハ Wを加熱昇温し、ァニール処理を行う 時には、浮上用の電気コイル 82に通電をして永久磁石 78との間に反発力を生ぜし めて保持片 76でウエノ、 Wを支持した回転保持台 74を浮上させる。これにより、ゥェ ハ Wは載置台 10の上面力も離間して浮上した状態となる。尚、この場合、載置台 10 の材料としては、上述の各実施形態において記載されたものとは異なり、ランプから の熱線を反射してウェハ側に戻すような材料が選ばれることが望まし 、。このような材 料として、例えば紫外光 ·可視光 ·赤外光に対しては A1材料、可視光 ·紫外光に対し ては AgZAu材料、またはこれらに反射増強コーティングをカ卩えたものなどが挙げら れる。 そして、回転用の電気コイル 80に通電することにより回転磁回が生じて回転 保持台 74が回転する。このように、ウェハ Wは載置台 10の上面より浮上して回転さ れた状態で加熱昇温されてァニール処理されることになる。尚、この際、ペルチェ素 子 24Aの上面を発熱させて温度制御を行うようにしてもよい。これにより、相対的に熱 容量の小さいウェハのみを加熱昇温すれば良いことになり、さらなる高速昇温が可能 となる。 [0046] またァニール処理が終了し、ウェハ Wを冷却する場合には、加熱ランプ 42Aへの 通電を断ち、各電気コイル 80, 82への通電をそれぞれ切って回転保持台 74を降下 させ、ウェハ Wを載置台 10の上面に接した状態でこの冷却を行う。この時、前述した ようにペルチェ素子 24Aの上面は、冷熱を発生するように電流を流し、これによりゥェ ハ Wを高速降温させることができる。
またここでは保持片 76でウェハ Wの周辺部を保持して持ち上げるようにしたが、こ れに限定されない。例えば、載置台 10をペルチェ素子 24Aから分離可能に構成し、 保持片 76は載置台 10の周辺部を保持してこれ持ち上げるようにしてもょ 、。
[0047] 以上の各実施形態では、熱処理としてァニール処理を例にとって説明した力 これ に限定されず、他の熱処理、例えば成膜処理、酸化拡散処理、改質処理等の場合 にも本発明を適用できるのは勿論である。
また、被処理体としてシリコンウェハを例にとって説明したが、化合物半導体等の他 の半導体ウェハ、 LCD基板、ガラス基板等を処理する場合にも本発明を適用できる のは勿論である。

Claims

請求の範囲
[1] 被処理体に 400°C以上の温度で熱処理を施すための熱処理装置であって、 天井部に透過窓を有する処理容器と、
この処理容器内に設けられ、前記透過窓と対向するように被処理体を載置する載 置台と、
前記処理容器の上方に設けられ、前記透過窓を通じて被処理体に熱線を照射す ることにより被処理体を加熱する複数の加熱ランプと、
前記載置台に設けられ、被処理体を少なくとも冷却可能な熱電変換器と、 を備えたことを特徴とする熱処理装置。
[2] 前記熱電変換器の下側に、内部に熱媒体流路の形成された熱媒体ジャケットを設 けたことを特徴とする請求項 1記載の熱処理装置。
[3] 前記熱電変 は、被処理体の冷却時とは逆方向の電流が流されることで、被処 理体を加熱するように構成されて!ヽることを特徴とする請求項 1記載の熱処理装置。
[4] 前記熱電変換器は、前記載置台上の複数のゾーンにそれぞれ対応して配置され た複数の熱電変換素子を含み、
当該熱処理装置は、前記載置台上の各ゾーン別に熱電変換素子に流される電流 を制御する変換素子制御器を更に備えることを特徴とする請求項 1記載の熱処理装 置。
[5] 前記変換素子制御器は、前記熱電変換素子に間欠的に電流を流すように制御を 行うと共に、前記熱電変換素子に電流が流れていない期間に前記熱電変換素子の 起電力に基づ 、て当該熱電変換素子の温度を測定することを特徴とする請求項 4記 載の熱処理装置。
[6] 被処理体はシリコンウェハであり、
前記複数の加熱ランプは、主として紫外線を放射する紫外線放電ランプと、主とし て可視光線を放射するハロゲンランプとで構成されることを特徴とする請求項 1記載 の熱処理装置。
[7] 前記紫外線放電ランプの電力制御は、デューティ制御により行われることを特徴と する請求項 6記載の熱処理装置。
[8] 被処理体の中央部に対しては主として前記紫外線放電ランプ力 の紫外線が照射 され、被処理体の周辺部には対しては主として前記ハロゲンランプからの可視光線 が照射されるように構成されて ヽることを特徴とする請求項 6記載の熱処理装置。
[9] 被処理体に高温で熱処理を施すための熱処理装置であって、
天井部に透過窓を有する処理容器と、
この処理容器内に設けられ、前記透過窓と対向するように被処理体を載置する載 置台と、
前記処理容器の上方に設けられ、前記透過窓を通じて被処理体に熱線を照射す ることにより被処理体を加熱する複数の加熱ランプと、
を備え、
前記載置台は、前記加熱ランプ力 主として放射される熱線の種類に応じて、その 熱線を主として吸収する吸収板を含むことを特徴とする熱処理装置。
[10] 前記載置台は、互いに異なる種類の熱線を主として吸収する複数の吸収板を含む ことを特徴とする請求項 9記載の熱処理装置。
[11] 前記吸収板どうしの間に、被処理体側を少なくとも冷却可能な熱電変翻が設けら れて!ヽることを特徴とする請求項 10記載の熱処理装置。
PCT/JP2004/013922 2003-09-24 2004-09-24 熱処理装置 WO2005029561A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/573,048 US7978963B2 (en) 2003-09-24 2004-09-24 Thermal processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003-332482 2003-09-24
JP2003332482A JP4442171B2 (ja) 2003-09-24 2003-09-24 熱処理装置

Publications (1)

Publication Number Publication Date
WO2005029561A1 true WO2005029561A1 (ja) 2005-03-31

Family

ID=34373092

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/013922 WO2005029561A1 (ja) 2003-09-24 2004-09-24 熱処理装置

Country Status (5)

Country Link
US (1) US7978963B2 (ja)
JP (1) JP4442171B2 (ja)
KR (1) KR100833386B1 (ja)
CN (1) CN100547737C (ja)
WO (1) WO2005029561A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244389A (ja) * 2007-03-29 2008-10-09 Ulvac Japan Ltd 真空処理装置、真空処理方法及びプラズマcvd方法
JP2011243731A (ja) * 2010-05-18 2011-12-01 Kelk Ltd 温調装置

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4808425B2 (ja) * 2005-03-22 2011-11-02 光洋サーモシステム株式会社 熱処理装置
US20060242967A1 (en) * 2005-04-28 2006-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Termoelectric heating and cooling apparatus for semiconductor processing
JP2006332541A (ja) * 2005-05-30 2006-12-07 Ushio Inc 光加熱装置
CN100557773C (zh) * 2005-09-21 2009-11-04 东京毅力科创株式会社 热处理装置
JP5055756B2 (ja) 2005-09-21 2012-10-24 東京エレクトロン株式会社 熱処理装置及び記憶媒体
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
JP2007258286A (ja) * 2006-03-22 2007-10-04 Tokyo Electron Ltd 熱処理装置、熱処理方法及び記憶媒体
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
TW200913798A (en) * 2007-09-14 2009-03-16 Advanced Display Proc Eng Co Substrate processing apparatus having electrode member
CN102460650B (zh) * 2009-06-24 2014-10-01 佳能安内华股份有限公司 真空加热/冷却装置及磁阻元件的制造方法
JP5582819B2 (ja) * 2010-02-24 2014-09-03 東京エレクトロン株式会社 処理装置
CN101773917B (zh) * 2010-03-05 2015-01-07 上海集成电路研发中心有限公司 硅片清洗装置及方法
CN102375175B (zh) * 2010-08-06 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 一种均光板及应用该均光板的基片加工设备
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
JP2014134423A (ja) * 2013-01-09 2014-07-24 Yamato Scale Co Ltd 組合せ秤
US9634175B2 (en) * 2013-01-09 2017-04-25 Ascent Solar Technologies, Inc. Systems and methods for thermally managing high-temperature processes on temperature sensitive substrates
US20140318455A1 (en) * 2013-04-26 2014-10-30 Varian Semiconductor Equipment Associates, Inc. Low emissivity electrostatic chuck
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
JP6405556B2 (ja) 2013-07-31 2018-10-17 リンテック株式会社 保護膜形成フィルム、保護膜形成用シートおよび検査方法
US20150163860A1 (en) * 2013-12-06 2015-06-11 Lam Research Corporation Apparatus and method for uniform irradiation using secondary irradiant energy from a single light source
JP5891255B2 (ja) * 2014-03-17 2016-03-22 株式会社Screenホールディングス 熱処理装置
KR101796626B1 (ko) * 2014-05-29 2017-11-13 에이피시스템 주식회사 기판 열처리 장치
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
KR20160115398A (ko) * 2015-03-27 2016-10-06 에이피시스템 주식회사 히터 블록 및 기판 처리 장치
US20160379854A1 (en) * 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
JP6662742B2 (ja) * 2016-09-26 2020-03-11 株式会社フェローテックホールディングス 温調装置およびペルチェモジュール
CN108594883A (zh) * 2018-03-01 2018-09-28 常熟市虞华真空设备科技有限公司 高精度温控箱
CN108538763B (zh) * 2018-04-24 2020-05-15 京东方科技集团股份有限公司 一种加热组件、封装装置和封装方法
KR102322101B1 (ko) * 2021-06-24 2021-11-04 주식회사 자이시스 반도체 제조 장치

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0410410A (ja) * 1990-02-02 1992-01-14 Sharp Corp 薄膜製造装置
JPH08213337A (ja) * 1994-11-30 1996-08-20 New Japan Radio Co Ltd 半導体基板の熱処理方法
JP2002151487A (ja) * 2000-11-14 2002-05-24 Tokyo Electron Ltd 基板処理装置
JP2002151428A (ja) * 2000-11-13 2002-05-24 Toshiba Corp 熱処理方法及び半導体装置の製造方法
JP2002299319A (ja) * 2001-03-29 2002-10-11 Hitachi Kokusai Electric Inc 基板処理装置
JP2003133249A (ja) * 2001-10-29 2003-05-09 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2003209054A (ja) * 2001-11-12 2003-07-25 Dainippon Screen Mfg Co Ltd 基板の熱処理方法および熱処理装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6215816A (ja) 1985-07-12 1987-01-24 Matsushita Electric Ind Co Ltd 赤外線加熱装置
JP3091804B2 (ja) 1993-03-16 2000-09-25 日本碍子株式会社 半導体ウエハー用サセプターおよび半導体ウエハーの温度測定方法
US5667622A (en) 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JP2001085408A (ja) 1999-09-13 2001-03-30 Hitachi Ltd 半導体集積回路装置の製造方法および製造装置
JP2001110793A (ja) * 1999-10-12 2001-04-20 Dainippon Screen Mfg Co Ltd 熱処理装置および基板処理装置
EP1245036B1 (en) * 1999-12-13 2013-06-19 Semequip, Inc. Ion implantation ion source
US7255899B2 (en) 2001-11-12 2007-08-14 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus and heat treatment method of substrate
DE10328660B3 (de) * 2003-06-26 2004-12-02 Infineon Technologies Ag Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers
JP4765328B2 (ja) * 2004-04-16 2011-09-07 東京エレクトロン株式会社 被処理体の処理装置
JP2007005347A (ja) * 2005-06-21 2007-01-11 Tokyo Electron Ltd 熱処理装置
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0410410A (ja) * 1990-02-02 1992-01-14 Sharp Corp 薄膜製造装置
JPH08213337A (ja) * 1994-11-30 1996-08-20 New Japan Radio Co Ltd 半導体基板の熱処理方法
JP2002151428A (ja) * 2000-11-13 2002-05-24 Toshiba Corp 熱処理方法及び半導体装置の製造方法
JP2002151487A (ja) * 2000-11-14 2002-05-24 Tokyo Electron Ltd 基板処理装置
JP2002299319A (ja) * 2001-03-29 2002-10-11 Hitachi Kokusai Electric Inc 基板処理装置
JP2003133249A (ja) * 2001-10-29 2003-05-09 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2003209054A (ja) * 2001-11-12 2003-07-25 Dainippon Screen Mfg Co Ltd 基板の熱処理方法および熱処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244389A (ja) * 2007-03-29 2008-10-09 Ulvac Japan Ltd 真空処理装置、真空処理方法及びプラズマcvd方法
JP2011243731A (ja) * 2010-05-18 2011-12-01 Kelk Ltd 温調装置

Also Published As

Publication number Publication date
KR100833386B1 (ko) 2008-05-28
US20110002674A1 (en) 2011-01-06
JP4442171B2 (ja) 2010-03-31
JP2005101237A (ja) 2005-04-14
CN1856863A (zh) 2006-11-01
CN100547737C (zh) 2009-10-07
US7978963B2 (en) 2011-07-12
KR20060080216A (ko) 2006-07-07

Similar Documents

Publication Publication Date Title
JP4442171B2 (ja) 熱処理装置
KR100977886B1 (ko) 열처리 장치 및 기억 매체
KR101859344B1 (ko) 상부 기판 지지 어셈블리를 갖는 열 처리 챔버
US6462310B1 (en) Hot wall rapid thermal processor
JP6239559B2 (ja) 放射加熱された基板のクールダウンを向上させるための装置および方法
US6891131B2 (en) Thermal processing system
WO2007058068A1 (ja) 加熱装置、熱処理装置、コンピュータプログラム及び記憶媒体
TWI489554B (zh) 在dsa類型系統中用於矽雷射退火的適合短波長光
TWI615503B (zh) 用於減少快速熱處理的污染之影響的設備
EP2311076A2 (en) Rapid thermal processing chamber with shower head
KR20110009187A (ko) 어닐링 장치
TW201128708A (en) Heat treatment apparatus
WO2005101471A1 (ja) 被処理体の処理装置
EP1226395A1 (en) Hot wall rapid thermal processor
JP2012178576A (ja) 熱処理装置及び記憶媒体
JP2010034491A (ja) アニール装置
JP4346208B2 (ja) 温度測定方法、熱処理装置及び方法、並びに、コンピュータ可読媒体
TWI776859B (zh) 旋轉器蓋
JP2002324764A (ja) 基板の熱処理装置
WO2009157484A1 (ja) アニール装置
JP4409655B2 (ja) 熱処理装置
JP2008311587A (ja) 基板処理装置
JP4905907B2 (ja) ランプ、及び熱処理装置
TW202418442A (zh) 用於基板處理之反射板

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480027700.4

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BW BY BZ CA CH CN CO CR CU CZ DK DM DZ EC EE EG ES FI GB GD GE GM HR HU ID IL IN IS KE KG KP KR LC LK LR LS LT LU LV MA MD MG MN MW MX MZ NA NI NO NZ OM PG PL PT RO RU SC SD SE SG SK SL SY TM TN TR TT TZ UA UG US UZ VC YU ZA ZM

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SZ TZ UG ZM ZW AM AZ BY KG MD RU TJ TM AT BE BG CH CY DE DK EE ES FI FR GB GR HU IE IT MC NL PL PT RO SE SI SK TR BF CF CG CI CM GA GN GQ GW ML MR SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020067005788

Country of ref document: KR

DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWP Wipo information: published in national office

Ref document number: 1020067005788

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWE Wipo information: entry into national phase

Ref document number: 10573048

Country of ref document: US