WO2005024915A1 - Dispositif, procede et programme de gestion de la maintenance, et support d'enregistrement d'informations - Google Patents

Dispositif, procede et programme de gestion de la maintenance, et support d'enregistrement d'informations Download PDF

Info

Publication number
WO2005024915A1
WO2005024915A1 PCT/JP2004/012640 JP2004012640W WO2005024915A1 WO 2005024915 A1 WO2005024915 A1 WO 2005024915A1 JP 2004012640 W JP2004012640 W JP 2004012640W WO 2005024915 A1 WO2005024915 A1 WO 2005024915A1
Authority
WO
WIPO (PCT)
Prior art keywords
maintenance
substrate processing
processing apparatus
time
data
Prior art date
Application number
PCT/JP2004/012640
Other languages
English (en)
Japanese (ja)
Inventor
Yuji Imai
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to JP2005513649A priority Critical patent/JP4899478B2/ja
Publication of WO2005024915A1 publication Critical patent/WO2005024915A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32234Maintenance planning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Definitions

  • Maintenance management device maintenance management method, maintenance management program, and information recording medium
  • the present invention relates to a maintenance management device and a maintenance management method for maintaining and managing a substrate processing apparatus for processing a substrate such as a wafer or a glass plate, and a maintenance method for realizing the maintenance management device using a computer.
  • the present invention relates to a management program and an information recording medium on which the program is recorded.
  • Semiconductor devices, liquid crystal display devices, imaging devices, thin-film magnetic heads, and other devices are manufactured by performing various processes on a substrate such as a semiconductor wafer or a glass plate using a substrate processing apparatus.
  • the processing performed by the substrate processing apparatus on the substrate includes, for example, a coating process of applying a photosensitive agent such as a photoresist, an exposure process of projecting and exposing a mask or reticle pattern image on the substrate coated with the photosensitive agent, and This is a development process for developing the substrate that has been subjected to the exposure process.
  • the above-described exposure processing is performed by an exposure apparatus provided in a substrate processing apparatus, and the above-described coating processing and development processing are performed by a so-called coater / developing apparatus that is inlined with the exposure apparatus.
  • the substrate processing apparatus such as the exposure apparatus described above includes an evaluation apparatus that evaluates the uniformity of patterns formed on the substrate subjected to the above-described various processes or the degree of pattern overlap, and irradiates the substrate with an electron beam. Inspection devices are often provided to detect secondary electrons and backscattered electrons generated at the time of observation and observe and inspect patterns formed on the substrate.
  • a substrate processing apparatus In a device manufacturing plant, a plurality of the above-described substrate processing apparatuses are provided, and each of the substrate processing apparatuses is lined in parallel to manufacture a device. Maintenance of such a substrate processing apparatus is performed by a worker (serviceman) inspecting each substrate processing apparatus regularly or irregularly as necessary, and checking the operation status and performance of each substrate processing apparatus. This is done by collecting data that indicates the performance, etc., and analyzing the collected data to determine whether the expected performance is satisfied. [0005] If there is a substrate processing apparatus that does not satisfy the expected performance, an operator performs an operation to identify the cause.
  • Patent Document 1 Japanese Patent Application Laid-Open No. 2003-142362
  • the substrate processing apparatus is often operated continuously for 24 hours in order to improve manufacturing efficiency. Therefore, it is necessary to maintain the substrate processing equipment so that the expected performance is always satisfied. While maintaining the substrate processing equipment using the method described above, it takes a long time to deliver the parts, especially if the parts ordered for replacement are out of stock. . If the performance of the substrate processing equipment deteriorates, it does not mean that the substrate processing equipment cannot be operated immediately, but it is necessary to perform maintenance as early as possible to maintain the performance at or above the expected performance. There is.
  • an object of the present invention is to improve the operation rate of a substrate processing apparatus by estimating the time variation of the apparatus state of the substrate processing apparatus and determining the time when maintenance is required.
  • a maintenance management apparatus for maintaining and managing a substrate processing apparatus (11) for processing a substrate (W), and collects apparatus information indicating an apparatus state of the substrate processing apparatus.
  • a maintenance management method for managing a maintenance time of a substrate processing apparatus (11) for processing a substrate (W), wherein the apparatus information indicates an apparatus state of the substrate processing apparatus. Is collected, the collected apparatus information is analyzed to predict the time variation of the apparatus state of the substrate processing apparatus, and a maintenance time for performing maintenance of the substrate processing apparatus is obtained using the prediction result.
  • a maintenance management method is provided.
  • a maintenance management program for causing a computer to function as the maintenance management device according to the first aspect of the present invention, and computer-readable information in which the maintenance management program is recorded A recording medium is provided.
  • a computer-readable information recording medium on which the maintenance management program according to the third aspect of the present invention is recorded.
  • the maintenance time for performing maintenance by predicting the time variation of the apparatus state of the substrate processing apparatus is determined, it is possible to appropriately predict the time when the maintenance of the substrate processing apparatus is required. . Also, by predicting the maintenance time of the substrate processing equipment, it is possible to obtain necessary parts and arrange workers in advance by the predicted time, and to perform maintenance work smoothly at the predicted time. become able to.
  • the time when maintenance is required is appropriately predicted, so that the maintenance work of the substrate processing apparatus can be performed smoothly and efficiently, and the period during which the substrate processing apparatus is stopped can be reduced. This has the effect of minimizing the necessity and thereby improving the operation rate of the substrate processing apparatus.
  • FIG. 1 is a block diagram showing an overall configuration of a substrate processing system according to an embodiment of the present invention.
  • FIG. 2 is a top view showing a schematic configuration of a substrate processing apparatus.
  • FIG. 3 is a view showing a schematic configuration of an exposure apparatus.
  • FIG. 4 is a functional block diagram showing functions provided in the maintenance management server.
  • FIG. 5A is a diagram showing an example of various information stored in a storage unit of the maintenance management server.
  • FIG. 5B is a diagram showing an example of various information stored in a storage unit of the maintenance management server.
  • FIG. 5C is a diagram showing an example of various information stored in the storage unit of the maintenance management server.
  • FIG. 5D is a diagram showing an example of various information stored in the storage unit of the maintenance management server.
  • FIG. 5E is a diagram showing an example of various types of information stored in the storage unit of the maintenance management server.
  • FIG. 6 is a functional block diagram showing functions provided in the management server.
  • FIG. 7A is a diagram showing an example of various information stored in a storage unit of the management server.
  • FIG. 7B is a diagram showing an example of various information stored in a storage unit of the management server.
  • FIG. 7C is a diagram showing an example of various information stored in a storage unit of the management server.
  • FIG. 8 is a flowchart showing a first operation example of the maintenance management server.
  • FIG. 9 is a diagram for explaining processing performed by the maintenance management server in the first operation example.
  • FIG. 10 is a flowchart showing an operation example of the management server.
  • FIG. 11 is a flowchart showing a second operation example of the maintenance management server.
  • FIG. 12 is a diagram for explaining processing performed by the maintenance management server in the second operation example.
  • FIG. 13A is a diagram showing an example of performance fluctuation of the substrate processing apparatus occurring in a short time.
  • FIG. 13B is a view showing an example of performance fluctuation of the substrate processing apparatus occurring in a short time.
  • FIG. 13C is a diagram showing an example of performance fluctuation of the substrate processing apparatus occurring in a short time.
  • FIG. 1 is a block diagram showing an overall configuration of a substrate processing system including a maintenance management device according to an embodiment of the present invention.
  • reference numeral 10 denotes a substrate processing factory that performs substrate processing
  • reference numeral 20 denotes a management center that manages a substrate processing apparatus provided in the substrate processing factory.
  • the substrate processing factory 10 is, for example, a factory of a semiconductor maker
  • the management center 20 is provided, for example, in one department of the substrate processing apparatus maker.
  • the substrate processing plant 10 and the management center 20 are connected via a network N such as the Internet, a dedicated line, or a public line.
  • a plurality of substrate processing apparatuses 11, a host computer 12, a maintenance management server 13, a terminal device 14, and a connection device 15 are provided. These are connected to an internal network LN 1 such as a LAN (Local Area Network) laid in the substrate processing factory 10.
  • the substrate processing apparatus 11 performs a coating process of applying a photosensitive agent such as a photoresist to a wafer as a substrate, and an exposure process of projecting and exposing a mask or reticle pattern image onto the substrate on which the photosensitive agent has been applied. And a developing process for developing the exposed substrate.
  • a photosensitive agent such as a photoresist
  • the present invention is also applied to a device that performs a process on a glass plate or the like in addition to a force wafer.
  • the host computer 12 is a host computer that collectively manages and controls the operations of the plurality of substrate processing apparatuses 11.
  • the maintenance management server 13 collects device data indicating the device status of each substrate processing device 11 via the internal network LN1, analyzes the collected device data, and analyzes the time of the device status of each substrate processing device 11 Predict fluctuations. Further, a maintenance time (maintenance date) for performing maintenance of each substrate processing apparatus 11 is obtained from the prediction result. For example, from the illuminance (light amount) of the exposure light used when performing the exposure processing in each substrate processing apparatus 11 and the measurement result of the illuminance distribution from before a predetermined period to the present, a change in the illuminance of the exposure light in the future is predicted, Request a maintenance date for work such as adjustment or replacement of the gas, or replacement of the gas sealed in the light source. Confuse.
  • the maintenance management server 13 stores parts delivery date data indicating a period required from ordering of parts constituting each apparatus included in the substrate processing apparatus 11 to ordering (until delivery). In addition, the maintenance management server 13 calculates the order time of the parts that need to be replaced according to the maintenance contents based on the parts delivery date data. For example, in the above example, the ordering time of the lens or gas to be replaced is calculated. Here, the calculated ordering time is a time that goes back at least as long as it takes to order parts for maintenance. The maintenance management server 13 transmits the maintenance data including the information indicating the maintenance content and the maintenance date to the management center 20 via the network N when the calculated order time comes.
  • the transmission of the maintenance data is preferably performed by, for example, e-mail.
  • e-mail When sending maintenance data by e-mail, if the maintenance data is sent to the outside of the substrate processing plant 10 in plain text without any encryption, it may be stolen or falsified, and information such as the performance of the substrate processing equipment 11 May be known to a third party. Therefore, it is preferable to encrypt the maintenance data and send it by e-mail.
  • encryption methods are roughly classified into a common key method in which encryption and decryption are performed using the same key, and a public key encryption method in which encryption and decryption are performed using different keys. However, it is preferable to use a public key encryption method from the viewpoint of confidentiality.
  • the terminal device 14 is operated by a worker (serviceman) who performs maintenance of the substrate processing apparatus 11, and is used, for example, for inputting various data stored in the maintenance management server 13.
  • the connection device 15 is a device for connecting the internal network LN1 and the network N laid in the substrate processing factory 10, and is, for example, a device such as a router. It is preferable that the connection device 15 has a firewall function in order to prevent the device data indicating the operation status of the substrate processing device 11 handled in the substrate processing plant 10 from leaking outside.
  • a management server 21 a plurality of terminal devices 22, and a connection device 23 are provided. These are connected to an internal network LN2 such as a LAN laid in the management center 20.
  • the management server 21 is connected to the network Based on the maintenance data sent via NN, the presence or absence of parts inventory is determined, and if there is no inventory, parts are ordered.
  • the management server 21 stores serviceman data indicating the skills and workable dates of servicemen who perform maintenance of the substrate processing apparatus 11, and assigns servicemen based on the received maintenance data. Scheduling).
  • the terminal device 22 is operated by an operator of the management center 20, and is used for, for example, inputting, updating, and confirming various data stored in the management server 21.
  • the connection device 23 is a device for connecting the internal network LN2 and the network N laid in the management center 20, and is, for example, a device such as a norator. Note that, similarly to the connection device 15 provided in the substrate processing plant 10, the connection device 23 should have a firewall function to prevent various data handled in the management center 20 from leaking outside. Is desirable.
  • FIG. 2 is a top view illustrating a schematic configuration of the substrate processing apparatus 11.
  • Each of the substrate processing apparatuses 11 has a configuration similar to the configuration shown in FIG.
  • the substrate processing apparatus 11 is provided with a coater / developer section 31 so as to be in contact with the chamber surrounding the exposure apparatus 30 in an in-line manner, and the entirety of the exposure apparatus 30 and the coater / developer section 31 is provided.
  • a control computer 32 that supervises and controls the operation is installed.
  • the control computer 32 is connected to an internal network LN1 laid in the substrate processing factory 10.
  • a transfer line 33 for transferring the wafer W is disposed in the coater / developer section 31 so as to cross the central portion thereof.
  • a wafer carrier 34 for storing a large number of unexposed wafers W and a wafer carrier 35 for storing a large number of wafers W that have been exposed and developed are arranged.
  • a transfer port (not shown) with a shutter on the side of the chamber of the exposure apparatus 30 is installed.
  • a coater section 36 is provided along one side surface of the transport line 33 provided in the coater / developer section 31, and a developer cover section 37 is provided along the other side surface.
  • the coater unit 36 is a resist coater 36a for applying a photoresist to the wafer W from the wafer carrier 34 to the exposure apparatus 30, and pre-betas the photoresist on the wafer W.
  • a pre-beta unit 36b composed of a hot plate and a cooling unit 36c for cooling the pre-wafered wafer W are installed.
  • the developer unit 37 blanks the photoresist on the wafer W after the exposure processing from the exposure apparatus 30 to the wafer carrier 35, that is, performs a so-called PEB (Post-Exposure Bake).
  • PEB Post-Exposure Bake
  • a post-peeter device 37a, a cooling device 37b for cooling the wafer W on which PEB has been performed, and a developing device 37c for developing the photoresist on the wafer W are provided.
  • a measuring device 38 for measuring the shape of a photoresist pattern (resist pattern) formed on the wafer developed by the developing device 37c is installed inline.
  • the measuring device 38 is for measuring the shape of the resist pattern formed on the wafer W (for example, the line width of the pattern, the pattern overlay error, etc.).
  • the exposure apparatus 30, the coater section 36 and the developer section 37, the measurement apparatus 38, and the control computer 32 are connected by wire or wirelessly, and a signal indicating the start or end of each process is transmitted and received. . Further, the device data indicating the device status of these devices and the measurement results by the measuring device 38 are output to the control computer 32 and recorded in a storage device such as a hard disk provided in the control computer 32.
  • the exposure apparatus 30 includes a wafer stage 85 that moves two-dimensionally on a wafer base 86 (see FIG. 3). A wafer W for exposure is placed on a wafer stage 85 via a wafer holder 84. Will be retained.
  • a first guide member 39 is disposed substantially along the extension of the center axis of the transfer line 33 provided in the coater / developer section 31, and an end of the first guide member 39 is provided.
  • the second guide member 40 is arranged to be orthogonal to the upper side.
  • a slider 41 configured to be slidable along the first guide member 39 is disposed on the first guide member 39, and the slider 41 holds the wafer W rotatably and vertically movable.
  • One arm 42 is installed.
  • a second arm 43 configured to be slidable along the second guide member 40 while holding the wafer W is disposed on the second guide member 40.
  • the second guide member 40 extends to the wafer loading position of the wafer stage 85, and the second arm 43 is also provided with a mechanism that slides in a direction perpendicular to the second guide member 40.
  • a transfer pin 44 that can rotate and move up and down to perform the wafer W alignment is installed near the position where the first guide member 39 and the second guide member 40 intersect.
  • a position detector (not shown) for detecting notches (notches) in the outer peripheral portion of the wafer W and two edge portions around the 44 or an orientation flat formed on the outer peripheral portion of the wafer W ) Is installed.
  • the first guide member 39, the second guide member 40, the slider 41, the first arm 42, the second arm 43, the transfer pin 44, and the like constitute a wafer loader system.
  • a processing start command is output from the host computer 12 in FIG. 1 to the control computer 32 included in the substrate processing apparatus 11 via the internal network LN1.
  • the control computer 32 outputs various control signals to the exposure device 30, the coater unit 36, and the developer unit 37 based on the processing start command.
  • this control signal is output, one wafer taken out of the wafer carrier 34 is transferred to a resist coater 36a via a transfer line 33, coated with photoresist, and pre-pressed sequentially along the transfer line 33. It is delivered to the first arm 42 of the exposure device 30 via the lock device 36b and the cooling device 36c.
  • the first arm 42 rotates, and the wafer W coated with the photoresist is transferred from the first arm 42 to the transfer pin.
  • the wafer is transferred to position A on 44, where the center position and the rotation angle are adjusted (pre-alignment) based on the outer shape of the wafer.
  • the wafer W is transferred to the second arm 43, transported to the wafer loading position along the second guide member 40, and is loaded on the wafer holder 84 on the wafer stage 85. Then, each shot region on the wafer W is exposed through a predetermined device pattern of the reticle.
  • the wafer W that has been subjected to the exposure processing is transferred along the second guide member 40 and the first guide member 39 to the transfer line 33 of the coater / developing unit 31, and then sequentially transferred along the transfer line 33.
  • the toner is sent to the developing device 37c via the post-poster device 37a and the cooling device 37b.
  • a reticle device is placed on each shot area of wafer W developed by developing device 37c.
  • An uneven resist pattern corresponding to the chair pattern is formed.
  • the wafer W thus developed is inspected by a measuring device 38 for the line width and overlay error of the pattern formed as necessary, and stored in a wafer carrier 35 along a transport line 33. .
  • a wafer of, for example, one lot in the wafer carrier 35 is transferred to a production line that executes a pattern forming step such as etching or ion implantation and a resist stripping step.
  • FIG. 3 is a diagram showing a configuration of an exposure apparatus provided in the substrate processing apparatus.
  • the XYZ orthogonal coordinate system shown in the figure is set, and the positional relationship of each member will be described with reference to the XYZ orthogonal coordinate system.
  • the XYZ rectangular coordinate system the Y axis and the Z axis are set so as to be parallel to the paper surface, and the X axis is set so as to be perpendicular to the paper surface.
  • the XY plane is actually set as a plane parallel to the horizontal plane, and the Z axis is set vertically upward.
  • the direction along the Y axis is the scan direction.
  • reference numeral 51 denotes an exposure light source.
  • the exposure light source 51 is an ArF excimer laser light source (wavelength: 193 nm) that emits exposure light IL that is a parallel light beam having a substantially rectangular cross section.
  • the exposure light source 51 may be, for example, an ultra-high pressure mercury lamp that emits g-line (wavelength 436 nm) or i-line (wavelength 365 nm), a KrF excimer laser (wavelength 248 nm), or an F
  • a high frequency generator of a semiconductor laser can be used.
  • Exposure light IL (exposure beam) composed of an ultraviolet pulse having a wavelength of 193 nm from the exposure light source 51 passes through a beam matching unit (BMU) 52 and enters a variable attenuator 53 as an optical attenuator.
  • An exposure control unit 73 for controlling the amount of exposure to the photoresist on the wafer controls the start and stop of the light emission of the exposure light source 51 and the output (oscillation frequency and pulse energy). Adjust the dimming rate stepwise or continuously.
  • the exposure light IL that has passed through the variable dimmer 53 is converted into a beam forming system 55 composed of lens systems 54a and 54b. After that, the light enters a first fly-eye lens 56 as a first-stage optical integrator (uniformizer or homogenizer).
  • the exposure light IL emitted from the first fly-eye lens 56 passes through a first lens system 57a, a mirror 58 for bending the optical path, and a second lens system 57b as a second stage optical integrator. Light enters the eye lens 59.
  • An aperture stop plate 60 is rotatably arranged by a drive motor 60a on the exit surface of the second fly-eye lens 59, that is, on the optical Fourier transform surface (pupil surface of the illumination system) with respect to the pattern surface of the reticle R. ing.
  • the aperture stop plate 60 includes a circular aperture stop for normal illumination, an aperture stop for annular illumination, and an aperture stop or small aperture for modified illumination comprising a plurality of (eg, four poles) eccentric small apertures.
  • a small circular aperture stop or the like for a coherence factor ( ⁇ value) is arranged to be switchable.
  • a main control system 74 that controls the overall operation of the exposure apparatus 30 rotates the aperture stop plate 60 via the drive motor 60a to set the illumination conditions.
  • the exposure light IL emitted from the second fly-eye lens 59 and passing through one of the aperture stops formed on the aperture stop plate 60 has a high transmittance and a low reflectance. It is incident on 61.
  • the exposure light reflected by the beam splitter 61 is incident on an integrator sensor 72 composed of a photoelectric detector via a condensing lens 71, and a detection signal of the integrator sensor 72 is sent to an exposure control unit 73.
  • the relationship between the detection signal of the integrator sensor 72 and the illuminance of the exposure light IL on the wafer W is measured with high precision in advance and stored in a memory in the exposure control unit 73.
  • the exposure control unit 73 is configured to monitor the illuminance (average value) of the exposure light IL on the wafer W indirectly from the detection signal of the integrator sensor 72 and the integrated value thereof.
  • the exposure light IL transmitted through the beam splitter 61 passes through the lens systems 62 and 63 along the optical axis IAX in order, and passes to the fixed blind (fixed illumination field stop) 64 and the movable blind (movable illumination field stop) 65.
  • the latter movable blind 65 is placed on a conjugate plane with respect to the reticle plane, and the former fixed blind 64 is placed on a plane defocused by a predetermined amount from the conjugate plane.
  • the fixed blind 64 is arranged so as to extend in the center of the circular visual field of the projection optical system PL in a direction perpendicular to the exposure direction and extend in a linear slit shape or a rectangular shape (hereinafter collectively referred to as a “slit shape”). Having an opening.
  • the exposure light IL that has passed through the fixed blind 64 and the movable blind 65 passes through the mirror 66 for bending the optical path, the lens system 67 for imaging, the condenser lens 68, and the Illuminates the illumination area (illumination visual field area) IA on the pattern surface (lower surface).
  • the BMU 52 main condenser lens system 69 constitutes the illumination optical system IS.
  • the image of the circuit pattern in the illumination area IA of the reticle R is projected at a predetermined projection magnification (a is, for example, 1/4 or 1Z5) through a projection optical system PL that is telecentric on both sides. Then, the light is transferred to the slit-shaped exposure area of the photoresist layer on the wafer W arranged on the image plane of the projection optical system PL.
  • reticle R is held by suction on reticle stage 81, and reticle stage 81 can move at a constant speed in the Y direction on reticle base 82 and tilt in the X, Y, and rotation directions. It is placed so that it can be done.
  • the two-dimensional position and rotation angle of the reticle stage 81 (reticle R) are measured by a laser interferometer in the drive control unit 83 in real time. Based on this measurement result and the control information from the main control system 74, the drive motor (linear motor, voice coil motor, etc.) in the drive control unit 83 is used to control the scanning speed and position of the reticle stage 81. Perform control.
  • the wafer W is held by suction on a wafer stage 85 via a wafer holder 84, and the wafer stage 85 is two-dimensionally moved along an XY plane parallel to the image plane of the projection optical system PL on a wafer base 86.
  • the wafer stage 85 moves at a constant speed in the Y direction on the wafer base 86, and moves stepwise in the X direction and the Y direction.
  • the wafer stage 85 also incorporates a Z leveling mechanism for controlling the position (focus position) of the wafer W in the Z direction and the tilt angle around the X axis and the Y axis.
  • the positions of the wafer stage 85 in the X and Y directions and the rotation angles around the X, Y, and Z axes are measured in real time by a laser interferometer in the drive control unit 87.
  • the drive motor (such as a linear motor) in the drive control unit 87 controls the running speed and position of the wafer stage 85.
  • an illuminance sensor 88 for detecting the illuminance (light amount) of the exposure light IL applied to the exposure area on the wafer W via the projection optical system PL is fixed.
  • the illuminance sensor 88 has, for example, a housing in which a pinhole is formed, and is a sensor in which a light receiving surface of a light receiving element is arranged at a position where the pinhole is formed. Detects the illuminance (light amount) of light IL. The detection signal of the illuminance sensor 88 is supplied to the exposure control unit 73. By moving the illuminance sensor 88 within the exposure area while the exposure light IL is being irradiated onto the wafer stage 85, the illuminance unevenness (light amount unevenness) and the integrated light amount unevenness of the exposure light IL can be measured. The measurement of the illuminance, the illuminance unevenness, and the integrated light amount unevenness using the illuminance sensor 88 is performed regularly or irregularly.
  • a projection optical system 89a that projects slit light obliquely to a plurality of measurement points on the surface of the wafer W (the surface to be inspected) on the side surface of the projection optical system PL, and reflects the light reflected from the surface to be inspected.
  • a light receiving optical system 89b that receives light and generates focus signals corresponding to the focus positions of the plurality of measurement points, and a multipoint autofocus sensor that is powerful, are also provided. Supplied to
  • a reticle alignment microscope (not shown) for measuring the position of an alignment mark (reticle mark) of reticle R is provided on reticle stage 81.
  • an alignment sensor of an off-axis image processing method (FIA method: Field Image Alignment method) is provided on the side of the projection optical system PL. Is installed.
  • the alignment sensor 90 illuminates the alignment mark on the wafer W with illumination light of a relatively wide wavelength range from, for example, a halogen lamp or the like, and captures the image with an imaging device such as a CCD (Charge Coupled Device). It is.
  • the image signal obtained by the alignment sensor 90 is supplied to the main control system 74, where the image signal is processed, and the position information is measured.
  • the main control system 74 is provided in the control computer 32 shown in FIG. 2, and performs various exposure conditions for running and exposing the photoresist in each shot area on the wafer W with an appropriate exposure amount. It reads from the file and executes the optimal exposure sequence in cooperation with the exposure control unit 73.
  • the main control system 74 sends various information such as the moving position, moving speed, moving acceleration, and position offset of the reticle stage 81 and the wafer stage 85 to the drive control units 83 and 87. . This allows the reticle stage Acceleration of 81 and wafer stage 85 is started.
  • the main control system 74 also issues a scanning exposure start command to the exposure control unit 73.
  • the exposure control unit 73 starts the emission of the exposure light source 51, and the exposure light IL for the wafer W via the integrator sensor 72. Calculate the integrated value of the illuminance (the sum of one pulse energy per unit time). The integrated value is reset to 0 at the start of scanning exposure.
  • the reticle R scans the illumination area IA of the exposure light IL via the reticle stage 81 in the + Y direction (or one Y direction) at a speed Vr, and the wafer stage is synchronized.
  • the wafer W is scanned in the -Y direction (or + Y direction) at a speed Vr (the projection magnification from the reticle R to the wafer W) with respect to the exposure area of the reticle R pattern image via the edge 85. .
  • the movement directions of the reticle R and the wafer W are opposite because the projection optical system PL of this example performs reverse projection.
  • the exposure control unit 73 sequentially calculates the integrated value of the illuminance of the exposure light IL, and according to the result, performs appropriate exposure at each point of the photoresist on the wafer W after the scanning exposure.
  • the output of the exposure light source 51 (oscillation frequency and pulse energy) and the dimming rate of the variable dimmer 53 are controlled so that the amount can be obtained.
  • the emission of the exposure light source 51 is stopped. By repeating this operation, exposure processing is performed on a plurality of shot areas set on the wafer W.
  • the main control system 74 is provided with a storage device such as a semiconductor memory or a hard disk.
  • the main control system 74 has data indicating a detection result of the integrator sensor 72, illuminance obtained by measurement using the illuminance sensor 88, Various data such as data indicating uneven illuminance and uneven light quantity, data indicating residual aberration of the projection optical system PL, and data indicating a synchronization error between the reticle stage 81 and the wafer stage 85 are temporarily stored as device data.
  • the main control system 74 reads out the temporarily stored device data when a device data transmission request is received from the maintenance management server 13 shown in FIG. 1 and outputs it to the maintenance management server 13 via the internal network LN1. I do.
  • FIG. 4 is a functional block diagram showing functions provided in the maintenance management server 13.
  • the maintenance management server 13 includes a device data collection unit 91, a device data analysis unit 92, a threshold arrival time prediction unit 93, a maintenance data transmission unit 94, a storage unit 95, and a part order time calculation unit 96. Be composed.
  • the storage unit 95 stores various types of information for calculating a maintenance date for performing maintenance of each of the substrate processing apparatuses 11 and an order timing of parts to be replaced at the time of maintenance. As shown in FIG. 4, the storage unit 95 stores the trend function data D1, the performance threshold Th1, the component adjustment threshold Th2, the improvement amount threshold Th3, and the component delivery date data D2.
  • FIG. 5 is a diagram showing an example of various types of information stored in the storage unit 95. Hereinafter, various types of information stored in the storage unit 95 will be described in order.
  • the trend function data D 1 is data indicating an approximation function used when analyzing the collected apparatus data and predicting the time variation of the apparatus state of each substrate processing apparatus 11.
  • the trend of the device state over time is known in advance for each inspection item to be detected, and the future time variation of the device state is predicted by approximating the collected device data using a predetermined function.
  • S power For example, in the exposure apparatus 30 shown in FIG. 3, it has been found that the illuminance of the exposure light IL irradiated onto the wafer W decreases in proportion to the passage of time. For this reason, as shown in FIG. 5A, “linearity” is associated as an approximate function with respect to “illuminance” of the inspection item.
  • the trend function data D1 is data in which an approximation function is associated with each inspection item.
  • the performance threshold value Thl defines a minimum performance threshold value allowed in the substrate processing apparatus 11. If the performance of the substrate processing apparatus 11 deteriorates beyond the performance threshold value Thl, it is required to perform a component replacement or the like to achieve a performance higher than the expected performance. Since there are various indexes indicating the performance of the substrate processing apparatus 11, the performance threshold Thl is set for each inspection item. For example, as shown in FIG. 5B, “P0” is set as a threshold value for “illuminance” of the inspection item. If the exposure light applied to the wafer W of the exposure apparatus 30 shown in FIG. 3 falls below the threshold value “P0”, it is necessary to perform component replacement or the like.
  • the component adjustment threshold Th2 is a threshold that determines a guide for adjusting components provided in the substrate processing apparatus 11 when the performance of the substrate processing apparatus 11 is reduced. Before the performance of the substrate processing apparatus 11 deteriorates to the above-described minimum performance, the parts related to the inspection item whose performance has deteriorated can be checked. Adjustments may restore performance. For example, when a part included in the illumination optical system IS provided in the exposure apparatus 30 shown in FIG. 3 is decentered and a part not irradiated with the exposure light IL is used, the illuminance of the exposure light IL may be improved.
  • the component adjustment threshold Th2 determines the standard of this adjustment. This component adjustment threshold Th2 is set for each inspection item, similarly to the performance threshold Thl.
  • “P1” is set as a threshold value for “illuminance” of the inspection item. Since the component adjustment threshold Th2 is set so that the adjustment is performed before the performance of the substrate processing apparatus 11 reaches the minimum performance described above, the value of the threshold “P1” in FIG. 5C is changed to the threshold “P0” in FIG. 5B. Is set to a value larger than ".
  • the improvement amount threshold Th3 is a threshold that determines whether or not to perform component adjustment again when the performance of the substrate processing apparatus 11 is improved by the above-described component adjustment. Although the performance of the substrate processing apparatus 11 is improved by the component adjustment, the performance of the substrate processing apparatus 11 may exceed the component adjustment threshold value Th2 in a short time and deteriorate if the improvement is small. In such a situation, even if component adjustment is performed, a large improvement in performance cannot be expected, so that the adjustment work is wasted and the operation rate of the substrate processing apparatus 11 is reduced. Therefore, if the improvement amount is smaller than the improvement amount threshold Th3, no component adjustment is performed.
  • This improvement amount threshold Th3 is also set for each inspection item. For example, as shown in FIG. 5D, “A1” is set as a threshold for “illuminance” of the inspection item.
  • the parts delivery date data D2 is data indicating a period required from ordering parts to ordering them.
  • the parts delivery date data D2 is used to calculate the ordering time of parts so that parts to be replaced are prepared on the maintenance day.
  • This parts delivery date data D2 is also set for each inspection item. For example, as shown in FIG. 5E, for the inspection item "illuminance”, “lens” is set as a target part, and as the delivery date "2 months" is set.
  • FIG. 4 is referred to again.
  • the equipment data collection unit 91 provided in the maintenance management server 13 sends a transmission request of equipment data to each of the substrate processing equipments 11 provided in the substrate processing factory 10, and returns in response to the transmission request. Collected device data. Also, The device data collection unit 91 stores the collected device data for a certain period.
  • the device data analysis unit 92 analyzes the device data collected by the device data collection unit 91 using the trend function data D1 stored in the storage unit 95, and obtains the device status of each substrate processing device 11. Predict time fluctuations.
  • the threshold arrival time prediction unit 93 determines whether the time variation predicted by the device data analysis unit 92 deteriorates beyond the performance threshold Th1 and the time variation predicted by the device data analysis unit 92 is the component adjustment threshold Th2. Predict when to worsen beyond. Also, it is determined whether or not the improvement obtained by performing the component adjustment is smaller than the improvement threshold Th3.
  • the time predicted by the threshold arrival time prediction unit 93 is a maintenance date at which parts of the substrate processing apparatus 11 are replaced or adjusted.
  • the maintenance data transmission unit 94 transmits the maintenance data including the maintenance date and the contents of the maintenance predicted by the threshold arrival time prediction unit 93 to the network N when the part order time calculated by the part order time calculation unit 96 arrives. To the management center 20 via.
  • the parts ordering time calculation unit 96 is configured to calculate the contents of the maintenance performed on the maintenance day calculated by the threshold arrival time prediction unit 93. Based on the data D2, the part order timing for ordering parts is calculated. The calculated part ordering time is output to the maintenance data transmitting unit 94.
  • FIG. 6 is a functional block diagram showing functions provided in the management server 21.
  • the management server 21 includes a maintenance data receiving unit 101, a parts ordering unit 102, a scheduling unit 103, and a storage unit 104.
  • the storage unit 104 stores parts inventory data D11 and serviceman data D12.
  • FIG. 7 is a diagram illustrating an example of various types of information stored in the storage unit 104.
  • the component inventory data D11 is data indicating the inventory status of each component of the substrate processing apparatus 11. For example, for the component name "lens”, data indicating that the inventory is "0”, Data indicating that the inventory is “2” is stored under the name “light source gas”.
  • the serviceman data D12 is data relating to a serviceman who performs maintenance of the substrate processing apparatus 11, and indicates the skill (skill) of each serviceman as shown in FIG. 7B. It consists of skill data and scheduling data indicating the schedule for each serviceman as shown in FIG. 7C. Each serviceman is assigned a uniquely determined worker ID, and the serviceman is managed using this worker ID.
  • the skill data shown in Fig. 7B is data in which a worker ID that can be handled for each work item (maintenance content) is stored.
  • a worker ID that can be handled for each work item (maintenance content) is stored.
  • one of the work items “lens exchange” is associated with worker “Mr. A” and worker “Mr. B”. If this skill data requires lens replacement work, “A” and “B” are nominated as workers.
  • FIG. 7 shows the worker ID as “Mr. A”, “Mr. B”, etc., it is actually represented by a number, a letter, a symbol, or a combination thereof.
  • the scheduling data shown in FIG. 7C is data storing information indicating a work schedule for each worker ID and other information. For example, in the example shown in FIG. 7C, for the worker “Mr. A”, information of “10/1 reservation” is stored as the work schedule. This information is information indicating that the maintenance work is scheduled to be performed on October 1 for the worker “Mr. A”. For this reason, for example, even if the worker ⁇ Mr. A '' is nominated as a candidate for another maintenance to be performed on October 1, the worker ⁇ Mr. A '' already has a work reservation, so the maintenance work is not performed. Not selected as a service person to perform.
  • the maintenance data receiving unit 101 receives maintenance data sent from the substrate processing plant 10 via the network N.
  • the parts ordering unit 102 refers to the parts inventory data D11 stored in the storage unit 104, and refers to the parts necessary for performing the work indicated by the maintenance content included in the maintenance data received by the maintenance data receiving unit 101. Check the stock status and, if there is no stock, output order data instructing parts order.
  • the order data generated by the parts ordering unit 102 is transmitted to a predetermined person in charge (one or more workers of the management center 20) via the internal network LN2.
  • the management of the parts inventory is performed automatically or manually by a person in charge, and the parts inventory data D11 is updated according to the inventory status of the parts.
  • the scheduling unit 103 refers to the serviceman data D12 and selects and determines a serviceman who performs the work indicated by the maintenance content included in the maintenance data received by the maintenance data receiving unit 101. After the serviceman's decision is made, the scheduling section 10 Step 3 sends a notification to the newly determined worker that the work content has been determined or details of the new work content, and updates the contents of the scheduling data included in the serviceman data D12.
  • FIG. 8 is a flowchart illustrating a first operation example of the maintenance management server 13.
  • the equipment data collection unit 91 (see FIG. 4) provided in the maintenance management server 13 includes the substrate processing apparatus 11. It outputs a device data transmission request to each of the devices via the internal network LN1.
  • the main control system 74 (see FIG. 3) provided in the exposure apparatus 30 illuminates the past exposure light IL measured using the illuminance sensor 88, the illuminance unevenness, and the integrated light amount.
  • Device data such as data indicating unevenness and data indicating the detection result of the integrator sensor 72 are transmitted to the maintenance management server 13 via the internal network LN1.
  • the device data collection unit 91 collects the transmitted device data and stores it for a certain period of time (step S11).
  • the device data analysis unit 92 analyzes the device data collected by the device data collection unit 91 using the inclination function data D1 stored in the storage unit 95, and The time fluctuation of the device state of the processing device 11 is predicted (step S12).
  • the device data analysis unit 92 associates the illuminance of the inspection item in the trend function data D1 stored in the storage unit 95 with the illuminance. Obtain the approximate function.
  • the device data analysis unit 92 performs linear approximation on the device data collected by the device data collection unit 91. Then, the fluctuation of the illuminance of the exposure light IL is predicted.
  • FIG. 9 is a diagram for explaining processing performed by the maintenance management server 13 in the first operation example. is there.
  • the graph shown in FIG. 9 is a graph showing the time change of illuminance, in which the horizontal axis represents time and the vertical axis represents illuminance.
  • the horizontal axis is a yearly scale.
  • black circles with the symbol DT indicate device data related to illuminance collected by the device data collection unit 91. Since the exposure apparatus 30 periodically measures the illuminance of the exposure light IL using the illuminance sensor 88, the illuminance of the exposure light IL can be obtained periodically.
  • the time tlO is the current time, and the device data is obtained only at a time earlier than the current time tlO.
  • the device data analysis unit 92 performs linear approximation on the device data to obtain an approximate line L 1 shown in the drawing, thereby predicting a change in the illuminance of the exposure light IL used in the exposure device 30.
  • the threshold arrival time prediction unit 93 predicts a time when the illuminance indicated by the approximate straight line L1 obtained by the device data analysis unit 92 falls below the performance threshold Thl (step S13).
  • the threshold arrival time prediction unit 93 determines that the illuminance indicated by the approximate straight line L1 is P Tl 2 is calculated when it becomes 0 or less.
  • the time tl 2 determined here is the maintenance date.
  • the parts ordering time calculation unit 96 calculates the parts ordering time based on the maintenance date and the parts delivery date data D2 predicted by the threshold arrival time prediction unit 93 (step S14). ).
  • the parts order timing calculation unit 96 calculates a timing t1 which is traced back from the maintenance date (timing tl 2) shown in FIG. 9 by a period of “two months” (the period T1 shown in FIG. 9).
  • the determined time tl 1 is the parts ordering time.
  • the maintenance date calculated by the threshold arrival time prediction unit 93 and the part order time calculated by the part order time calculation unit 96 are output to the maintenance data transmission unit 94.
  • information indicating the “lens” that is set as a target component for “illuminance” of the D2 inspection item of the component delivery date data (hereinafter referred to as “component data”) is also obtained from the Output to transmitting section 94.
  • the maintenance data transmitting unit 94 determines whether or not the current time tlO has passed the part order time tl1 (step S15). If it is determined that the current time tlO has not passed the part order time t11 (if the result of the determination is "N ⁇ "), And the collection of the device data is performed again.
  • step S15 when it is determined that the current time tlO has passed the part order time till (when the determination result is “YES”), the maintenance data transmitting unit 94 The maintenance data is transmitted to the management center 20.
  • the maintenance data includes data specifying the substrate processing apparatus 11 requiring maintenance and data specifying the substrate processing factory 10 in addition to the data indicating the maintenance date and the component data.
  • processing performed by the management server 21 provided in the management center 20 based on the maintenance data sent from the maintenance management server 13 will be described.
  • FIG. 10 is a flowchart showing an operation example of the management server 21.
  • the maintenance data is sent to the maintenance data receiving unit 101 provided in the management server 21 (step S21).
  • the maintenance data receiving unit 101 determines whether the received maintenance data includes component data (step S22). In this case, since the maintenance data includes the component data, the determination result in step S22 is “YESJ”, and the maintenance data receiving unit 101 outputs the received maintenance data to the component ordering unit 102 and the scheduling unit 103.
  • the parts ordering unit 102 determines whether there is a stock of the parts indicated by the parts data included in the maintenance data from the maintenance data receiving unit 101 using the parts inventory data D11 (step S23). .
  • a process for securing the parts is performed.
  • the processing performed here is to update the parts inventory data D11 by subtracting the number of parts to be replaced from the parts inventory data D11 stored in the storage unit 104, and to update the parts inventory data D11 determined in advance by a predetermined person in charge of inventory (the operation of the management center 20). This is a process of transmitting information indicating that the part is used to one or more members.
  • the parts ordering unit 102 outputs order data instructing parts ordering (step S23). twenty five).
  • the order data output from the part ordering unit 102 is transmitted to a predetermined person in charge via the internal network LN2, and the person in charge orders the part.
  • the scheduling unit 103 transmits the skill data (in the serviceman data D12 stored in the storage unit 104). With reference to FIG. 7B), a service person who can perform the replacement work of the component indicated by the component data in the maintenance data is selected as a candidate (step S26).
  • the scheduling unit 103 refers to the scheduling data (see FIG. 7C) in the serviceman data D12, and determines whether the selected serviceman candidate can perform work on the maintenance day. The man is determined (step S27).
  • the scheduling unit 103 transmits the fact that the work content has been decided or the details of the new work content to the newly determined worker, and sets the scheduling data included in the serviceman data D12. Update the contents of
  • the maintenance management server 13 provided in the substrate processing factory 10 predicts the time variation of the device state of the substrate processing apparatus 11, and determines the maintenance date from the prediction result. I'm asking.
  • a maintenance order is obtained by searching for a part order timing that is retroactive to a period required for ordering parts, and the maintenance data is transmitted to the management center 20 when the part order time has elapsed.
  • the management server 21 provided in the management center 20 secures or orders parts based on the sent maintenance data, and further arranges (schedules) a serviceman.
  • FIG. 11 is a flowchart illustrating a second operation example of the maintenance management server 13.
  • the apparatus data collection unit 91 provided in the maintenance management server 13 (see FIG. 4)
  • a request for transmitting device data is output to each of the substrate processing apparatuses 11 via the internal network LN1, and device data is collected from each of the substrate processing apparatuses 11 (step S31).
  • the device data analysis unit 92 analyzes the collected device data using the inclination function data D1 stored in the storage unit 95, and analyzes the time of the device state of each substrate processing device 11.
  • the fluctuation is predicted (step S32).
  • the device data collected by the device data collection unit 91 is approximated by a straight line, Predict fluctuations in the illuminance of the exposure light IL.
  • FIG. 12 is a diagram for explaining processing performed by the maintenance management server 13 at the time of the second operation example.
  • the graph shown in FIG. 12 is a graph showing the time change of the illuminance, with the horizontal axis representing time and the vertical axis representing illuminance.
  • the horizontal axis of the graph shown in Figure 12 is a yearly scale, similar to the graph shown in Figure 9.
  • the black circles shown in the graph indicate the device data related to the illuminance collected by the device data collection unit 91.
  • the device data analysis unit 92 performs linear approximation on the device data to obtain an approximate line L11 shown in the drawing, and predicts a change in the illuminance of the exposure light IL used in the exposure device 30.
  • the threshold reaching time prediction unit 93 predicts a time when the approximate straight line L11 exceeds the component adjustment threshold Th2 (Step S33).
  • the threshold arrival time prediction unit 93 is indicated by the approximate straight line L11. Find the time t21 when the illuminance falls below P1.
  • the time t21 determined here is the maintenance date.
  • data indicating the maintenance date obtained by the threshold arrival time prediction unit 93 is output to the maintenance data transmission unit 94.
  • the maintenance data transmitting unit 94 transmits the maintenance data to the management center 20 via the network N (Step S34).
  • the data included in the maintenance data includes, in addition to the data indicating the maintenance date, data specifying the substrate processing apparatus 11 requiring maintenance and data specifying the substrate processing factory 10.
  • step S21 When the maintenance data is sent from the maintenance management server 13, it is received by the maintenance data receiving unit 101 provided in the management server 21, as shown in FIG. 10 (step S21). Upon receiving the maintenance data, the maintenance data receiving unit 101 determines whether or not the received maintenance data includes component data (step S22). Here, since the maintenance data does not include the component data, the determination result in step S22 is “NO”, and the maintenance data receiving unit 101 outputs the received maintenance data only to the scheduling unit 103.
  • the scheduling unit 103 selects serviceman candidates using the serviceman data D12 stored in the storage unit 104 (step S26), and can perform work on a maintenance day from the selected serviceman candidates.
  • a serviceman is determined (step S27).
  • the scheduling unit 103 And the details of the new work content are transmitted, and the contents of the scheduling data included in the serviceman data D12 are updated.
  • step S35 in FIG. 11 To reduce the illuminance of the exposure light IL, for example, an operation of decentering a lens included in the illumination optical system IS provided in the exposure apparatus 30 shown in FIG. 3 with respect to the optical axis is performed as a component adjustment operation.
  • the equipment data collection unit 91 provided in the maintenance management server 13 of the board processing factory 10 collects the equipment data of the board processing apparatus 11 on which the adjustment work has been performed, and the equipment data analysis unit 92 The collected data is analyzed to obtain an improved amount (here, an increase in the illuminance of the exposure light IL) at which the performance of the substrate processing apparatus 11 is improved by the adjustment (Step S36).
  • an improved amount here, an increase in the illuminance of the exposure light IL
  • the amount of increase in the illuminance at the point where the illuminance sharply increases at the time t21 is the improvement amount obtained here.
  • the threshold arrival time prediction unit 93 compares the improvement amount calculated in step S36 with the improvement amount threshold Th3, and determines whether the improvement amount is larger than the improvement amount threshold Th3. .
  • the threshold arrival time prediction unit 93 since “A1” is set as the threshold value for the illuminance, it is determined whether the increase in the illuminance is greater than the threshold value A1.
  • the determination result in step S37 is “YES”, and the process returns to step S31 to collect the device data.
  • the device data collection unit 91 collects the device data in the same manner as described above, and the device data analysis unit 92 analyzes the collected device data (step S33). By this analysis, the approximate straight line L12 in FIG. 12 is obtained.
  • the threshold value arrival time prediction unit 93 obtains a time t22 at which the illuminance indicated by the approximate line L12 becomes equal to or less than the threshold value P1 from the approximate line L12 and the component adjustment threshold value Th2 (threshold value PI).
  • the time t22 determined here is the maintenance date.
  • step S21 When the maintenance data is sent from the maintenance management server 13, the maintenance data is received by the maintenance data receiving unit 101 provided in the management server 21 as shown in FIG. 10 (step S21), and the received maintenance It is determined whether the data contains component data (step S22).
  • the result of the determination in step S22 is “N ⁇ ”, and the maintenance data receiving unit 101 outputs the received maintenance data only to the scheduling unit 103.
  • the scheduling unit 103 selects serviceman candidates (step S26), and also determines servicemen who can work on the maintenance day with the selected serviceman candidate power (step S27). Note that the scheduling unit 103 transmits to the newly determined worker that the work content has been determined or details of the new work content, and updates the contents of the scheduling data included in the serviceman data D12.
  • the serviceman determined in this way goes to the substrate processing factory 10 where the substrate processing apparatus 11 requiring maintenance is provided on the maintenance day (time t22 in FIG. 12), and the parts of the exposure apparatus 30 Perform adjustment work (step S35 in FIG. 11). Then, the lens adjustment operation of the illumination optical system IS is performed again as a component adjustment operation.
  • the equipment data collection unit 91 provided in the maintenance management server 13 of the board processing factory 10 collects the equipment data of the board processing apparatus 11 on which the adjustment work has been performed, and the equipment data analysis unit 92. The collected data is analyzed and adjusted to determine the amount of improvement in the performance of the substrate processing apparatus 11 (step S36).
  • the amount of improvement required here is an increase in the illuminance at a location where the illuminance sharply increases at time t22 in FIG.
  • the threshold arrival time prediction unit 93 compares the improvement amount calculated in step S36 with the improvement amount threshold Th3, and determines whether the improvement amount is larger than the improvement amount threshold Th3. .
  • the determination result in step S37 is “NO”, and the process proceeds to step SI1 in FIG.
  • the processing shown in the first operation example described above is performed. That is, the approximate straight line L13 is obtained by collecting and analyzing the device data, and the time t23 (maintenance date) at which the illuminance indicated by the approximate straight line L13 becomes equal to or less than the threshold value P0 is obtained.
  • the part replacement time is calculated from the calculated maintenance date, and when the part replacement time comes, maintenance data including the maintenance date and data indicating the part to be replaced is transmitted to the management server 21 of the management center 20.
  • the maintenance data including the maintenance date is transmitted to the management center 20, and the management server 21 provided in the management center 20 arranges (schedules) a serviceman based on the sent maintenance data.
  • the improvement amount of the performance of the substrate processing apparatus 11 which has performed the component adjustment is obtained, and when the improvement amount is equal to or less than the improvement amount threshold value, even if the performance of the substrate processing apparatus 11 is equal to or more than the component adjustment threshold value, A maintenance date for replacing a part is determined using the performance threshold. If significant performance improvement cannot be expected even after performing component adjustment by vigorous processing, the serviceman does not perform component adjustment work, so that the operation rate of the substrate processing apparatus 11 can be improved.
  • the present invention can be applied to improve various performance degradation of the optical device 30.
  • the present invention can also be applied to improve performance degradation of the coater unit 36 and the developer unit 37.
  • the maintenance date is obtained by predicting the performance degradation of the substrate processing apparatus 11 in units of several years.
  • the present invention provides a short-term basis in units of several days to several months. It can also be applied to improve the performance fluctuation of the plate processing apparatus 11.
  • FIG. 13 is a diagram illustrating an example of performance fluctuation of the substrate processing apparatus 11 occurring in a short period.
  • FIG. 13A is a diagram illustrating a state where the image plane position of the projection optical system PL included in the exposure apparatus 30 fluctuates due to a change in atmospheric pressure.
  • the curve with the symbol Pr indicates the variation curve of the atmospheric pressure
  • the curve with the symbol Fo indicates the variation curve of the image plane position of the projection optical system PL. ing.
  • the image plane of the projection optical system PL is always constant irrespective of the fluctuation of the atmospheric pressure, as indicated by the straight line with the code ID in Fig. 13A.
  • the image plane of the projection optical system PL changes almost at the same time as the atmospheric pressure changes, and after a certain period of time, a deviation (drift) Dr from the straight line ID occurs. Resulting in.
  • the correlation between the fluctuation of the atmospheric pressure and the fluctuation of the focus is obtained in advance, and even if the atmospheric pressure fluctuates, the projection optical system PL is changed.
  • the maintenance management server 13 notifies the management center 20 of the drift.
  • FIG. 13C is a diagram showing an example of the measurement reproducibility (3 ⁇ ) of the alignment sensor 90 provided in the exposure apparatus 30.
  • the measurement reproducibility of the alignment sensor 90 tends to rapidly deteriorate after a certain period (for example, half a year). For this reason, a certain threshold Th4 may be set, and when the measurement reproducibility of the alignment sensor 90 exceeds the threshold Th4, the maintenance management server 13 may notify the management center 20 of the fact.
  • the functions of the maintenance management server 13 shown in Fig. 4 and the management server 21 shown in Fig. 6 can be configured by hardware, or can be realized by software.
  • the hardware configuration of the maintenance management server 13 or the management server 21 includes a CPU (Central Processing Unit), RAM (Random Access Memory), ROM (Read Only Memory), a communication interface, an optical disk, It is constituted by an external storage device such as a magnetic disk, a magneto-optical disk, or the like, and is realized by causing the maintenance management server 13 or the management server 21 to read the maintenance management program for performing the above-described control and executing the program.
  • the maintenance management program is a computer-readable information record.
  • the medium can be, for example, a CD-ROM, a DVD (registered trademark), a hard disk, a magneto-optical disk, a flexible disk, or a magnetic tape.
  • the reading of the maintenance management program into the computer system is performed via a reading device provided in the computer system, or by downloading via a network such as the Internet.
  • each function as the maintenance management device (the device data collection unit 91, the device data analysis unit 92, the threshold arrival time prediction unit 93, the maintenance data transmission unit 94, the storage unit 95, and the parts
  • the order timing calculation unit 96, the maintenance data receiving unit 101, the parts ordering unit 102, the scheduling unit 103, and the storage unit 104) are implemented by separate servers in which the maintenance management server 13 and the management server 21 are interconnected by a network. These may be realized by the same server, or may be realized by distributing the functions to a plurality of servers.
  • the substrate processing apparatus 11 is a step-and-scan type exposure apparatus.
  • the present invention can also be applied to a substrate processing apparatus 11 having an exposure apparatus (stepper) of a step 'and' repeat system.
  • the exposure apparatus 30 uses laser light emitted from an ArF excimer laser or the like as the exposure light IL.
  • a soft X-ray region generated from a laser plasma light source or SOR for example, a wavelength of 13.4 nm Alternatively, EUV (Extreme Ultra Violet) light of 11.5 nm may be used.
  • a charged particle beam such as an electron beam or an ion beam may be used.
  • the projection optical system PL may use any one of a reflection optical system, a refractive optical system, and a catadioptric optical system.
  • a single-wavelength laser in the infrared or visible range oscillated by a DFB semiconductor laser or a fiber laser is amplified by, for example, a fiber amplifier doped with erbium (or both erbium and yttrium), and the nonlinear optical A harmonic converted to ultraviolet light using a crystal may be used.
  • the present invention can also be applied to a case where the substrate processing apparatus 11 includes a so-called liquid immersion type exposure apparatus described in WO99 / 49504 pamphlet.
  • An immersion type exposure apparatus is a device between the lower surface of a projection optical system and the surface of a substrate (wafer) on which a pattern is exposed. Is filled with a liquid such as water or an organic solvent, and the pattern is exposed through the liquid.
  • An immersion lithography system utilizes the fact that the wavelength of exposure light in a liquid is l / n in air (n is the refractive index of the liquid, usually about 1.2 to 1.6). The resolution is improved and the depth of focus is increased.
  • liquid quality information such as specific resistance of liquid, total organic carbon (T ⁇ C: Total Organic Carbon), deterioration state of liquid repellent coat, A maintenance date can be set at an optimal time based on device information such as a decrease in illuminance due to clouding of an optical member in contact with the liquid, and necessary parts can be ordered in advance.
  • the substrate processing apparatus 11 is a device pattern used in the manufacture of a display including a liquid crystal display element or the like that can be formed only by an exposure apparatus that transfers a device pattern used in the manufacture of a semiconductor element onto a wafer W.
  • Exposure device that transfers the device pattern onto a glass substrate, an exposure device that transfers the device pattern used for the manufacture of thin-film magnetic heads, image sensors (such as CCDs), micromachines, and DNA chips. With an exposure device, etc.

Landscapes

  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Selon cette invention, dans une usine de traitement de substrats (10), sont organisés des dispositifs de traitement de substrats (11) et un serveur de gestion de la maintenance (12). Ledit serveur de gestion de la maintenance (12) permet de recueillir et d'analyser des données d'un dispositif indiquant l'état de chacun des dispositifs de traitement de substrats (11), de calculer la temporisation, lorsque la maintenance de chaque dispositif de traitement de substrats (11) est nécessaire, et de transmettre des données de maintenance contenant des informations liées au centre de gestion (20). En fonction des données de maintenance reçues, un serveur de gestion (21) situé dans le centre de gestion (20) permet de prévoir l'intervention d'un mécanicien d'entretien qui assure le remplacement des pièces et effectue la maintenance.
PCT/JP2004/012640 2003-09-02 2004-09-01 Dispositif, procede et programme de gestion de la maintenance, et support d'enregistrement d'informations WO2005024915A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005513649A JP4899478B2 (ja) 2003-09-02 2004-09-01 保守管理装置、保守管理方法、保守管理プログラム、及び情報記録媒体

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003310146 2003-09-02
JP2003-310146 2003-09-02

Publications (1)

Publication Number Publication Date
WO2005024915A1 true WO2005024915A1 (fr) 2005-03-17

Family

ID=34269637

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/012640 WO2005024915A1 (fr) 2003-09-02 2004-09-01 Dispositif, procede et programme de gestion de la maintenance, et support d'enregistrement d'informations

Country Status (2)

Country Link
JP (1) JP4899478B2 (fr)
WO (1) WO2005024915A1 (fr)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017183708A (ja) * 2016-03-29 2017-10-05 株式会社日立国際電気 処理装置、装置管理コントローラ、及びプログラム
JPWO2017056161A1 (ja) * 2015-09-28 2018-07-05 本田技研工業株式会社 加温制御装置
TWI755598B (zh) * 2018-05-29 2022-02-21 日商佳能股份有限公司 基板處理系統、其控制方法、儲存媒體和物品的製造方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011192676A (ja) * 2010-03-11 2011-09-29 Nikon Corp 基板処理装置、積層半導体装置製造方法及び積層半導体装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04268667A (ja) * 1991-02-25 1992-09-24 Hitachi Ltd 生産管理システム
JPH0569951U (ja) * 1992-02-25 1993-09-21 株式会社島津製作所 駆動装置
JPH09330861A (ja) * 1996-06-07 1997-12-22 Nec Corp 作業管理方法及び管理装置
JPH11121318A (ja) * 1997-10-20 1999-04-30 Kokusai Electric Co Ltd 半導体製造システム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04268667A (ja) * 1991-02-25 1992-09-24 Hitachi Ltd 生産管理システム
JPH0569951U (ja) * 1992-02-25 1993-09-21 株式会社島津製作所 駆動装置
JPH09330861A (ja) * 1996-06-07 1997-12-22 Nec Corp 作業管理方法及び管理装置
JPH11121318A (ja) * 1997-10-20 1999-04-30 Kokusai Electric Co Ltd 半導体製造システム

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2017056161A1 (ja) * 2015-09-28 2018-07-05 本田技研工業株式会社 加温制御装置
US10770766B2 (en) 2015-09-28 2020-09-08 Honda Motor Co., Ltd. Heating control device
JP2017183708A (ja) * 2016-03-29 2017-10-05 株式会社日立国際電気 処理装置、装置管理コントローラ、及びプログラム
JP2020004997A (ja) * 2016-03-29 2020-01-09 株式会社Kokusai Electric 処理装置、装置管理コントローラ、及びプログラム並びに半導体装置の製造方法
JP7084898B2 (ja) 2016-03-29 2022-06-15 株式会社Kokusai Electric 処理装置、装置管理コントローラ、及びプログラム並びに半導体装置の製造方法
TWI755598B (zh) * 2018-05-29 2022-02-21 日商佳能股份有限公司 基板處理系統、其控制方法、儲存媒體和物品的製造方法

Also Published As

Publication number Publication date
JPWO2005024915A1 (ja) 2007-11-08
JP4899478B2 (ja) 2012-03-21

Similar Documents

Publication Publication Date Title
JP4844835B2 (ja) 補正方法及び露光装置
US7405803B2 (en) Image forming state adjusting system, exposure method and exposure apparatus, and program and information storage medium
JP4760705B2 (ja) 事前計測処理方法、露光システム及び基板処理装置
TWI342057B (fr)
TWI390595B (zh) Management methods, management systems, and recording media
JP4563923B2 (ja) 位置合わせ方式最適化方法
EP1791169A1 (fr) Procede d'alignement, systeme de traitement, procede de mesure de repetabilite de chargement de substrat, procede de mesure de position, procede d'exposition, appareil de traitement de substrat, procede de mesure et...
JP4705034B2 (ja) 基板処理装置、使用状況確認方法
US20060285100A1 (en) Exposure apparatus and exposure method, and device manufacturing method
US20020024646A1 (en) Exposure apparatus and device manufacturing method using the same
JP4400745B2 (ja) 露光方法及びデバイス製造方法、露光装置、並びにプログラム
US7410735B2 (en) Method of characterization, method of characterizing a process operation, and device manufacturing method
JP2004022655A (ja) 半導体露光装置及びその制御方法、並びに半導体デバイスの製造方法
JP4899478B2 (ja) 保守管理装置、保守管理方法、保守管理プログラム、及び情報記録媒体
JP2014103343A (ja) 露光装置、プログラム、及び管理システム
JP2005136326A (ja) 装置状態予測装置及び方法、並びに露光装置管理システム
JP2004235460A (ja) 露光システム、走査型露光装置及び露光方法
NL2006073A (en) Lithographic apparatus and method.
JP2005079449A (ja) パターン不良予測装置、基板処理システム、パターン不良予測プログラム、及び情報記録媒体
JP2005317617A (ja) 位置検出用マーク及びそれを備えたレチクル又は感光性基板、位置検出方法、マーク評価方法、及びマーク検出方法とマーク検出装置、並びに露光方法と露光装置
JP2006140204A (ja) 計測条件の最適化方法、該最適化方法を使用した位置計測方法、該位置計測方法を使用した位置合わせ方法、該位置合わせ方法を使用したデバイス製造方法、計測条件の最適化システム、該最適化システムを使用した位置計測装置及び該位置計測装置を使用した露光装置
JP2005322721A (ja) 情報保存方法及び情報使用方法
JP5817965B2 (ja) 露光装置の調整方法及び調整用プログラム、並びに露光装置
JP2007214312A (ja) 露光装置、デバイス製造方法、部品交換時期の検出方法、及び露光装置保守方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005513649

Country of ref document: JP

122 Ep: pct application non-entry in european phase