WO2005024915A1 - Maintenance management device, maintenance management method, maintenance management program, and information recording medium - Google Patents

Maintenance management device, maintenance management method, maintenance management program, and information recording medium Download PDF

Info

Publication number
WO2005024915A1
WO2005024915A1 PCT/JP2004/012640 JP2004012640W WO2005024915A1 WO 2005024915 A1 WO2005024915 A1 WO 2005024915A1 JP 2004012640 W JP2004012640 W JP 2004012640W WO 2005024915 A1 WO2005024915 A1 WO 2005024915A1
Authority
WO
WIPO (PCT)
Prior art keywords
maintenance
substrate processing
processing apparatus
time
data
Prior art date
Application number
PCT/JP2004/012640
Other languages
French (fr)
Japanese (ja)
Inventor
Yuji Imai
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to JP2005513649A priority Critical patent/JP4899478B2/en
Publication of WO2005024915A1 publication Critical patent/WO2005024915A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32234Maintenance planning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Definitions

  • Maintenance management device maintenance management method, maintenance management program, and information recording medium
  • the present invention relates to a maintenance management device and a maintenance management method for maintaining and managing a substrate processing apparatus for processing a substrate such as a wafer or a glass plate, and a maintenance method for realizing the maintenance management device using a computer.
  • the present invention relates to a management program and an information recording medium on which the program is recorded.
  • Semiconductor devices, liquid crystal display devices, imaging devices, thin-film magnetic heads, and other devices are manufactured by performing various processes on a substrate such as a semiconductor wafer or a glass plate using a substrate processing apparatus.
  • the processing performed by the substrate processing apparatus on the substrate includes, for example, a coating process of applying a photosensitive agent such as a photoresist, an exposure process of projecting and exposing a mask or reticle pattern image on the substrate coated with the photosensitive agent, and This is a development process for developing the substrate that has been subjected to the exposure process.
  • the above-described exposure processing is performed by an exposure apparatus provided in a substrate processing apparatus, and the above-described coating processing and development processing are performed by a so-called coater / developing apparatus that is inlined with the exposure apparatus.
  • the substrate processing apparatus such as the exposure apparatus described above includes an evaluation apparatus that evaluates the uniformity of patterns formed on the substrate subjected to the above-described various processes or the degree of pattern overlap, and irradiates the substrate with an electron beam. Inspection devices are often provided to detect secondary electrons and backscattered electrons generated at the time of observation and observe and inspect patterns formed on the substrate.
  • a substrate processing apparatus In a device manufacturing plant, a plurality of the above-described substrate processing apparatuses are provided, and each of the substrate processing apparatuses is lined in parallel to manufacture a device. Maintenance of such a substrate processing apparatus is performed by a worker (serviceman) inspecting each substrate processing apparatus regularly or irregularly as necessary, and checking the operation status and performance of each substrate processing apparatus. This is done by collecting data that indicates the performance, etc., and analyzing the collected data to determine whether the expected performance is satisfied. [0005] If there is a substrate processing apparatus that does not satisfy the expected performance, an operator performs an operation to identify the cause.
  • Patent Document 1 Japanese Patent Application Laid-Open No. 2003-142362
  • the substrate processing apparatus is often operated continuously for 24 hours in order to improve manufacturing efficiency. Therefore, it is necessary to maintain the substrate processing equipment so that the expected performance is always satisfied. While maintaining the substrate processing equipment using the method described above, it takes a long time to deliver the parts, especially if the parts ordered for replacement are out of stock. . If the performance of the substrate processing equipment deteriorates, it does not mean that the substrate processing equipment cannot be operated immediately, but it is necessary to perform maintenance as early as possible to maintain the performance at or above the expected performance. There is.
  • an object of the present invention is to improve the operation rate of a substrate processing apparatus by estimating the time variation of the apparatus state of the substrate processing apparatus and determining the time when maintenance is required.
  • a maintenance management apparatus for maintaining and managing a substrate processing apparatus (11) for processing a substrate (W), and collects apparatus information indicating an apparatus state of the substrate processing apparatus.
  • a maintenance management method for managing a maintenance time of a substrate processing apparatus (11) for processing a substrate (W), wherein the apparatus information indicates an apparatus state of the substrate processing apparatus. Is collected, the collected apparatus information is analyzed to predict the time variation of the apparatus state of the substrate processing apparatus, and a maintenance time for performing maintenance of the substrate processing apparatus is obtained using the prediction result.
  • a maintenance management method is provided.
  • a maintenance management program for causing a computer to function as the maintenance management device according to the first aspect of the present invention, and computer-readable information in which the maintenance management program is recorded A recording medium is provided.
  • a computer-readable information recording medium on which the maintenance management program according to the third aspect of the present invention is recorded.
  • the maintenance time for performing maintenance by predicting the time variation of the apparatus state of the substrate processing apparatus is determined, it is possible to appropriately predict the time when the maintenance of the substrate processing apparatus is required. . Also, by predicting the maintenance time of the substrate processing equipment, it is possible to obtain necessary parts and arrange workers in advance by the predicted time, and to perform maintenance work smoothly at the predicted time. become able to.
  • the time when maintenance is required is appropriately predicted, so that the maintenance work of the substrate processing apparatus can be performed smoothly and efficiently, and the period during which the substrate processing apparatus is stopped can be reduced. This has the effect of minimizing the necessity and thereby improving the operation rate of the substrate processing apparatus.
  • FIG. 1 is a block diagram showing an overall configuration of a substrate processing system according to an embodiment of the present invention.
  • FIG. 2 is a top view showing a schematic configuration of a substrate processing apparatus.
  • FIG. 3 is a view showing a schematic configuration of an exposure apparatus.
  • FIG. 4 is a functional block diagram showing functions provided in the maintenance management server.
  • FIG. 5A is a diagram showing an example of various information stored in a storage unit of the maintenance management server.
  • FIG. 5B is a diagram showing an example of various information stored in a storage unit of the maintenance management server.
  • FIG. 5C is a diagram showing an example of various information stored in the storage unit of the maintenance management server.
  • FIG. 5D is a diagram showing an example of various information stored in the storage unit of the maintenance management server.
  • FIG. 5E is a diagram showing an example of various types of information stored in the storage unit of the maintenance management server.
  • FIG. 6 is a functional block diagram showing functions provided in the management server.
  • FIG. 7A is a diagram showing an example of various information stored in a storage unit of the management server.
  • FIG. 7B is a diagram showing an example of various information stored in a storage unit of the management server.
  • FIG. 7C is a diagram showing an example of various information stored in a storage unit of the management server.
  • FIG. 8 is a flowchart showing a first operation example of the maintenance management server.
  • FIG. 9 is a diagram for explaining processing performed by the maintenance management server in the first operation example.
  • FIG. 10 is a flowchart showing an operation example of the management server.
  • FIG. 11 is a flowchart showing a second operation example of the maintenance management server.
  • FIG. 12 is a diagram for explaining processing performed by the maintenance management server in the second operation example.
  • FIG. 13A is a diagram showing an example of performance fluctuation of the substrate processing apparatus occurring in a short time.
  • FIG. 13B is a view showing an example of performance fluctuation of the substrate processing apparatus occurring in a short time.
  • FIG. 13C is a diagram showing an example of performance fluctuation of the substrate processing apparatus occurring in a short time.
  • FIG. 1 is a block diagram showing an overall configuration of a substrate processing system including a maintenance management device according to an embodiment of the present invention.
  • reference numeral 10 denotes a substrate processing factory that performs substrate processing
  • reference numeral 20 denotes a management center that manages a substrate processing apparatus provided in the substrate processing factory.
  • the substrate processing factory 10 is, for example, a factory of a semiconductor maker
  • the management center 20 is provided, for example, in one department of the substrate processing apparatus maker.
  • the substrate processing plant 10 and the management center 20 are connected via a network N such as the Internet, a dedicated line, or a public line.
  • a plurality of substrate processing apparatuses 11, a host computer 12, a maintenance management server 13, a terminal device 14, and a connection device 15 are provided. These are connected to an internal network LN 1 such as a LAN (Local Area Network) laid in the substrate processing factory 10.
  • the substrate processing apparatus 11 performs a coating process of applying a photosensitive agent such as a photoresist to a wafer as a substrate, and an exposure process of projecting and exposing a mask or reticle pattern image onto the substrate on which the photosensitive agent has been applied. And a developing process for developing the exposed substrate.
  • a photosensitive agent such as a photoresist
  • the present invention is also applied to a device that performs a process on a glass plate or the like in addition to a force wafer.
  • the host computer 12 is a host computer that collectively manages and controls the operations of the plurality of substrate processing apparatuses 11.
  • the maintenance management server 13 collects device data indicating the device status of each substrate processing device 11 via the internal network LN1, analyzes the collected device data, and analyzes the time of the device status of each substrate processing device 11 Predict fluctuations. Further, a maintenance time (maintenance date) for performing maintenance of each substrate processing apparatus 11 is obtained from the prediction result. For example, from the illuminance (light amount) of the exposure light used when performing the exposure processing in each substrate processing apparatus 11 and the measurement result of the illuminance distribution from before a predetermined period to the present, a change in the illuminance of the exposure light in the future is predicted, Request a maintenance date for work such as adjustment or replacement of the gas, or replacement of the gas sealed in the light source. Confuse.
  • the maintenance management server 13 stores parts delivery date data indicating a period required from ordering of parts constituting each apparatus included in the substrate processing apparatus 11 to ordering (until delivery). In addition, the maintenance management server 13 calculates the order time of the parts that need to be replaced according to the maintenance contents based on the parts delivery date data. For example, in the above example, the ordering time of the lens or gas to be replaced is calculated. Here, the calculated ordering time is a time that goes back at least as long as it takes to order parts for maintenance. The maintenance management server 13 transmits the maintenance data including the information indicating the maintenance content and the maintenance date to the management center 20 via the network N when the calculated order time comes.
  • the transmission of the maintenance data is preferably performed by, for example, e-mail.
  • e-mail When sending maintenance data by e-mail, if the maintenance data is sent to the outside of the substrate processing plant 10 in plain text without any encryption, it may be stolen or falsified, and information such as the performance of the substrate processing equipment 11 May be known to a third party. Therefore, it is preferable to encrypt the maintenance data and send it by e-mail.
  • encryption methods are roughly classified into a common key method in which encryption and decryption are performed using the same key, and a public key encryption method in which encryption and decryption are performed using different keys. However, it is preferable to use a public key encryption method from the viewpoint of confidentiality.
  • the terminal device 14 is operated by a worker (serviceman) who performs maintenance of the substrate processing apparatus 11, and is used, for example, for inputting various data stored in the maintenance management server 13.
  • the connection device 15 is a device for connecting the internal network LN1 and the network N laid in the substrate processing factory 10, and is, for example, a device such as a router. It is preferable that the connection device 15 has a firewall function in order to prevent the device data indicating the operation status of the substrate processing device 11 handled in the substrate processing plant 10 from leaking outside.
  • a management server 21 a plurality of terminal devices 22, and a connection device 23 are provided. These are connected to an internal network LN2 such as a LAN laid in the management center 20.
  • the management server 21 is connected to the network Based on the maintenance data sent via NN, the presence or absence of parts inventory is determined, and if there is no inventory, parts are ordered.
  • the management server 21 stores serviceman data indicating the skills and workable dates of servicemen who perform maintenance of the substrate processing apparatus 11, and assigns servicemen based on the received maintenance data. Scheduling).
  • the terminal device 22 is operated by an operator of the management center 20, and is used for, for example, inputting, updating, and confirming various data stored in the management server 21.
  • the connection device 23 is a device for connecting the internal network LN2 and the network N laid in the management center 20, and is, for example, a device such as a norator. Note that, similarly to the connection device 15 provided in the substrate processing plant 10, the connection device 23 should have a firewall function to prevent various data handled in the management center 20 from leaking outside. Is desirable.
  • FIG. 2 is a top view illustrating a schematic configuration of the substrate processing apparatus 11.
  • Each of the substrate processing apparatuses 11 has a configuration similar to the configuration shown in FIG.
  • the substrate processing apparatus 11 is provided with a coater / developer section 31 so as to be in contact with the chamber surrounding the exposure apparatus 30 in an in-line manner, and the entirety of the exposure apparatus 30 and the coater / developer section 31 is provided.
  • a control computer 32 that supervises and controls the operation is installed.
  • the control computer 32 is connected to an internal network LN1 laid in the substrate processing factory 10.
  • a transfer line 33 for transferring the wafer W is disposed in the coater / developer section 31 so as to cross the central portion thereof.
  • a wafer carrier 34 for storing a large number of unexposed wafers W and a wafer carrier 35 for storing a large number of wafers W that have been exposed and developed are arranged.
  • a transfer port (not shown) with a shutter on the side of the chamber of the exposure apparatus 30 is installed.
  • a coater section 36 is provided along one side surface of the transport line 33 provided in the coater / developer section 31, and a developer cover section 37 is provided along the other side surface.
  • the coater unit 36 is a resist coater 36a for applying a photoresist to the wafer W from the wafer carrier 34 to the exposure apparatus 30, and pre-betas the photoresist on the wafer W.
  • a pre-beta unit 36b composed of a hot plate and a cooling unit 36c for cooling the pre-wafered wafer W are installed.
  • the developer unit 37 blanks the photoresist on the wafer W after the exposure processing from the exposure apparatus 30 to the wafer carrier 35, that is, performs a so-called PEB (Post-Exposure Bake).
  • PEB Post-Exposure Bake
  • a post-peeter device 37a, a cooling device 37b for cooling the wafer W on which PEB has been performed, and a developing device 37c for developing the photoresist on the wafer W are provided.
  • a measuring device 38 for measuring the shape of a photoresist pattern (resist pattern) formed on the wafer developed by the developing device 37c is installed inline.
  • the measuring device 38 is for measuring the shape of the resist pattern formed on the wafer W (for example, the line width of the pattern, the pattern overlay error, etc.).
  • the exposure apparatus 30, the coater section 36 and the developer section 37, the measurement apparatus 38, and the control computer 32 are connected by wire or wirelessly, and a signal indicating the start or end of each process is transmitted and received. . Further, the device data indicating the device status of these devices and the measurement results by the measuring device 38 are output to the control computer 32 and recorded in a storage device such as a hard disk provided in the control computer 32.
  • the exposure apparatus 30 includes a wafer stage 85 that moves two-dimensionally on a wafer base 86 (see FIG. 3). A wafer W for exposure is placed on a wafer stage 85 via a wafer holder 84. Will be retained.
  • a first guide member 39 is disposed substantially along the extension of the center axis of the transfer line 33 provided in the coater / developer section 31, and an end of the first guide member 39 is provided.
  • the second guide member 40 is arranged to be orthogonal to the upper side.
  • a slider 41 configured to be slidable along the first guide member 39 is disposed on the first guide member 39, and the slider 41 holds the wafer W rotatably and vertically movable.
  • One arm 42 is installed.
  • a second arm 43 configured to be slidable along the second guide member 40 while holding the wafer W is disposed on the second guide member 40.
  • the second guide member 40 extends to the wafer loading position of the wafer stage 85, and the second arm 43 is also provided with a mechanism that slides in a direction perpendicular to the second guide member 40.
  • a transfer pin 44 that can rotate and move up and down to perform the wafer W alignment is installed near the position where the first guide member 39 and the second guide member 40 intersect.
  • a position detector (not shown) for detecting notches (notches) in the outer peripheral portion of the wafer W and two edge portions around the 44 or an orientation flat formed on the outer peripheral portion of the wafer W ) Is installed.
  • the first guide member 39, the second guide member 40, the slider 41, the first arm 42, the second arm 43, the transfer pin 44, and the like constitute a wafer loader system.
  • a processing start command is output from the host computer 12 in FIG. 1 to the control computer 32 included in the substrate processing apparatus 11 via the internal network LN1.
  • the control computer 32 outputs various control signals to the exposure device 30, the coater unit 36, and the developer unit 37 based on the processing start command.
  • this control signal is output, one wafer taken out of the wafer carrier 34 is transferred to a resist coater 36a via a transfer line 33, coated with photoresist, and pre-pressed sequentially along the transfer line 33. It is delivered to the first arm 42 of the exposure device 30 via the lock device 36b and the cooling device 36c.
  • the first arm 42 rotates, and the wafer W coated with the photoresist is transferred from the first arm 42 to the transfer pin.
  • the wafer is transferred to position A on 44, where the center position and the rotation angle are adjusted (pre-alignment) based on the outer shape of the wafer.
  • the wafer W is transferred to the second arm 43, transported to the wafer loading position along the second guide member 40, and is loaded on the wafer holder 84 on the wafer stage 85. Then, each shot region on the wafer W is exposed through a predetermined device pattern of the reticle.
  • the wafer W that has been subjected to the exposure processing is transferred along the second guide member 40 and the first guide member 39 to the transfer line 33 of the coater / developing unit 31, and then sequentially transferred along the transfer line 33.
  • the toner is sent to the developing device 37c via the post-poster device 37a and the cooling device 37b.
  • a reticle device is placed on each shot area of wafer W developed by developing device 37c.
  • An uneven resist pattern corresponding to the chair pattern is formed.
  • the wafer W thus developed is inspected by a measuring device 38 for the line width and overlay error of the pattern formed as necessary, and stored in a wafer carrier 35 along a transport line 33. .
  • a wafer of, for example, one lot in the wafer carrier 35 is transferred to a production line that executes a pattern forming step such as etching or ion implantation and a resist stripping step.
  • FIG. 3 is a diagram showing a configuration of an exposure apparatus provided in the substrate processing apparatus.
  • the XYZ orthogonal coordinate system shown in the figure is set, and the positional relationship of each member will be described with reference to the XYZ orthogonal coordinate system.
  • the XYZ rectangular coordinate system the Y axis and the Z axis are set so as to be parallel to the paper surface, and the X axis is set so as to be perpendicular to the paper surface.
  • the XY plane is actually set as a plane parallel to the horizontal plane, and the Z axis is set vertically upward.
  • the direction along the Y axis is the scan direction.
  • reference numeral 51 denotes an exposure light source.
  • the exposure light source 51 is an ArF excimer laser light source (wavelength: 193 nm) that emits exposure light IL that is a parallel light beam having a substantially rectangular cross section.
  • the exposure light source 51 may be, for example, an ultra-high pressure mercury lamp that emits g-line (wavelength 436 nm) or i-line (wavelength 365 nm), a KrF excimer laser (wavelength 248 nm), or an F
  • a high frequency generator of a semiconductor laser can be used.
  • Exposure light IL (exposure beam) composed of an ultraviolet pulse having a wavelength of 193 nm from the exposure light source 51 passes through a beam matching unit (BMU) 52 and enters a variable attenuator 53 as an optical attenuator.
  • An exposure control unit 73 for controlling the amount of exposure to the photoresist on the wafer controls the start and stop of the light emission of the exposure light source 51 and the output (oscillation frequency and pulse energy). Adjust the dimming rate stepwise or continuously.
  • the exposure light IL that has passed through the variable dimmer 53 is converted into a beam forming system 55 composed of lens systems 54a and 54b. After that, the light enters a first fly-eye lens 56 as a first-stage optical integrator (uniformizer or homogenizer).
  • the exposure light IL emitted from the first fly-eye lens 56 passes through a first lens system 57a, a mirror 58 for bending the optical path, and a second lens system 57b as a second stage optical integrator. Light enters the eye lens 59.
  • An aperture stop plate 60 is rotatably arranged by a drive motor 60a on the exit surface of the second fly-eye lens 59, that is, on the optical Fourier transform surface (pupil surface of the illumination system) with respect to the pattern surface of the reticle R. ing.
  • the aperture stop plate 60 includes a circular aperture stop for normal illumination, an aperture stop for annular illumination, and an aperture stop or small aperture for modified illumination comprising a plurality of (eg, four poles) eccentric small apertures.
  • a small circular aperture stop or the like for a coherence factor ( ⁇ value) is arranged to be switchable.
  • a main control system 74 that controls the overall operation of the exposure apparatus 30 rotates the aperture stop plate 60 via the drive motor 60a to set the illumination conditions.
  • the exposure light IL emitted from the second fly-eye lens 59 and passing through one of the aperture stops formed on the aperture stop plate 60 has a high transmittance and a low reflectance. It is incident on 61.
  • the exposure light reflected by the beam splitter 61 is incident on an integrator sensor 72 composed of a photoelectric detector via a condensing lens 71, and a detection signal of the integrator sensor 72 is sent to an exposure control unit 73.
  • the relationship between the detection signal of the integrator sensor 72 and the illuminance of the exposure light IL on the wafer W is measured with high precision in advance and stored in a memory in the exposure control unit 73.
  • the exposure control unit 73 is configured to monitor the illuminance (average value) of the exposure light IL on the wafer W indirectly from the detection signal of the integrator sensor 72 and the integrated value thereof.
  • the exposure light IL transmitted through the beam splitter 61 passes through the lens systems 62 and 63 along the optical axis IAX in order, and passes to the fixed blind (fixed illumination field stop) 64 and the movable blind (movable illumination field stop) 65.
  • the latter movable blind 65 is placed on a conjugate plane with respect to the reticle plane, and the former fixed blind 64 is placed on a plane defocused by a predetermined amount from the conjugate plane.
  • the fixed blind 64 is arranged so as to extend in the center of the circular visual field of the projection optical system PL in a direction perpendicular to the exposure direction and extend in a linear slit shape or a rectangular shape (hereinafter collectively referred to as a “slit shape”). Having an opening.
  • the exposure light IL that has passed through the fixed blind 64 and the movable blind 65 passes through the mirror 66 for bending the optical path, the lens system 67 for imaging, the condenser lens 68, and the Illuminates the illumination area (illumination visual field area) IA on the pattern surface (lower surface).
  • the BMU 52 main condenser lens system 69 constitutes the illumination optical system IS.
  • the image of the circuit pattern in the illumination area IA of the reticle R is projected at a predetermined projection magnification (a is, for example, 1/4 or 1Z5) through a projection optical system PL that is telecentric on both sides. Then, the light is transferred to the slit-shaped exposure area of the photoresist layer on the wafer W arranged on the image plane of the projection optical system PL.
  • reticle R is held by suction on reticle stage 81, and reticle stage 81 can move at a constant speed in the Y direction on reticle base 82 and tilt in the X, Y, and rotation directions. It is placed so that it can be done.
  • the two-dimensional position and rotation angle of the reticle stage 81 (reticle R) are measured by a laser interferometer in the drive control unit 83 in real time. Based on this measurement result and the control information from the main control system 74, the drive motor (linear motor, voice coil motor, etc.) in the drive control unit 83 is used to control the scanning speed and position of the reticle stage 81. Perform control.
  • the wafer W is held by suction on a wafer stage 85 via a wafer holder 84, and the wafer stage 85 is two-dimensionally moved along an XY plane parallel to the image plane of the projection optical system PL on a wafer base 86.
  • the wafer stage 85 moves at a constant speed in the Y direction on the wafer base 86, and moves stepwise in the X direction and the Y direction.
  • the wafer stage 85 also incorporates a Z leveling mechanism for controlling the position (focus position) of the wafer W in the Z direction and the tilt angle around the X axis and the Y axis.
  • the positions of the wafer stage 85 in the X and Y directions and the rotation angles around the X, Y, and Z axes are measured in real time by a laser interferometer in the drive control unit 87.
  • the drive motor (such as a linear motor) in the drive control unit 87 controls the running speed and position of the wafer stage 85.
  • an illuminance sensor 88 for detecting the illuminance (light amount) of the exposure light IL applied to the exposure area on the wafer W via the projection optical system PL is fixed.
  • the illuminance sensor 88 has, for example, a housing in which a pinhole is formed, and is a sensor in which a light receiving surface of a light receiving element is arranged at a position where the pinhole is formed. Detects the illuminance (light amount) of light IL. The detection signal of the illuminance sensor 88 is supplied to the exposure control unit 73. By moving the illuminance sensor 88 within the exposure area while the exposure light IL is being irradiated onto the wafer stage 85, the illuminance unevenness (light amount unevenness) and the integrated light amount unevenness of the exposure light IL can be measured. The measurement of the illuminance, the illuminance unevenness, and the integrated light amount unevenness using the illuminance sensor 88 is performed regularly or irregularly.
  • a projection optical system 89a that projects slit light obliquely to a plurality of measurement points on the surface of the wafer W (the surface to be inspected) on the side surface of the projection optical system PL, and reflects the light reflected from the surface to be inspected.
  • a light receiving optical system 89b that receives light and generates focus signals corresponding to the focus positions of the plurality of measurement points, and a multipoint autofocus sensor that is powerful, are also provided. Supplied to
  • a reticle alignment microscope (not shown) for measuring the position of an alignment mark (reticle mark) of reticle R is provided on reticle stage 81.
  • an alignment sensor of an off-axis image processing method (FIA method: Field Image Alignment method) is provided on the side of the projection optical system PL. Is installed.
  • the alignment sensor 90 illuminates the alignment mark on the wafer W with illumination light of a relatively wide wavelength range from, for example, a halogen lamp or the like, and captures the image with an imaging device such as a CCD (Charge Coupled Device). It is.
  • the image signal obtained by the alignment sensor 90 is supplied to the main control system 74, where the image signal is processed, and the position information is measured.
  • the main control system 74 is provided in the control computer 32 shown in FIG. 2, and performs various exposure conditions for running and exposing the photoresist in each shot area on the wafer W with an appropriate exposure amount. It reads from the file and executes the optimal exposure sequence in cooperation with the exposure control unit 73.
  • the main control system 74 sends various information such as the moving position, moving speed, moving acceleration, and position offset of the reticle stage 81 and the wafer stage 85 to the drive control units 83 and 87. . This allows the reticle stage Acceleration of 81 and wafer stage 85 is started.
  • the main control system 74 also issues a scanning exposure start command to the exposure control unit 73.
  • the exposure control unit 73 starts the emission of the exposure light source 51, and the exposure light IL for the wafer W via the integrator sensor 72. Calculate the integrated value of the illuminance (the sum of one pulse energy per unit time). The integrated value is reset to 0 at the start of scanning exposure.
  • the reticle R scans the illumination area IA of the exposure light IL via the reticle stage 81 in the + Y direction (or one Y direction) at a speed Vr, and the wafer stage is synchronized.
  • the wafer W is scanned in the -Y direction (or + Y direction) at a speed Vr (the projection magnification from the reticle R to the wafer W) with respect to the exposure area of the reticle R pattern image via the edge 85. .
  • the movement directions of the reticle R and the wafer W are opposite because the projection optical system PL of this example performs reverse projection.
  • the exposure control unit 73 sequentially calculates the integrated value of the illuminance of the exposure light IL, and according to the result, performs appropriate exposure at each point of the photoresist on the wafer W after the scanning exposure.
  • the output of the exposure light source 51 (oscillation frequency and pulse energy) and the dimming rate of the variable dimmer 53 are controlled so that the amount can be obtained.
  • the emission of the exposure light source 51 is stopped. By repeating this operation, exposure processing is performed on a plurality of shot areas set on the wafer W.
  • the main control system 74 is provided with a storage device such as a semiconductor memory or a hard disk.
  • the main control system 74 has data indicating a detection result of the integrator sensor 72, illuminance obtained by measurement using the illuminance sensor 88, Various data such as data indicating uneven illuminance and uneven light quantity, data indicating residual aberration of the projection optical system PL, and data indicating a synchronization error between the reticle stage 81 and the wafer stage 85 are temporarily stored as device data.
  • the main control system 74 reads out the temporarily stored device data when a device data transmission request is received from the maintenance management server 13 shown in FIG. 1 and outputs it to the maintenance management server 13 via the internal network LN1. I do.
  • FIG. 4 is a functional block diagram showing functions provided in the maintenance management server 13.
  • the maintenance management server 13 includes a device data collection unit 91, a device data analysis unit 92, a threshold arrival time prediction unit 93, a maintenance data transmission unit 94, a storage unit 95, and a part order time calculation unit 96. Be composed.
  • the storage unit 95 stores various types of information for calculating a maintenance date for performing maintenance of each of the substrate processing apparatuses 11 and an order timing of parts to be replaced at the time of maintenance. As shown in FIG. 4, the storage unit 95 stores the trend function data D1, the performance threshold Th1, the component adjustment threshold Th2, the improvement amount threshold Th3, and the component delivery date data D2.
  • FIG. 5 is a diagram showing an example of various types of information stored in the storage unit 95. Hereinafter, various types of information stored in the storage unit 95 will be described in order.
  • the trend function data D 1 is data indicating an approximation function used when analyzing the collected apparatus data and predicting the time variation of the apparatus state of each substrate processing apparatus 11.
  • the trend of the device state over time is known in advance for each inspection item to be detected, and the future time variation of the device state is predicted by approximating the collected device data using a predetermined function.
  • S power For example, in the exposure apparatus 30 shown in FIG. 3, it has been found that the illuminance of the exposure light IL irradiated onto the wafer W decreases in proportion to the passage of time. For this reason, as shown in FIG. 5A, “linearity” is associated as an approximate function with respect to “illuminance” of the inspection item.
  • the trend function data D1 is data in which an approximation function is associated with each inspection item.
  • the performance threshold value Thl defines a minimum performance threshold value allowed in the substrate processing apparatus 11. If the performance of the substrate processing apparatus 11 deteriorates beyond the performance threshold value Thl, it is required to perform a component replacement or the like to achieve a performance higher than the expected performance. Since there are various indexes indicating the performance of the substrate processing apparatus 11, the performance threshold Thl is set for each inspection item. For example, as shown in FIG. 5B, “P0” is set as a threshold value for “illuminance” of the inspection item. If the exposure light applied to the wafer W of the exposure apparatus 30 shown in FIG. 3 falls below the threshold value “P0”, it is necessary to perform component replacement or the like.
  • the component adjustment threshold Th2 is a threshold that determines a guide for adjusting components provided in the substrate processing apparatus 11 when the performance of the substrate processing apparatus 11 is reduced. Before the performance of the substrate processing apparatus 11 deteriorates to the above-described minimum performance, the parts related to the inspection item whose performance has deteriorated can be checked. Adjustments may restore performance. For example, when a part included in the illumination optical system IS provided in the exposure apparatus 30 shown in FIG. 3 is decentered and a part not irradiated with the exposure light IL is used, the illuminance of the exposure light IL may be improved.
  • the component adjustment threshold Th2 determines the standard of this adjustment. This component adjustment threshold Th2 is set for each inspection item, similarly to the performance threshold Thl.
  • “P1” is set as a threshold value for “illuminance” of the inspection item. Since the component adjustment threshold Th2 is set so that the adjustment is performed before the performance of the substrate processing apparatus 11 reaches the minimum performance described above, the value of the threshold “P1” in FIG. 5C is changed to the threshold “P0” in FIG. 5B. Is set to a value larger than ".
  • the improvement amount threshold Th3 is a threshold that determines whether or not to perform component adjustment again when the performance of the substrate processing apparatus 11 is improved by the above-described component adjustment. Although the performance of the substrate processing apparatus 11 is improved by the component adjustment, the performance of the substrate processing apparatus 11 may exceed the component adjustment threshold value Th2 in a short time and deteriorate if the improvement is small. In such a situation, even if component adjustment is performed, a large improvement in performance cannot be expected, so that the adjustment work is wasted and the operation rate of the substrate processing apparatus 11 is reduced. Therefore, if the improvement amount is smaller than the improvement amount threshold Th3, no component adjustment is performed.
  • This improvement amount threshold Th3 is also set for each inspection item. For example, as shown in FIG. 5D, “A1” is set as a threshold for “illuminance” of the inspection item.
  • the parts delivery date data D2 is data indicating a period required from ordering parts to ordering them.
  • the parts delivery date data D2 is used to calculate the ordering time of parts so that parts to be replaced are prepared on the maintenance day.
  • This parts delivery date data D2 is also set for each inspection item. For example, as shown in FIG. 5E, for the inspection item "illuminance”, “lens” is set as a target part, and as the delivery date "2 months" is set.
  • FIG. 4 is referred to again.
  • the equipment data collection unit 91 provided in the maintenance management server 13 sends a transmission request of equipment data to each of the substrate processing equipments 11 provided in the substrate processing factory 10, and returns in response to the transmission request. Collected device data. Also, The device data collection unit 91 stores the collected device data for a certain period.
  • the device data analysis unit 92 analyzes the device data collected by the device data collection unit 91 using the trend function data D1 stored in the storage unit 95, and obtains the device status of each substrate processing device 11. Predict time fluctuations.
  • the threshold arrival time prediction unit 93 determines whether the time variation predicted by the device data analysis unit 92 deteriorates beyond the performance threshold Th1 and the time variation predicted by the device data analysis unit 92 is the component adjustment threshold Th2. Predict when to worsen beyond. Also, it is determined whether or not the improvement obtained by performing the component adjustment is smaller than the improvement threshold Th3.
  • the time predicted by the threshold arrival time prediction unit 93 is a maintenance date at which parts of the substrate processing apparatus 11 are replaced or adjusted.
  • the maintenance data transmission unit 94 transmits the maintenance data including the maintenance date and the contents of the maintenance predicted by the threshold arrival time prediction unit 93 to the network N when the part order time calculated by the part order time calculation unit 96 arrives. To the management center 20 via.
  • the parts ordering time calculation unit 96 is configured to calculate the contents of the maintenance performed on the maintenance day calculated by the threshold arrival time prediction unit 93. Based on the data D2, the part order timing for ordering parts is calculated. The calculated part ordering time is output to the maintenance data transmitting unit 94.
  • FIG. 6 is a functional block diagram showing functions provided in the management server 21.
  • the management server 21 includes a maintenance data receiving unit 101, a parts ordering unit 102, a scheduling unit 103, and a storage unit 104.
  • the storage unit 104 stores parts inventory data D11 and serviceman data D12.
  • FIG. 7 is a diagram illustrating an example of various types of information stored in the storage unit 104.
  • the component inventory data D11 is data indicating the inventory status of each component of the substrate processing apparatus 11. For example, for the component name "lens”, data indicating that the inventory is "0”, Data indicating that the inventory is “2” is stored under the name “light source gas”.
  • the serviceman data D12 is data relating to a serviceman who performs maintenance of the substrate processing apparatus 11, and indicates the skill (skill) of each serviceman as shown in FIG. 7B. It consists of skill data and scheduling data indicating the schedule for each serviceman as shown in FIG. 7C. Each serviceman is assigned a uniquely determined worker ID, and the serviceman is managed using this worker ID.
  • the skill data shown in Fig. 7B is data in which a worker ID that can be handled for each work item (maintenance content) is stored.
  • a worker ID that can be handled for each work item (maintenance content) is stored.
  • one of the work items “lens exchange” is associated with worker “Mr. A” and worker “Mr. B”. If this skill data requires lens replacement work, “A” and “B” are nominated as workers.
  • FIG. 7 shows the worker ID as “Mr. A”, “Mr. B”, etc., it is actually represented by a number, a letter, a symbol, or a combination thereof.
  • the scheduling data shown in FIG. 7C is data storing information indicating a work schedule for each worker ID and other information. For example, in the example shown in FIG. 7C, for the worker “Mr. A”, information of “10/1 reservation” is stored as the work schedule. This information is information indicating that the maintenance work is scheduled to be performed on October 1 for the worker “Mr. A”. For this reason, for example, even if the worker ⁇ Mr. A '' is nominated as a candidate for another maintenance to be performed on October 1, the worker ⁇ Mr. A '' already has a work reservation, so the maintenance work is not performed. Not selected as a service person to perform.
  • the maintenance data receiving unit 101 receives maintenance data sent from the substrate processing plant 10 via the network N.
  • the parts ordering unit 102 refers to the parts inventory data D11 stored in the storage unit 104, and refers to the parts necessary for performing the work indicated by the maintenance content included in the maintenance data received by the maintenance data receiving unit 101. Check the stock status and, if there is no stock, output order data instructing parts order.
  • the order data generated by the parts ordering unit 102 is transmitted to a predetermined person in charge (one or more workers of the management center 20) via the internal network LN2.
  • the management of the parts inventory is performed automatically or manually by a person in charge, and the parts inventory data D11 is updated according to the inventory status of the parts.
  • the scheduling unit 103 refers to the serviceman data D12 and selects and determines a serviceman who performs the work indicated by the maintenance content included in the maintenance data received by the maintenance data receiving unit 101. After the serviceman's decision is made, the scheduling section 10 Step 3 sends a notification to the newly determined worker that the work content has been determined or details of the new work content, and updates the contents of the scheduling data included in the serviceman data D12.
  • FIG. 8 is a flowchart illustrating a first operation example of the maintenance management server 13.
  • the equipment data collection unit 91 (see FIG. 4) provided in the maintenance management server 13 includes the substrate processing apparatus 11. It outputs a device data transmission request to each of the devices via the internal network LN1.
  • the main control system 74 (see FIG. 3) provided in the exposure apparatus 30 illuminates the past exposure light IL measured using the illuminance sensor 88, the illuminance unevenness, and the integrated light amount.
  • Device data such as data indicating unevenness and data indicating the detection result of the integrator sensor 72 are transmitted to the maintenance management server 13 via the internal network LN1.
  • the device data collection unit 91 collects the transmitted device data and stores it for a certain period of time (step S11).
  • the device data analysis unit 92 analyzes the device data collected by the device data collection unit 91 using the inclination function data D1 stored in the storage unit 95, and The time fluctuation of the device state of the processing device 11 is predicted (step S12).
  • the device data analysis unit 92 associates the illuminance of the inspection item in the trend function data D1 stored in the storage unit 95 with the illuminance. Obtain the approximate function.
  • the device data analysis unit 92 performs linear approximation on the device data collected by the device data collection unit 91. Then, the fluctuation of the illuminance of the exposure light IL is predicted.
  • FIG. 9 is a diagram for explaining processing performed by the maintenance management server 13 in the first operation example. is there.
  • the graph shown in FIG. 9 is a graph showing the time change of illuminance, in which the horizontal axis represents time and the vertical axis represents illuminance.
  • the horizontal axis is a yearly scale.
  • black circles with the symbol DT indicate device data related to illuminance collected by the device data collection unit 91. Since the exposure apparatus 30 periodically measures the illuminance of the exposure light IL using the illuminance sensor 88, the illuminance of the exposure light IL can be obtained periodically.
  • the time tlO is the current time, and the device data is obtained only at a time earlier than the current time tlO.
  • the device data analysis unit 92 performs linear approximation on the device data to obtain an approximate line L 1 shown in the drawing, thereby predicting a change in the illuminance of the exposure light IL used in the exposure device 30.
  • the threshold arrival time prediction unit 93 predicts a time when the illuminance indicated by the approximate straight line L1 obtained by the device data analysis unit 92 falls below the performance threshold Thl (step S13).
  • the threshold arrival time prediction unit 93 determines that the illuminance indicated by the approximate straight line L1 is P Tl 2 is calculated when it becomes 0 or less.
  • the time tl 2 determined here is the maintenance date.
  • the parts ordering time calculation unit 96 calculates the parts ordering time based on the maintenance date and the parts delivery date data D2 predicted by the threshold arrival time prediction unit 93 (step S14). ).
  • the parts order timing calculation unit 96 calculates a timing t1 which is traced back from the maintenance date (timing tl 2) shown in FIG. 9 by a period of “two months” (the period T1 shown in FIG. 9).
  • the determined time tl 1 is the parts ordering time.
  • the maintenance date calculated by the threshold arrival time prediction unit 93 and the part order time calculated by the part order time calculation unit 96 are output to the maintenance data transmission unit 94.
  • information indicating the “lens” that is set as a target component for “illuminance” of the D2 inspection item of the component delivery date data (hereinafter referred to as “component data”) is also obtained from the Output to transmitting section 94.
  • the maintenance data transmitting unit 94 determines whether or not the current time tlO has passed the part order time tl1 (step S15). If it is determined that the current time tlO has not passed the part order time t11 (if the result of the determination is "N ⁇ "), And the collection of the device data is performed again.
  • step S15 when it is determined that the current time tlO has passed the part order time till (when the determination result is “YES”), the maintenance data transmitting unit 94 The maintenance data is transmitted to the management center 20.
  • the maintenance data includes data specifying the substrate processing apparatus 11 requiring maintenance and data specifying the substrate processing factory 10 in addition to the data indicating the maintenance date and the component data.
  • processing performed by the management server 21 provided in the management center 20 based on the maintenance data sent from the maintenance management server 13 will be described.
  • FIG. 10 is a flowchart showing an operation example of the management server 21.
  • the maintenance data is sent to the maintenance data receiving unit 101 provided in the management server 21 (step S21).
  • the maintenance data receiving unit 101 determines whether the received maintenance data includes component data (step S22). In this case, since the maintenance data includes the component data, the determination result in step S22 is “YESJ”, and the maintenance data receiving unit 101 outputs the received maintenance data to the component ordering unit 102 and the scheduling unit 103.
  • the parts ordering unit 102 determines whether there is a stock of the parts indicated by the parts data included in the maintenance data from the maintenance data receiving unit 101 using the parts inventory data D11 (step S23). .
  • a process for securing the parts is performed.
  • the processing performed here is to update the parts inventory data D11 by subtracting the number of parts to be replaced from the parts inventory data D11 stored in the storage unit 104, and to update the parts inventory data D11 determined in advance by a predetermined person in charge of inventory (the operation of the management center 20). This is a process of transmitting information indicating that the part is used to one or more members.
  • the parts ordering unit 102 outputs order data instructing parts ordering (step S23). twenty five).
  • the order data output from the part ordering unit 102 is transmitted to a predetermined person in charge via the internal network LN2, and the person in charge orders the part.
  • the scheduling unit 103 transmits the skill data (in the serviceman data D12 stored in the storage unit 104). With reference to FIG. 7B), a service person who can perform the replacement work of the component indicated by the component data in the maintenance data is selected as a candidate (step S26).
  • the scheduling unit 103 refers to the scheduling data (see FIG. 7C) in the serviceman data D12, and determines whether the selected serviceman candidate can perform work on the maintenance day. The man is determined (step S27).
  • the scheduling unit 103 transmits the fact that the work content has been decided or the details of the new work content to the newly determined worker, and sets the scheduling data included in the serviceman data D12. Update the contents of
  • the maintenance management server 13 provided in the substrate processing factory 10 predicts the time variation of the device state of the substrate processing apparatus 11, and determines the maintenance date from the prediction result. I'm asking.
  • a maintenance order is obtained by searching for a part order timing that is retroactive to a period required for ordering parts, and the maintenance data is transmitted to the management center 20 when the part order time has elapsed.
  • the management server 21 provided in the management center 20 secures or orders parts based on the sent maintenance data, and further arranges (schedules) a serviceman.
  • FIG. 11 is a flowchart illustrating a second operation example of the maintenance management server 13.
  • the apparatus data collection unit 91 provided in the maintenance management server 13 (see FIG. 4)
  • a request for transmitting device data is output to each of the substrate processing apparatuses 11 via the internal network LN1, and device data is collected from each of the substrate processing apparatuses 11 (step S31).
  • the device data analysis unit 92 analyzes the collected device data using the inclination function data D1 stored in the storage unit 95, and analyzes the time of the device state of each substrate processing device 11.
  • the fluctuation is predicted (step S32).
  • the device data collected by the device data collection unit 91 is approximated by a straight line, Predict fluctuations in the illuminance of the exposure light IL.
  • FIG. 12 is a diagram for explaining processing performed by the maintenance management server 13 at the time of the second operation example.
  • the graph shown in FIG. 12 is a graph showing the time change of the illuminance, with the horizontal axis representing time and the vertical axis representing illuminance.
  • the horizontal axis of the graph shown in Figure 12 is a yearly scale, similar to the graph shown in Figure 9.
  • the black circles shown in the graph indicate the device data related to the illuminance collected by the device data collection unit 91.
  • the device data analysis unit 92 performs linear approximation on the device data to obtain an approximate line L11 shown in the drawing, and predicts a change in the illuminance of the exposure light IL used in the exposure device 30.
  • the threshold reaching time prediction unit 93 predicts a time when the approximate straight line L11 exceeds the component adjustment threshold Th2 (Step S33).
  • the threshold arrival time prediction unit 93 is indicated by the approximate straight line L11. Find the time t21 when the illuminance falls below P1.
  • the time t21 determined here is the maintenance date.
  • data indicating the maintenance date obtained by the threshold arrival time prediction unit 93 is output to the maintenance data transmission unit 94.
  • the maintenance data transmitting unit 94 transmits the maintenance data to the management center 20 via the network N (Step S34).
  • the data included in the maintenance data includes, in addition to the data indicating the maintenance date, data specifying the substrate processing apparatus 11 requiring maintenance and data specifying the substrate processing factory 10.
  • step S21 When the maintenance data is sent from the maintenance management server 13, it is received by the maintenance data receiving unit 101 provided in the management server 21, as shown in FIG. 10 (step S21). Upon receiving the maintenance data, the maintenance data receiving unit 101 determines whether or not the received maintenance data includes component data (step S22). Here, since the maintenance data does not include the component data, the determination result in step S22 is “NO”, and the maintenance data receiving unit 101 outputs the received maintenance data only to the scheduling unit 103.
  • the scheduling unit 103 selects serviceman candidates using the serviceman data D12 stored in the storage unit 104 (step S26), and can perform work on a maintenance day from the selected serviceman candidates.
  • a serviceman is determined (step S27).
  • the scheduling unit 103 And the details of the new work content are transmitted, and the contents of the scheduling data included in the serviceman data D12 are updated.
  • step S35 in FIG. 11 To reduce the illuminance of the exposure light IL, for example, an operation of decentering a lens included in the illumination optical system IS provided in the exposure apparatus 30 shown in FIG. 3 with respect to the optical axis is performed as a component adjustment operation.
  • the equipment data collection unit 91 provided in the maintenance management server 13 of the board processing factory 10 collects the equipment data of the board processing apparatus 11 on which the adjustment work has been performed, and the equipment data analysis unit 92 The collected data is analyzed to obtain an improved amount (here, an increase in the illuminance of the exposure light IL) at which the performance of the substrate processing apparatus 11 is improved by the adjustment (Step S36).
  • an improved amount here, an increase in the illuminance of the exposure light IL
  • the amount of increase in the illuminance at the point where the illuminance sharply increases at the time t21 is the improvement amount obtained here.
  • the threshold arrival time prediction unit 93 compares the improvement amount calculated in step S36 with the improvement amount threshold Th3, and determines whether the improvement amount is larger than the improvement amount threshold Th3. .
  • the threshold arrival time prediction unit 93 since “A1” is set as the threshold value for the illuminance, it is determined whether the increase in the illuminance is greater than the threshold value A1.
  • the determination result in step S37 is “YES”, and the process returns to step S31 to collect the device data.
  • the device data collection unit 91 collects the device data in the same manner as described above, and the device data analysis unit 92 analyzes the collected device data (step S33). By this analysis, the approximate straight line L12 in FIG. 12 is obtained.
  • the threshold value arrival time prediction unit 93 obtains a time t22 at which the illuminance indicated by the approximate line L12 becomes equal to or less than the threshold value P1 from the approximate line L12 and the component adjustment threshold value Th2 (threshold value PI).
  • the time t22 determined here is the maintenance date.
  • step S21 When the maintenance data is sent from the maintenance management server 13, the maintenance data is received by the maintenance data receiving unit 101 provided in the management server 21 as shown in FIG. 10 (step S21), and the received maintenance It is determined whether the data contains component data (step S22).
  • the result of the determination in step S22 is “N ⁇ ”, and the maintenance data receiving unit 101 outputs the received maintenance data only to the scheduling unit 103.
  • the scheduling unit 103 selects serviceman candidates (step S26), and also determines servicemen who can work on the maintenance day with the selected serviceman candidate power (step S27). Note that the scheduling unit 103 transmits to the newly determined worker that the work content has been determined or details of the new work content, and updates the contents of the scheduling data included in the serviceman data D12.
  • the serviceman determined in this way goes to the substrate processing factory 10 where the substrate processing apparatus 11 requiring maintenance is provided on the maintenance day (time t22 in FIG. 12), and the parts of the exposure apparatus 30 Perform adjustment work (step S35 in FIG. 11). Then, the lens adjustment operation of the illumination optical system IS is performed again as a component adjustment operation.
  • the equipment data collection unit 91 provided in the maintenance management server 13 of the board processing factory 10 collects the equipment data of the board processing apparatus 11 on which the adjustment work has been performed, and the equipment data analysis unit 92. The collected data is analyzed and adjusted to determine the amount of improvement in the performance of the substrate processing apparatus 11 (step S36).
  • the amount of improvement required here is an increase in the illuminance at a location where the illuminance sharply increases at time t22 in FIG.
  • the threshold arrival time prediction unit 93 compares the improvement amount calculated in step S36 with the improvement amount threshold Th3, and determines whether the improvement amount is larger than the improvement amount threshold Th3. .
  • the determination result in step S37 is “NO”, and the process proceeds to step SI1 in FIG.
  • the processing shown in the first operation example described above is performed. That is, the approximate straight line L13 is obtained by collecting and analyzing the device data, and the time t23 (maintenance date) at which the illuminance indicated by the approximate straight line L13 becomes equal to or less than the threshold value P0 is obtained.
  • the part replacement time is calculated from the calculated maintenance date, and when the part replacement time comes, maintenance data including the maintenance date and data indicating the part to be replaced is transmitted to the management server 21 of the management center 20.
  • the maintenance data including the maintenance date is transmitted to the management center 20, and the management server 21 provided in the management center 20 arranges (schedules) a serviceman based on the sent maintenance data.
  • the improvement amount of the performance of the substrate processing apparatus 11 which has performed the component adjustment is obtained, and when the improvement amount is equal to or less than the improvement amount threshold value, even if the performance of the substrate processing apparatus 11 is equal to or more than the component adjustment threshold value, A maintenance date for replacing a part is determined using the performance threshold. If significant performance improvement cannot be expected even after performing component adjustment by vigorous processing, the serviceman does not perform component adjustment work, so that the operation rate of the substrate processing apparatus 11 can be improved.
  • the present invention can be applied to improve various performance degradation of the optical device 30.
  • the present invention can also be applied to improve performance degradation of the coater unit 36 and the developer unit 37.
  • the maintenance date is obtained by predicting the performance degradation of the substrate processing apparatus 11 in units of several years.
  • the present invention provides a short-term basis in units of several days to several months. It can also be applied to improve the performance fluctuation of the plate processing apparatus 11.
  • FIG. 13 is a diagram illustrating an example of performance fluctuation of the substrate processing apparatus 11 occurring in a short period.
  • FIG. 13A is a diagram illustrating a state where the image plane position of the projection optical system PL included in the exposure apparatus 30 fluctuates due to a change in atmospheric pressure.
  • the curve with the symbol Pr indicates the variation curve of the atmospheric pressure
  • the curve with the symbol Fo indicates the variation curve of the image plane position of the projection optical system PL. ing.
  • the image plane of the projection optical system PL is always constant irrespective of the fluctuation of the atmospheric pressure, as indicated by the straight line with the code ID in Fig. 13A.
  • the image plane of the projection optical system PL changes almost at the same time as the atmospheric pressure changes, and after a certain period of time, a deviation (drift) Dr from the straight line ID occurs. Resulting in.
  • the correlation between the fluctuation of the atmospheric pressure and the fluctuation of the focus is obtained in advance, and even if the atmospheric pressure fluctuates, the projection optical system PL is changed.
  • the maintenance management server 13 notifies the management center 20 of the drift.
  • FIG. 13C is a diagram showing an example of the measurement reproducibility (3 ⁇ ) of the alignment sensor 90 provided in the exposure apparatus 30.
  • the measurement reproducibility of the alignment sensor 90 tends to rapidly deteriorate after a certain period (for example, half a year). For this reason, a certain threshold Th4 may be set, and when the measurement reproducibility of the alignment sensor 90 exceeds the threshold Th4, the maintenance management server 13 may notify the management center 20 of the fact.
  • the functions of the maintenance management server 13 shown in Fig. 4 and the management server 21 shown in Fig. 6 can be configured by hardware, or can be realized by software.
  • the hardware configuration of the maintenance management server 13 or the management server 21 includes a CPU (Central Processing Unit), RAM (Random Access Memory), ROM (Read Only Memory), a communication interface, an optical disk, It is constituted by an external storage device such as a magnetic disk, a magneto-optical disk, or the like, and is realized by causing the maintenance management server 13 or the management server 21 to read the maintenance management program for performing the above-described control and executing the program.
  • the maintenance management program is a computer-readable information record.
  • the medium can be, for example, a CD-ROM, a DVD (registered trademark), a hard disk, a magneto-optical disk, a flexible disk, or a magnetic tape.
  • the reading of the maintenance management program into the computer system is performed via a reading device provided in the computer system, or by downloading via a network such as the Internet.
  • each function as the maintenance management device (the device data collection unit 91, the device data analysis unit 92, the threshold arrival time prediction unit 93, the maintenance data transmission unit 94, the storage unit 95, and the parts
  • the order timing calculation unit 96, the maintenance data receiving unit 101, the parts ordering unit 102, the scheduling unit 103, and the storage unit 104) are implemented by separate servers in which the maintenance management server 13 and the management server 21 are interconnected by a network. These may be realized by the same server, or may be realized by distributing the functions to a plurality of servers.
  • the substrate processing apparatus 11 is a step-and-scan type exposure apparatus.
  • the present invention can also be applied to a substrate processing apparatus 11 having an exposure apparatus (stepper) of a step 'and' repeat system.
  • the exposure apparatus 30 uses laser light emitted from an ArF excimer laser or the like as the exposure light IL.
  • a soft X-ray region generated from a laser plasma light source or SOR for example, a wavelength of 13.4 nm Alternatively, EUV (Extreme Ultra Violet) light of 11.5 nm may be used.
  • a charged particle beam such as an electron beam or an ion beam may be used.
  • the projection optical system PL may use any one of a reflection optical system, a refractive optical system, and a catadioptric optical system.
  • a single-wavelength laser in the infrared or visible range oscillated by a DFB semiconductor laser or a fiber laser is amplified by, for example, a fiber amplifier doped with erbium (or both erbium and yttrium), and the nonlinear optical A harmonic converted to ultraviolet light using a crystal may be used.
  • the present invention can also be applied to a case where the substrate processing apparatus 11 includes a so-called liquid immersion type exposure apparatus described in WO99 / 49504 pamphlet.
  • An immersion type exposure apparatus is a device between the lower surface of a projection optical system and the surface of a substrate (wafer) on which a pattern is exposed. Is filled with a liquid such as water or an organic solvent, and the pattern is exposed through the liquid.
  • An immersion lithography system utilizes the fact that the wavelength of exposure light in a liquid is l / n in air (n is the refractive index of the liquid, usually about 1.2 to 1.6). The resolution is improved and the depth of focus is increased.
  • liquid quality information such as specific resistance of liquid, total organic carbon (T ⁇ C: Total Organic Carbon), deterioration state of liquid repellent coat, A maintenance date can be set at an optimal time based on device information such as a decrease in illuminance due to clouding of an optical member in contact with the liquid, and necessary parts can be ordered in advance.
  • the substrate processing apparatus 11 is a device pattern used in the manufacture of a display including a liquid crystal display element or the like that can be formed only by an exposure apparatus that transfers a device pattern used in the manufacture of a semiconductor element onto a wafer W.
  • Exposure device that transfers the device pattern onto a glass substrate, an exposure device that transfers the device pattern used for the manufacture of thin-film magnetic heads, image sensors (such as CCDs), micromachines, and DNA chips. With an exposure device, etc.

Abstract

In a substrate treatment factory (10), there are arranged substrate treatment devices (11) and a maintenance management server (12). The maintenance management server (12) collects and analyzes device data indicating a device state of each of the substrate treatment devices (11), calculates the timing when the maintenance of each substrate treatment device (11) is required, and transmits maintenance data including the information to a management center (20). According to the maintenance data received, a management server (21) provided in the management center (20) performs scheduling of a service man who assures the replacement parts and performs maintenance.

Description

明 細 書  Specification
保守管理装置、保守管理方法、保守管理プログラム、及び情報記録媒体 技術分野  Maintenance management device, maintenance management method, maintenance management program, and information recording medium
[0001] 本発明は、ウェハやガラスプレート等の基板の処理を行う基板処理装置を保守管 理するための保守管理装置、保守管理方法、該保守管理装置をコンピュータを用い て実現するための保守管理プログラム、及び該プログラムが記録された情報記録媒 体に関する。  The present invention relates to a maintenance management device and a maintenance management method for maintaining and managing a substrate processing apparatus for processing a substrate such as a wafer or a glass plate, and a maintenance method for realizing the maintenance management device using a computer. The present invention relates to a management program and an information recording medium on which the program is recorded.
^景技術  ^ Scenic technology
[0002] 半導体素子、液晶表示素子、撮像素子、薄膜磁気ヘッド、その他のデバイスは、基 板処理装置を用いて半導体ウェハ又はガラスプレート等の基板に対して各種の処理 を施すことにより製造される。基板処理装置が基板に対して施す処理は、例えばフォ トレジスト等の感光剤を塗布する塗布処理、感光剤が塗布された基板上にマスク又 はレチクルのパターンの像を投影露光する露光処理、及び露光処理が施された基板 を現像する現像処理等である。  [0002] Semiconductor devices, liquid crystal display devices, imaging devices, thin-film magnetic heads, and other devices are manufactured by performing various processes on a substrate such as a semiconductor wafer or a glass plate using a substrate processing apparatus. . The processing performed by the substrate processing apparatus on the substrate includes, for example, a coating process of applying a photosensitive agent such as a photoresist, an exposure process of projecting and exposing a mask or reticle pattern image on the substrate coated with the photosensitive agent, and This is a development process for developing the substrate that has been subjected to the exposure process.
[0003] 上記の露光処理は基板処理装置に設けられた露光装置により行われ、上記塗布 処理及び現像処理は、露光装置に対してインライン化された所謂コータ 'デベロツバ といわれる塗布現像装置により行われる。また、上記の露光装置等の基板処理装置 には、上記の各種処理が施された基板に形成されるパターンの均一性又はパターン の重なり具合いを評価する評価装置、並びに電子ビームを基板上に照射した際に生 ずる二次電子や後方散乱電子を検出して基板上に形成されたパターンの観察及び 検査を行う検査装置が設けられることが多い。  [0003] The above-described exposure processing is performed by an exposure apparatus provided in a substrate processing apparatus, and the above-described coating processing and development processing are performed by a so-called coater / developing apparatus that is inlined with the exposure apparatus. . In addition, the substrate processing apparatus such as the exposure apparatus described above includes an evaluation apparatus that evaluates the uniformity of patterns formed on the substrate subjected to the above-described various processes or the degree of pattern overlap, and irradiates the substrate with an electron beam. Inspection devices are often provided to detect secondary electrons and backscattered electrons generated at the time of observation and observe and inspect patterns formed on the substrate.
[0004] デバイスの製造工場内では、上記の基板処理装置が複数設けられており、各々の 基板処理装置が並列的にライン化されてデバイスが製造されている。このような基板 処理装置の保守 (メンテナンス)は、作業員(サービスマン)が定期的に、又は必要に 応じて不定期に各々の基板処理装置を点検して基板処理装置毎の稼働状況及び 性能等を示すデータを収集し、収集した各種データを解析して所期の性能が満たさ れているか否かを判断することにより行われる。 [0005] 仮に所期の性能を満たしていない基板処理装置があった場合には、作業員によつ てその原因を特定する作業が行われる。基板処理装置の性能劣化を引き起こした原 因が特定されると、その原因を解消するために部品交換が必要であれば部品発注が 行われ、露光装置の保守作業 (基板処理装置の調整又は部品の交換)を行うメンテ ナンス日が決定され、メンテナンス日に応じた作業員の手配 (スケジューリング)が行 われる。そして、メンテナンス日にスケジューリングされた作業員によって基板処理装 置の保守作業 (例えば、部品の交換及び基板処理装置の調整)が行われる。尚、基 板処理装置の経時変化を保守管理するシステムについては、例えば、 日本国特許 公開第 2003—142362号公報を参照されたレ、。 [0004] In a device manufacturing plant, a plurality of the above-described substrate processing apparatuses are provided, and each of the substrate processing apparatuses is lined in parallel to manufacture a device. Maintenance of such a substrate processing apparatus is performed by a worker (serviceman) inspecting each substrate processing apparatus regularly or irregularly as necessary, and checking the operation status and performance of each substrate processing apparatus. This is done by collecting data that indicates the performance, etc., and analyzing the collected data to determine whether the expected performance is satisfied. [0005] If there is a substrate processing apparatus that does not satisfy the expected performance, an operator performs an operation to identify the cause. Once the cause of the performance deterioration of the substrate processing equipment is identified, if parts replacement is necessary to eliminate the cause, ordering of parts is performed, and maintenance work of the exposure equipment (adjustment of the substrate processing equipment or parts The maintenance date for the replacement is determined, and the workers are arranged (scheduled) according to the maintenance date. Then, maintenance work of the substrate processing apparatus (for example, replacement of parts and adjustment of the substrate processing apparatus) is performed by an operator scheduled on the maintenance day. As for a system for maintaining and managing the aging of the substrate processing apparatus, see, for example, Japanese Patent Publication No. 2003-142362.
特許文献 1:特開 2003 - 142362号公報  Patent Document 1: Japanese Patent Application Laid-Open No. 2003-142362
[0006] ところで、基板処理装置は製造効率を向上させるため 24時間連続稼働されること が多い。このため、基板処理装置は、所期の性能が常時満たされるように保守する必 要がある。し力しながら、上述した方法を用いて基板処理装置の保守を行うと、特に 交換に必要な部品の在庫が無く部品の発注を行った場合には、その部品の納品ま でに時間を要する。基板処理装置の性能が低下した場合には、直ちに基板処理装 置を稼働することができなくなるというわけではないが、極力早い時期に保守を行つ て所期の性能以上の性能に維持する必要がある。  [0006] Incidentally, the substrate processing apparatus is often operated continuously for 24 hours in order to improve manufacturing efficiency. Therefore, it is necessary to maintain the substrate processing equipment so that the expected performance is always satisfied. While maintaining the substrate processing equipment using the method described above, it takes a long time to deliver the parts, especially if the parts ordered for replacement are out of stock. . If the performance of the substrate processing equipment deteriorates, it does not mean that the substrate processing equipment cannot be operated immediately, but it is necessary to perform maintenance as early as possible to maintain the performance at or above the expected performance. There is.
[0007] し力しながら、交換部品の納品に時間を要すると、性能が低下した基板処理装置の 稼働を停止せざるを得なレ、場合があり、製造効率の低下を引き起こすとレ、う問題があ つた。また、近年の基板処理装置は高い性能及び機能を有しているため、全ての作 業員が全ての保守作業を行うことができるわけではなぐ作業員の技能 (スキル)に応 じて対応可能な保守作業が異なる。前述したように、基板処理装置の保守は短時間 で行う必要があるため、基板処理装置の性能劣化が発見されてから短時間で作業員 を選定しなければない。このように、従来は作業員の選定にも時間的な余裕が無ぐ 作業員の手配にも支障をきたすという問題があった。  [0007] If it takes time to deliver replacement parts while working hard, it may be necessary to stop the operation of the substrate processing apparatus whose performance has deteriorated. There was a problem. In addition, since recent substrate processing equipment has high performance and functions, not all workers can perform all maintenance work, so it is possible to respond according to the skills (skills) of workers. Maintenance work is different. As described above, maintenance of the substrate processing equipment needs to be performed in a short time, and therefore, it is necessary to select a worker in a short time after the performance deterioration of the substrate processing equipment is discovered. As described above, conventionally, there is a problem that the selection of the workers has little time and the arrangement of the workers is hindered.
発明の開示  Disclosure of the invention
[0008] よって本発明の目的は、基板処理装置の装置状態の時間変動を予測して保守が 必要となる時期を求めることで、基板処理装置の稼働率を向上させることである。 [0009] 以下、この項に示す説明では、本発明を、実施形態を表す図面に示す部材符号に 対応付けて説明するが、本発明の各構成要件は、これら部材符号を付した図面に示 す部材に限定されるものではなレ、。 [0008] Therefore, an object of the present invention is to improve the operation rate of a substrate processing apparatus by estimating the time variation of the apparatus state of the substrate processing apparatus and determining the time when maintenance is required. Hereinafter, in the description shown in this section, the present invention will be described in association with member numbers shown in the drawings representing the embodiments, but each constituent element of the present invention will be described in the drawings with these member numbers. Not limited to the components
[0010] 本発明の第 1の観点によると、基板 (W)を処理する基板処理装置(11)を保守管理 する保守管理装置であって、前記基板処理装置の装置状態を示す装置情報を収集 する収集手段(91)と、前記収集手段によって収集された前記装置情報を解析し、前 記基板処理装置の装置状態の時間変動を予測する予測手段(92)と、前記予測手 段の予測結果を用いて前記基板処理装置の保守を行う保守時期を求める保守時期 算出手段 (93)とを備える保守管理装置が提供される。  [0010] According to a first aspect of the present invention, there is provided a maintenance management apparatus for maintaining and managing a substrate processing apparatus (11) for processing a substrate (W), and collects apparatus information indicating an apparatus state of the substrate processing apparatus. Collecting means (91) for analyzing the apparatus information collected by the collecting means, and predicting means (92) for predicting the time variation of the apparatus state of the substrate processing apparatus, and a prediction result of the predicting means. And a maintenance time calculation means (93) for determining a maintenance time for performing maintenance of the substrate processing apparatus by using the maintenance management apparatus.
[0011] 本発明の第 2の観点によると、基板 (W)を処理する基板処理装置(11)の保守時期 を管理する保守管理方法であって、前記基板処理装置の装置状態を示す装置情報 を収集し、収集された前記装置情報を解析して前記基板処理装置の装置状態の時 間変動を予測し、前記予測結果を用いて前記基板処理装置の保守を行う保守時期 を求めるようにした保守管理方法が提供される。 According to a second aspect of the present invention, there is provided a maintenance management method for managing a maintenance time of a substrate processing apparatus (11) for processing a substrate (W), wherein the apparatus information indicates an apparatus state of the substrate processing apparatus. Is collected, the collected apparatus information is analyzed to predict the time variation of the apparatus state of the substrate processing apparatus, and a maintenance time for performing maintenance of the substrate processing apparatus is obtained using the prediction result. A maintenance management method is provided.
[0012] 本発明の第 3の観点によると、コンピュータを上記本発明の第 1の観点に係る保守 管理装置として機能させる保守管理プログラム、及び該保守管理プログラムが記録さ れたコンピュータ読み取り可能な情報記録媒体が提供される。  According to a third aspect of the present invention, a maintenance management program for causing a computer to function as the maintenance management device according to the first aspect of the present invention, and computer-readable information in which the maintenance management program is recorded A recording medium is provided.
[0013] 本発明の第 4の観点によると、上記本発明の第 3の観点に係る保守管理プログラム が記録されたコンピュータ読み取り可能な情報記録媒体が提供される。  According to a fourth aspect of the present invention, there is provided a computer-readable information recording medium on which the maintenance management program according to the third aspect of the present invention is recorded.
[0014] 本発明では、基板処理装置の装置状態の時間変動を予測して保守を行う保守時 期を求めているため、基板処理装置の保守が必要な時期を適切に予測することがで きる。また、基板処理装置の保守を行う時期を予測することで、予測した時期までに 必要な部品の入手及び作業員の手配を予め行うことができ、予測した時期に円滑に 保守作業を行うことができるようになる。  [0014] In the present invention, since the maintenance time for performing maintenance by predicting the time variation of the apparatus state of the substrate processing apparatus is determined, it is possible to appropriately predict the time when the maintenance of the substrate processing apparatus is required. . Also, by predicting the maintenance time of the substrate processing equipment, it is possible to obtain necessary parts and arrange workers in advance by the predicted time, and to perform maintenance work smoothly at the predicted time. become able to.
[0015] 本発明によると、保守が必要な時期が適切に予測される結果、基板処理装置の保 守作業を円滑かつ高効率的に行うことができるようになり、基板処理装置の停止期間 を必要最小限にすることができ、これにより基板処理装置の稼働率を向上させること ができるという効果がある。 図面の簡単な説明 According to the present invention, the time when maintenance is required is appropriately predicted, so that the maintenance work of the substrate processing apparatus can be performed smoothly and efficiently, and the period during which the substrate processing apparatus is stopped can be reduced. This has the effect of minimizing the necessity and thereby improving the operation rate of the substrate processing apparatus. Brief Description of Drawings
[図 1]図 1は本発明の実施形態に係る基板処理システムの全体構成を示すブロック医 である。 FIG. 1 is a block diagram showing an overall configuration of a substrate processing system according to an embodiment of the present invention.
[図 2]図 2は基板処理装置の概略構成を示す上面図である。  FIG. 2 is a top view showing a schematic configuration of a substrate processing apparatus.
[図 3]図 3は露光装置の概略構成を示す図である。 FIG. 3 is a view showing a schematic configuration of an exposure apparatus.
[図 4]図 4は保守管理サーバに設けられる機能を示す機能ブロック図である。  FIG. 4 is a functional block diagram showing functions provided in the maintenance management server.
[図 5A]図 5Aは保守管理サーバの記憶部に記憶される各種情報の一例を示す図で める。  FIG. 5A is a diagram showing an example of various information stored in a storage unit of the maintenance management server.
[図 5B]図 5Bは保守管理サーバの記憶部に記憶される各種情報の一例を示す図で める。  FIG. 5B is a diagram showing an example of various information stored in a storage unit of the maintenance management server.
[図 5C]図 5Cは保守管理サーバの記憶部に記憶される各種情報の一例を示す図で める。  FIG. 5C is a diagram showing an example of various information stored in the storage unit of the maintenance management server.
[図 5D]図 5Dは保守管理サーバの記憶部に記憶される各種情報の一例を示す図で める。  [FIG. 5D] FIG. 5D is a diagram showing an example of various information stored in the storage unit of the maintenance management server.
[図 5E]図 5Eは保守管理サーバの記憶部に記憶される各種情報の一例を示す図で める。  FIG. 5E is a diagram showing an example of various types of information stored in the storage unit of the maintenance management server.
[図 6]図 6は管理サーバに設けられる機能を示す機能ブロック図である。  FIG. 6 is a functional block diagram showing functions provided in the management server.
[図 7A]図 7Aは管理サーバの記憶部に記憶される各種情報の一例を示す図である。  FIG. 7A is a diagram showing an example of various information stored in a storage unit of the management server.
[図 7B]図 7Bは管理サーバの記憶部に記憶される各種情報の一例を示す図である。  FIG. 7B is a diagram showing an example of various information stored in a storage unit of the management server.
[図 7C]図 7Cは管理サーバの記憶部に記憶される各種情報の一例を示す図である。  FIG. 7C is a diagram showing an example of various information stored in a storage unit of the management server.
[図 8]図 8は保守管理サーバの第 1動作例を示すフローチャートである。  FIG. 8 is a flowchart showing a first operation example of the maintenance management server.
[図 9]図 9は第 1動作例時に保守管理サーバで行われる処理を説明するための図で める。  [FIG. 9] FIG. 9 is a diagram for explaining processing performed by the maintenance management server in the first operation example.
[図 10]図 10は管理サーバの動作例を示すフローチャートである。  FIG. 10 is a flowchart showing an operation example of the management server.
[図 11]図 11は保守管理サーバの第 2動作例を示すフローチャートである。  FIG. 11 is a flowchart showing a second operation example of the maintenance management server.
[図 12]図 12は第 2動作例時に保守管理サーバで行われる処理を説明するための図 である。  [FIG. 12] FIG. 12 is a diagram for explaining processing performed by the maintenance management server in the second operation example.
[図 13A]図 13Aは短期間に生ずる基板処理装置の性能変動の例を示す図である。 [図 13B]図 13Bは短期間に生ずる基板処理装置の性能変動の例を示す図である。 [FIG. 13A] FIG. 13A is a diagram showing an example of performance fluctuation of the substrate processing apparatus occurring in a short time. [FIG. 13B] FIG. 13B is a view showing an example of performance fluctuation of the substrate processing apparatus occurring in a short time.
[図 13C]図 13Cは短期間に生ずる基板処理装置の性能変動の例を示す図である。 発明を実施するための最良の形態  [FIG. 13C] FIG. 13C is a diagram showing an example of performance fluctuation of the substrate processing apparatus occurring in a short time. BEST MODE FOR CARRYING OUT THE INVENTION
[0017] 以下、図面を参照して本発明の実施形態を説明する。図 1は、本発明の実施形態 に係る保守管理装置を含む基板処理システムの全体構成を示すブロック図である。 図 1において、 10は基板処理を行う基板処理工場を示しており、 20は基板処理工場 に設けられた基板処理装置の管理を行う管理センターを示してレ、る。基板処理工場 10は、例えば半導体メーカの工場であり、管理センター 20は、例えば基板処理装置 メーカの一つの部署内に設けられる。これらの基板処理工場 10と管理センター 20と はインターネット、専用回線、公衆回線等のネットワーク Nを介して接続されている。  Hereinafter, embodiments of the present invention will be described with reference to the drawings. FIG. 1 is a block diagram showing an overall configuration of a substrate processing system including a maintenance management device according to an embodiment of the present invention. In FIG. 1, reference numeral 10 denotes a substrate processing factory that performs substrate processing, and reference numeral 20 denotes a management center that manages a substrate processing apparatus provided in the substrate processing factory. The substrate processing factory 10 is, for example, a factory of a semiconductor maker, and the management center 20 is provided, for example, in one department of the substrate processing apparatus maker. The substrate processing plant 10 and the management center 20 are connected via a network N such as the Internet, a dedicated line, or a public line.
[0018] 基板処理工場 10内には、複数の基板処理装置 11、ホストコンピュータ 12、保守管 理サーバ 13、端末装置 14、及び接続装置 15が設けられている。これらは、基板処 理工場 10内に敷設された LAN (Local Area Network)等の内部ネットワーク LN 1に接続されている。基板処理装置 11は、基板としてのウェハに対して、例えばフォ トレジスト等の感光剤を塗布する塗布処理、感光剤が塗布された基板上にマスク又 はレチクルのパターンの像を投影露光する露光処理、及び露光処理が施された基板 を現像する現像処理等を行う。尚、以下の説明では基板処理装置 11がウェハに対 する処理を行うものである場合を例に挙げて説明する力 ウェハ以外にガラスプレー ト等に対する処理を行うものにも本発明を適用することができる。ホストコンピュータ 1 2は、複数の基板処理装置 11の動作を一括して管理'制御する上位のコンピュータ である。  In the substrate processing factory 10, a plurality of substrate processing apparatuses 11, a host computer 12, a maintenance management server 13, a terminal device 14, and a connection device 15 are provided. These are connected to an internal network LN 1 such as a LAN (Local Area Network) laid in the substrate processing factory 10. The substrate processing apparatus 11 performs a coating process of applying a photosensitive agent such as a photoresist to a wafer as a substrate, and an exposure process of projecting and exposing a mask or reticle pattern image onto the substrate on which the photosensitive agent has been applied. And a developing process for developing the exposed substrate. In the following description, the case where the substrate processing apparatus 11 performs a process on a wafer will be described as an example. The present invention is also applied to a device that performs a process on a glass plate or the like in addition to a force wafer. Can be. The host computer 12 is a host computer that collectively manages and controls the operations of the plurality of substrate processing apparatuses 11.
[0019] 保守管理サーバ 13は、各基板処理装置 11の装置状態を示す装置データを内部 ネットワーク LN1を介して収集し、収集した装置データを解析して各基板処理装置 1 1の装置状態の時間変動を予測する。また、この予測結果から各基板処理装置 11の 保守を行う保守時期(メンテナンス日)を求める。例えば、各基板処理装置 11で露光 処理を行うときに用いられる露光光の照度(光量)及び照度分布の所定期間前から 現在までの計測結果から、露光光の今後の照度変化を予測し、レンズ等の調整若し くは交換、又は光源に封入されているガスの交換等の作業を行うメンテナンス日を求 める。 The maintenance management server 13 collects device data indicating the device status of each substrate processing device 11 via the internal network LN1, analyzes the collected device data, and analyzes the time of the device status of each substrate processing device 11 Predict fluctuations. Further, a maintenance time (maintenance date) for performing maintenance of each substrate processing apparatus 11 is obtained from the prediction result. For example, from the illuminance (light amount) of the exposure light used when performing the exposure processing in each substrate processing apparatus 11 and the measurement result of the illuminance distribution from before a predetermined period to the present, a change in the illuminance of the exposure light in the future is predicted, Request a maintenance date for work such as adjustment or replacement of the gas, or replacement of the gas sealed in the light source. Confuse.
[0020] また、保守管理サーバ 13には基板処理装置 11に含まれる各装置を構成する部品 を発注してから取り寄せまで (納品されるまで)に要する期間を示す部品納期データ が格納されており、保守管理サーバ 13はメンテナンス内容に応じて交換が必要とな る部品の発注時期を部品納期データに基づいて算出する。例えば、上記の例では 交換するレンズ又はガスの発注時期を算出する。ここで、算出される発注時期は少な くともメンテナンス日力 部品を取り寄せるのに要する期間だけ遡った時期である。保 守管理サーバ 13は、メンテナンス内容及びメンテナンス日を示す情報を含む保守デ ータを、算出した発注時期が到来した時点でネットワーク Nを介して管理センター 20 へ送信する。 Further, the maintenance management server 13 stores parts delivery date data indicating a period required from ordering of parts constituting each apparatus included in the substrate processing apparatus 11 to ordering (until delivery). In addition, the maintenance management server 13 calculates the order time of the parts that need to be replaced according to the maintenance contents based on the parts delivery date data. For example, in the above example, the ordering time of the lens or gas to be replaced is calculated. Here, the calculated ordering time is a time that goes back at least as long as it takes to order parts for maintenance. The maintenance management server 13 transmits the maintenance data including the information indicating the maintenance content and the maintenance date to the management center 20 via the network N when the calculated order time comes.
[0021] 保守データの送信は、例えば電子メールで行うことが好ましい。電子メールで保守 データを送信するときは、保守データを何ら暗号化せずに平文のまま基板処理工場 10外へ送信すると盗用又は改竄される虞があり、また基板処理装置 11の性能等の 情報が第三者に知られる可能性が考えられる。そこで、保守データを暗号化して電 子メールにて送信することが好適である。ここで、暗号化方式は、暗号化と復号とを 同一の鍵を用いて行う共通鍵方式と、暗号化と復号とをそれぞれ異なる鍵を用いて 行う公開鍵暗号化方式とに大別されるが、秘密保持の観点からは公開鍵暗号化方 式を用いることが好ましい。  The transmission of the maintenance data is preferably performed by, for example, e-mail. When sending maintenance data by e-mail, if the maintenance data is sent to the outside of the substrate processing plant 10 in plain text without any encryption, it may be stolen or falsified, and information such as the performance of the substrate processing equipment 11 May be known to a third party. Therefore, it is preferable to encrypt the maintenance data and send it by e-mail. Here, encryption methods are roughly classified into a common key method in which encryption and decryption are performed using the same key, and a public key encryption method in which encryption and decryption are performed using different keys. However, it is preferable to use a public key encryption method from the viewpoint of confidentiality.
[0022] 端末装置 14は、基板処理装置 11のメンテナンスを行う作業員(サービスマン)によ つて操作され、例えば保守管理サーバ 13に格納する各種データの入力等に用いら れる。接続装置 15は、基板処理工場 10内に敷設された内部ネットワーク LN1とネッ トワーク Nとを接続するための装置であり、例えばルータ等の装置である。尚、基板処 理工場 10内で取り扱われる基板処理装置 11の稼働状況を示す装置データが外部 に漏洩することを防止するために、接続装置 15はフアイヤーウォール機能を有するこ とが望ましい。  The terminal device 14 is operated by a worker (serviceman) who performs maintenance of the substrate processing apparatus 11, and is used, for example, for inputting various data stored in the maintenance management server 13. The connection device 15 is a device for connecting the internal network LN1 and the network N laid in the substrate processing factory 10, and is, for example, a device such as a router. It is preferable that the connection device 15 has a firewall function in order to prevent the device data indicating the operation status of the substrate processing device 11 handled in the substrate processing plant 10 from leaking outside.
[0023] 次に、管理センター 20内には、管理サーバ 21、複数の端末装置 22、及び接続装 置 23が設けられている。これらは、管理センター 20内に敷設された LAN等の内部ネ ットワーク LN2に接続されている。管理サーバ 21は、基板処理工場 10からネットヮー ク Nを介して送られてきた保守データに基づいて部品の在庫の有無を判断し、在庫 が無い場合には部品の発注を行う。また、管理サーバ 21には基板処理装置 11のメ ンテナンスを行うサービスマンのスキル及び作業可能日を示すサービスマンデータが 格納されており、送られてきた保守データに基づいて、サービスマンの割り当て (スケ ジユーリング)を行う。 Next, in the management center 20, a management server 21, a plurality of terminal devices 22, and a connection device 23 are provided. These are connected to an internal network LN2 such as a LAN laid in the management center 20. The management server 21 is connected to the network Based on the maintenance data sent via NN, the presence or absence of parts inventory is determined, and if there is no inventory, parts are ordered. In addition, the management server 21 stores serviceman data indicating the skills and workable dates of servicemen who perform maintenance of the substrate processing apparatus 11, and assigns servicemen based on the received maintenance data. Scheduling).
[0024] 端末装置 22は、管理センター 20の作業者によって操作され、例えば管理サーバ 2 1に格納する各種データの入力、更新、確認等の作業を行うために用いられる。接続 装置 23は、管理センター 20内に敷設された内部ネットワーク LN2とネットワーク Nと を接続するための装置であり、例えばノレータ等の装置である。尚、基板処理工場 10 に設けられた接続装置 15と同様に、管理センター 20内で取り扱われる各種のデータ が外部に漏洩することを防止するために、接続装置 23はフアイヤーウォール機能を 有することが望ましい。  The terminal device 22 is operated by an operator of the management center 20, and is used for, for example, inputting, updating, and confirming various data stored in the management server 21. The connection device 23 is a device for connecting the internal network LN2 and the network N laid in the management center 20, and is, for example, a device such as a norator. Note that, similarly to the connection device 15 provided in the substrate processing plant 10, the connection device 23 should have a firewall function to prevent various data handled in the management center 20 from leaking outside. Is desirable.
[0025] 次に、基板処理工場 10内に設けられる基板処理装置 11について説明する。図 2 は、基板処理装置 11の概略構成を示す上面図である。基板処理装置 11の各々は 図 2に示す構成と同様の構成である。図 2に示す通り、基板処理装置 11は露光装置 30を囲むチャンバにインライン方式で接するように、コータ 'デベロッパ部 31が設置さ れており、また露光装置 30及びコータ 'デベロッパ部 31の全体の動作を統轄制御す る制御コンピュータ 32が設置されている。この制御コンピュータ 32は基板処理工場 1 0内に敷設された内部ネットワーク LN1に接続されている。  Next, the substrate processing apparatus 11 provided in the substrate processing factory 10 will be described. FIG. 2 is a top view illustrating a schematic configuration of the substrate processing apparatus 11. Each of the substrate processing apparatuses 11 has a configuration similar to the configuration shown in FIG. As shown in FIG. 2, the substrate processing apparatus 11 is provided with a coater / developer section 31 so as to be in contact with the chamber surrounding the exposure apparatus 30 in an in-line manner, and the entirety of the exposure apparatus 30 and the coater / developer section 31 is provided. A control computer 32 that supervises and controls the operation is installed. The control computer 32 is connected to an internal network LN1 laid in the substrate processing factory 10.
[0026] 上記のコータ 'デベロッパ部 31には、その中央部を横切るようにウェハ Wを搬送す る搬送ライン 33が配置されている。この搬送ライン 33の一端に未露光の多数のゥェ ハ Wを収納するウェハキャリア 34と、露光処理及び現像処理を終えた多数のウェハ Wを収納するウェハキャリア 35とが配置されており、搬送ライン 33の他端に露光装置 30のチャンバ側面のシャツタ付きの搬送口(不図示)が設置されてレ、る。  A transfer line 33 for transferring the wafer W is disposed in the coater / developer section 31 so as to cross the central portion thereof. At one end of the transfer line 33, a wafer carrier 34 for storing a large number of unexposed wafers W and a wafer carrier 35 for storing a large number of wafers W that have been exposed and developed are arranged. At the other end of the line 33, a transfer port (not shown) with a shutter on the side of the chamber of the exposure apparatus 30 is installed.
[0027] また、コータ 'デベロッパ部 31に設けられた搬送ライン 33の一方の側面に沿ってコ ータ部 36が設けられており、他方の側面に沿ってデベロツバ部 37が設けられている 。コータ部 36は、ウェハキャリア 34から露光装置 30に向けて、ウェハ Wにフォトレジ ストを塗布するレジストコータ 36a、そのウェハ W上のフォトレジストをプリベータする ためのホットプレートからなるプリベータ装置 36b、及びプリベータされたウェハ Wを 冷却するためのクーリング装置 36cが設置されている。 A coater section 36 is provided along one side surface of the transport line 33 provided in the coater / developer section 31, and a developer cover section 37 is provided along the other side surface. The coater unit 36 is a resist coater 36a for applying a photoresist to the wafer W from the wafer carrier 34 to the exposure apparatus 30, and pre-betas the photoresist on the wafer W. A pre-beta unit 36b composed of a hot plate and a cooling unit 36c for cooling the pre-wafered wafer W are installed.
[0028] デベロッパ部 37は、露光装置 30からウェハキャリア 35に向けて、露光処理後のゥ ェハ W上のフォトレジストをべ一キングする、即ちいわゆる PEB (Post-Exposure Bake)を行うためのポストペータ装置 37a、 PEBが行われたウェハ Wを冷却するため のクーリング装置 37b、及びウェハ W上のフォトレジストの現像を行うための現像装置 37cが設置されている。更に、本実施形態では、現像装置 37cで現像されたウェハ に形成されたフォトレジストのパターン(レジストパターン)の形状を測定する測定装置 38がインライン設置されている。測定装置 38は、ウェハ W上に形成されているレジス トパターンの形状 (例えばパターンの線幅、パターンの重ね合わせ誤差等)を測定す るためのものである。 [0028] The developer unit 37 blanks the photoresist on the wafer W after the exposure processing from the exposure apparatus 30 to the wafer carrier 35, that is, performs a so-called PEB (Post-Exposure Bake). A post-peeter device 37a, a cooling device 37b for cooling the wafer W on which PEB has been performed, and a developing device 37c for developing the photoresist on the wafer W are provided. Further, in this embodiment, a measuring device 38 for measuring the shape of a photoresist pattern (resist pattern) formed on the wafer developed by the developing device 37c is installed inline. The measuring device 38 is for measuring the shape of the resist pattern formed on the wafer W (for example, the line width of the pattern, the pattern overlay error, etc.).
[0029] 露光装置 30、コータ部 36及びデベロツバ部 37、測定装置 38、及び制御コンビュ ータ 32は、有線又は無線で接続されており、各々の処理開始又は処理終了を示す 信号が送受信される。また、これらの装置の装置状態を示す装置データ及び測定装 置 38による測定結果は制御コンピュータ 32へ出力され、制御コンピュータ 32内に設 けられるハードディスク等の記憶装置に記録される。  The exposure apparatus 30, the coater section 36 and the developer section 37, the measurement apparatus 38, and the control computer 32 are connected by wire or wirelessly, and a signal indicating the start or end of each process is transmitted and received. . Further, the device data indicating the device status of these devices and the measurement results by the measuring device 38 are output to the control computer 32 and recorded in a storage device such as a hard disk provided in the control computer 32.
[0030] 露光装置 30は、ウェハベース 86 (図 3参照)上を 2次元的に移動するウェハステー ジ 85を備えており、露光対像のウェハ Wはウェハホルダ 84を介してウェハステージ 8 5上に保持される。また、露光装置 30内には、コータ 'デベロッパ部 31に設けられた 搬送ライン 33の中心軸の延長線にほぼ沿うように第 1ガイド部材 39が配置され、第 1 ガイド部材 39の端部の上方に直交するように、第 2ガイド部材 40が配置されている。  The exposure apparatus 30 includes a wafer stage 85 that moves two-dimensionally on a wafer base 86 (see FIG. 3). A wafer W for exposure is placed on a wafer stage 85 via a wafer holder 84. Will be retained. In the exposure apparatus 30, a first guide member 39 is disposed substantially along the extension of the center axis of the transfer line 33 provided in the coater / developer section 31, and an end of the first guide member 39 is provided. The second guide member 40 is arranged to be orthogonal to the upper side.
[0031] 第 1ガイド部材 39には第 1ガイド部材 39に沿って摺動可能に構成されたスライダ 41 が配置されており、このスライダ 41には回転及び上下動自在にウェハ Wを保持する 第 1アーム 42が設置されている。また、第 2ガイド部材 40にはウェハ Wを保持した状 態で第 2ガイド部材 40に沿って摺動可能に構成された第 2アーム 43が配置されてい る。第 2ガイド部材 40は、ウェハステージ 85のウェハのローデイング位置まで延びて おり、第 2アーム 43には第 2ガイド部材 40に直交する方向にスライドする機構も備え られている。 [0032] また、第 1ガイド部材 39と第 2ガイド部材 40とが交差する位置の近傍にウェハ Wの ブリアライメントを行うために回転及び上下動ができる受け渡しピン 44が設置され、受 け渡しピン 44の周囲にウェハ Wの外周部の切り欠き部(ノッチ部)及び 2箇所のエツ ジ部の位置又はウェハ Wの外周部に形成されたオリエンテーションフラットを検出す るための位置検出装置 (不図示)が設置されている。第 1ガイド部材 39、第 2ガイド部 材 40、スライダ 41、第 1アーム 42、第 2アーム 43、及び受け渡しピン 44等からウェハ ローダ系が構成されている。 [0031] A slider 41 configured to be slidable along the first guide member 39 is disposed on the first guide member 39, and the slider 41 holds the wafer W rotatably and vertically movable. One arm 42 is installed. In addition, a second arm 43 configured to be slidable along the second guide member 40 while holding the wafer W is disposed on the second guide member 40. The second guide member 40 extends to the wafer loading position of the wafer stage 85, and the second arm 43 is also provided with a mechanism that slides in a direction perpendicular to the second guide member 40. [0032] A transfer pin 44 that can rotate and move up and down to perform the wafer W alignment is installed near the position where the first guide member 39 and the second guide member 40 intersect. A position detector (not shown) for detecting notches (notches) in the outer peripheral portion of the wafer W and two edge portions around the 44 or an orientation flat formed on the outer peripheral portion of the wafer W ) Is installed. The first guide member 39, the second guide member 40, the slider 41, the first arm 42, the second arm 43, the transfer pin 44, and the like constitute a wafer loader system.
[0033] 上記構成における基板処理装置 11がウェハ Wに対する処理を行うときの動作につ いて簡単に説明する。まず、図 1中のホストコンピュータ 12から内部ネットワーク LN1 を介して基板処理装置 11が備える制御コンピュータ 32に処理開始命令が出力され る。制御コンピュータ 32はこの処理開始命令に基づいて、露光装置 30、コータ部 36 、及びデベロツバ部 37に各種の制御信号を出力する。この制御信号が出力されると 、ウェハキャリア 34から取り出された 1枚のウェハは、搬送ライン 33を経てレジストコ ータ 36aに搬送されてフォトレジストが塗布され、順次搬送ライン 33に沿ってプリべ一 ク装置 36b及びクーリング装置 36cを経て露光装置 30の第 1アーム 42に受け渡され る。  An operation when the substrate processing apparatus 11 having the above configuration performs a process on the wafer W will be briefly described. First, a processing start command is output from the host computer 12 in FIG. 1 to the control computer 32 included in the substrate processing apparatus 11 via the internal network LN1. The control computer 32 outputs various control signals to the exposure device 30, the coater unit 36, and the developer unit 37 based on the processing start command. When this control signal is output, one wafer taken out of the wafer carrier 34 is transferred to a resist coater 36a via a transfer line 33, coated with photoresist, and pre-pressed sequentially along the transfer line 33. It is delivered to the first arm 42 of the exposure device 30 via the lock device 36b and the cooling device 36c.
[0034] その後、スライダ 41が第 1ガイド部材 39に沿って受け渡しピン 44の近傍に達すると 、第 1アーム 42が回転して、フォトレジストが塗布されたウェハ Wが第 1アーム 42から 受け渡しピン 44上の位置 Aに受け渡されて、ここでウェハの外形基準で中心位置及 び回転角の調整(プリアライメント)が行われる。その後、ウェハ Wは第 2アーム 43に 受け渡されて第 2ガイド部材 40に沿ってウェハのローデイング位置まで搬送され、そ こでウェハステージ 85上のウェハホルダ 84にロードされる。そして、そのウェハ W上 の各ショット領域に対してレチクルの所定のデバイスパターンを介して露光が行われ る。  Thereafter, when the slider 41 reaches the vicinity of the transfer pin 44 along the first guide member 39, the first arm 42 rotates, and the wafer W coated with the photoresist is transferred from the first arm 42 to the transfer pin. The wafer is transferred to position A on 44, where the center position and the rotation angle are adjusted (pre-alignment) based on the outer shape of the wafer. Thereafter, the wafer W is transferred to the second arm 43, transported to the wafer loading position along the second guide member 40, and is loaded on the wafer holder 84 on the wafer stage 85. Then, each shot region on the wafer W is exposed through a predetermined device pattern of the reticle.
[0035] 露光処理を終えたウェハ Wは、第 2ガイド部材 40及び第 1ガイド部材 39に沿ってコ ータ 'デベロツバ部 31の搬送ライン 33まで搬送された後、搬送ライン 33に沿って順 次ポストペータ装置 37a及びクーリング装置 37bを経て現像装置 37cに送られる。そ して、現像装置 37cで現像が行われたウェハ Wの各ショット領域に、レチクルのデバ イスパターンに対応した凹凸のレジストパターンが形成される。このように現像が行わ れたウェハ Wは、必要に応じて形成されたパターンの線幅、重ね合わせ誤差等が測 定装置 38で検査され、搬送ライン 33に沿ってウェハキャリア 35に収納される。このリ ソグラフイエ程の終了後にウェハキャリア 35内の例えば 1ロットのウェハは、例えばェ ツチング又はイオン注入等のパターン形成工程及びレジスト剥離工程等を実行する 製造ラインに搬送される。 The wafer W that has been subjected to the exposure processing is transferred along the second guide member 40 and the first guide member 39 to the transfer line 33 of the coater / developing unit 31, and then sequentially transferred along the transfer line 33. Next, the toner is sent to the developing device 37c via the post-poster device 37a and the cooling device 37b. Then, a reticle device is placed on each shot area of wafer W developed by developing device 37c. An uneven resist pattern corresponding to the chair pattern is formed. The wafer W thus developed is inspected by a measuring device 38 for the line width and overlay error of the pattern formed as necessary, and stored in a wafer carrier 35 along a transport line 33. . After the lithography process is completed, a wafer of, for example, one lot in the wafer carrier 35 is transferred to a production line that executes a pattern forming step such as etching or ion implantation and a resist stripping step.
[0036] 次に、基板処理装置 11に設けられる露光装置 30について説明する。図 3は、この 基板処理装置が備える露光装置の構成を示す図である。本実施形態においてはス テツプ 'アンド 'スキャン方式の露光装置を用いた場合を例に挙げて説明する。尚、以 下の説明においては、図中に示した XYZ直交座標系を設定し、この XYZ直交座標 系を参照しつつ各部材の位置関係について説明する。 XYZ直交座標系は、 Y軸及 び Z軸が紙面に対して平行となるよう設定され、 X軸が紙面に対して垂直となる方向 に設定されている。図中の XYZ直交座標系は、実際には XY平面が水平面に平行 な面に設定され、 Z軸が鉛直上方向に設定される。 Y軸に沿う方向がスキャン(走査) 方向である。 Next, the exposure apparatus 30 provided in the substrate processing apparatus 11 will be described. FIG. 3 is a diagram showing a configuration of an exposure apparatus provided in the substrate processing apparatus. In the present embodiment, a case where an exposure apparatus of a step 'and' scan method is used will be described as an example. In the following description, the XYZ orthogonal coordinate system shown in the figure is set, and the positional relationship of each member will be described with reference to the XYZ orthogonal coordinate system. In the XYZ rectangular coordinate system, the Y axis and the Z axis are set so as to be parallel to the paper surface, and the X axis is set so as to be perpendicular to the paper surface. In the XYZ orthogonal coordinate system in the figure, the XY plane is actually set as a plane parallel to the horizontal plane, and the Z axis is set vertically upward. The direction along the Y axis is the scan direction.
[0037] 図 3において、 51は露光光源であり、この露光光源 51としては断面が略長方形状 の平行光束である露光光 ILを射出する ArFエキシマレーザ光源(波長 193nm)であ る。この露光光源 51としては、これ以外に、例えば g線(波長 436nm)、 i線(波長 365 nm)を射出する超高圧水銀ランプ、又は KrFエキシマレーザ(波長 248nm)、 Fレ  In FIG. 3, reference numeral 51 denotes an exposure light source. The exposure light source 51 is an ArF excimer laser light source (wavelength: 193 nm) that emits exposure light IL that is a parallel light beam having a substantially rectangular cross section. The exposure light source 51 may be, for example, an ultra-high pressure mercury lamp that emits g-line (wavelength 436 nm) or i-line (wavelength 365 nm), a KrF excimer laser (wavelength 248 nm), or an F
2 一ザ(波長 157nm)、 Krレーザ(波長 146nm)、 YAGレーザの高周波発生装置、  2 High-frequency generators for Kaza (wavelength 157 nm), Kr laser (wavelength 146 nm), YAG laser,
2  2
若しくは半導体レーザの高周波発生装置を用いることができる。  Alternatively, a high frequency generator of a semiconductor laser can be used.
[0038] 露光光源 51からの波長 193nmの紫外パルスよりなる露光光 IL (露光ビーム)は、 ビームマッチングユニット(BMU) 52を通り、光アツテネータとしての可変減光器 53 に入射する。ウェハ上のフォトレジストに対する露光量を制御するための露光制御ュ ニット 73が、露光光源 51の発光の開始及び停止、並びに出力(発振周波数、パルス エネルギー)を制御すると共に、可変減光器 53における減光率を段階的、又は連続 的に調整する。  [0038] Exposure light IL (exposure beam) composed of an ultraviolet pulse having a wavelength of 193 nm from the exposure light source 51 passes through a beam matching unit (BMU) 52 and enters a variable attenuator 53 as an optical attenuator. An exposure control unit 73 for controlling the amount of exposure to the photoresist on the wafer controls the start and stop of the light emission of the exposure light source 51 and the output (oscillation frequency and pulse energy). Adjust the dimming rate stepwise or continuously.
[0039] 可変減光器 53を通った露光光 ILは、レンズ系 54a, 54bよりなるビーム成形系 55 を経て第 1段のオプティカル 'インテグレータ(ュニフォマイザ、又はホモジナイザ)とし ての第 1フライアイレンズ 56に入射する。この第 1フライアイレンズ 56から射出された 露光光 ILは、第 1レンズ系 57a、光路折り曲げ用のミラー 58、及び第 2レンズ系 57b を介して第 2段のオプティカル 'インテグレータとしての第 2フライアイレンズ 59に入射 する。 [0039] The exposure light IL that has passed through the variable dimmer 53 is converted into a beam forming system 55 composed of lens systems 54a and 54b. After that, the light enters a first fly-eye lens 56 as a first-stage optical integrator (uniformizer or homogenizer). The exposure light IL emitted from the first fly-eye lens 56 passes through a first lens system 57a, a mirror 58 for bending the optical path, and a second lens system 57b as a second stage optical integrator. Light enters the eye lens 59.
[0040] 第 2フライアイレンズ 59の射出面、即ちレチクル Rのパターン面に対する光学的な フーリエ変換面(照明系の瞳面)には開口絞り板 60が、駆動モータ 60aによって回転 自在に配置されている。開口絞り板 60には、通常照明用の円形の開口絞り、輪帯照 明用の開口絞り、及び複数 (例えば 4極)の偏心した小開口よりなる変形照明用の開 口絞りや小さレ、コヒーレンスファクタ( σ値)用の小円形の開口絞り等が切り換え自在 に配置されている。露光装置 30の全体の動作を統括制御する主制御系 74が駆動モ ータ 60aを介して開口絞り板 60を回転して、照明条件を設定する。  [0040] An aperture stop plate 60 is rotatably arranged by a drive motor 60a on the exit surface of the second fly-eye lens 59, that is, on the optical Fourier transform surface (pupil surface of the illumination system) with respect to the pattern surface of the reticle R. ing. The aperture stop plate 60 includes a circular aperture stop for normal illumination, an aperture stop for annular illumination, and an aperture stop or small aperture for modified illumination comprising a plurality of (eg, four poles) eccentric small apertures. A small circular aperture stop or the like for a coherence factor (σ value) is arranged to be switchable. A main control system 74 that controls the overall operation of the exposure apparatus 30 rotates the aperture stop plate 60 via the drive motor 60a to set the illumination conditions.
[0041] 図 3において、第 2フライアイレンズ 59から射出されて開口絞り板 60に形成された 何れかの開口絞りを通過した露光光 ILは、透過率が高く反射率が低いビームスプリ ッタ 61に入射する。ビームスプリッタ 61で反射された露光光は、集光用のレンズ 71を 介して光電検出器よりなるインテグレータセンサ 72に入射し、インテグレータセンサ 7 2の検出信号は露光制御ユニット 73に供始されている。インテグレータセンサ 72の検 出信号とウェハ W上での露光光 ILの照度との関係は予め高精度に計測されて、露 光制御ユニット 73内のメモリに記憶されている。露光制御ユニット 73は、インテグレー タセンサ 72の検出信号より間接的にウェハ Wに対する露光光 ILの照度(平均値)、 及びその積分値をモニタできるように構成されてレ、る。  In FIG. 3, the exposure light IL emitted from the second fly-eye lens 59 and passing through one of the aperture stops formed on the aperture stop plate 60 has a high transmittance and a low reflectance. It is incident on 61. The exposure light reflected by the beam splitter 61 is incident on an integrator sensor 72 composed of a photoelectric detector via a condensing lens 71, and a detection signal of the integrator sensor 72 is sent to an exposure control unit 73. . The relationship between the detection signal of the integrator sensor 72 and the illuminance of the exposure light IL on the wafer W is measured with high precision in advance and stored in a memory in the exposure control unit 73. The exposure control unit 73 is configured to monitor the illuminance (average value) of the exposure light IL on the wafer W indirectly from the detection signal of the integrator sensor 72 and the integrated value thereof.
[0042] ビームスプリッタ 61を透過した露光光 ILは、光軸 IAXに沿ってレンズ系 62, 63を順 次経て、固定ブラインド(固定照明視野絞り) 64及び可動ブラインド(可動照明視野 絞り) 65に入射する。後者の可動ブラインド 65はレチクル面に対する共役面に設置 され、前者の固定ブラインド 64はその共役面から所定量だけデフォーカスした面に 配置されている。固定ブラインド 64は、投影光学系 PLの円形視野内の中央で走查 露光方向と直交した方向に直線スリット状、又は矩形状 (以下、まとめて「スリット状」と いう)に伸びるように配置された開口部を有する。 [0043] 固定ブラインド 64及び可動ブラインド 65を通過した露光光 ILは、光路折り曲げ用 のミラー 66、結像用のレンズ系 67、コンデンサレンズ 68、及び主コンデンサレンズ系 69を介して、レチクル Rのパターン面(下面)の照明領域(照明視野領域) IAを照明 する。尚、上記 BMU52 主コンデンサレンズ系 69は照明光学系 ISを構成する。露 光光 ILのもとで、レチクル Rの照明領域 IA内の回路パターンの像が両側テレセントリ ックな投影光学系 PLを介して所定の投影倍率ひ ( aは例えば 1/4又は 1Z5等)で 、投影光学系 PLの結像面に配置されたウェハ W上のフォトレジスト層のスリット状の 露光領域に転写される。 The exposure light IL transmitted through the beam splitter 61 passes through the lens systems 62 and 63 along the optical axis IAX in order, and passes to the fixed blind (fixed illumination field stop) 64 and the movable blind (movable illumination field stop) 65. Incident. The latter movable blind 65 is placed on a conjugate plane with respect to the reticle plane, and the former fixed blind 64 is placed on a plane defocused by a predetermined amount from the conjugate plane. The fixed blind 64 is arranged so as to extend in the center of the circular visual field of the projection optical system PL in a direction perpendicular to the exposure direction and extend in a linear slit shape or a rectangular shape (hereinafter collectively referred to as a “slit shape”). Having an opening. The exposure light IL that has passed through the fixed blind 64 and the movable blind 65 passes through the mirror 66 for bending the optical path, the lens system 67 for imaging, the condenser lens 68, and the Illuminates the illumination area (illumination visual field area) IA on the pattern surface (lower surface). The BMU 52 main condenser lens system 69 constitutes the illumination optical system IS. Under the exposure light IL, the image of the circuit pattern in the illumination area IA of the reticle R is projected at a predetermined projection magnification (a is, for example, 1/4 or 1Z5) through a projection optical system PL that is telecentric on both sides. Then, the light is transferred to the slit-shaped exposure area of the photoresist layer on the wafer W arranged on the image plane of the projection optical system PL.
[0044] 図 3において、レチクル Rは、レチクルステージ 81上に吸着保持され、レチクルステ ージ 81は、レチクルベース 82上で Y方向に等速移動できると共に、 X方向、 Y方向、 回転方向に傾斜できるように載置されている。レチクルステージ 81 (レチクル R)の 2 次元的な位置及び回転角は駆動制御ユニット 83内のレーザ干渉計によってリアルタ ィムに計測されてレ、る。この計測結果、及び主制御系 74からの制御情報に基づレ、て 、駆動制御ユニット 83内の駆動モータ(リニアモータやボイスコイルモータ等)は、レ チクルステージ 81の走査速度、及び位置の制御を行う。  In FIG. 3, reticle R is held by suction on reticle stage 81, and reticle stage 81 can move at a constant speed in the Y direction on reticle base 82 and tilt in the X, Y, and rotation directions. It is placed so that it can be done. The two-dimensional position and rotation angle of the reticle stage 81 (reticle R) are measured by a laser interferometer in the drive control unit 83 in real time. Based on this measurement result and the control information from the main control system 74, the drive motor (linear motor, voice coil motor, etc.) in the drive control unit 83 is used to control the scanning speed and position of the reticle stage 81. Perform control.
[0045] 一方、ウェハ Wは、ウェハホルダ 84を介してウェハステージ 85上に吸着保持され、 ウェハステージ 85は、ウェハベース 86上で投影光学系 PLの像面と平行な XY平面 に沿って 2次元移動する。即ち、ウェハステージ 85は、ウェハベース 86上で Y方向 に一定速度で移動すると共に、 X方向、 Y方向にステップ移動する。更に、ウェハス テージ 85には、ウェハ Wの Z方向の位置(フォーカス位置)、並びに X軸及び Y軸の 回りの傾斜角を制御する Zレべリング機構も組み込まれてレ、る。  On the other hand, the wafer W is held by suction on a wafer stage 85 via a wafer holder 84, and the wafer stage 85 is two-dimensionally moved along an XY plane parallel to the image plane of the projection optical system PL on a wafer base 86. Moving. That is, the wafer stage 85 moves at a constant speed in the Y direction on the wafer base 86, and moves stepwise in the X direction and the Y direction. Further, the wafer stage 85 also incorporates a Z leveling mechanism for controlling the position (focus position) of the wafer W in the Z direction and the tilt angle around the X axis and the Y axis.
[0046] ウェハステージ 85の X方向、 Y方向の位置、及び X軸、 Y軸、 Z軸の回りの回転角 は駆動制御ユニット 87内のレーザ干渉計によってリアルタイムに計測されている。こ の計測結果及び主制御系 74からの制御情報に基づいて、駆動制御ユニット 87内の 駆動モータ(リニアモータ等)は、ウェハステージ 85の走查速度、及び位置の制御を 行う。また、ウェハステージ 85上の一端には、投影光学系 PLを介してウェハ W上の 露光領域に照射される露光光 ILの照度(光量)を検出する照度センサ 88が固定され ている。 [0047] この照度センサ 88は、例えばピンホールが形成された筐体を有し、このピンホーノレ の形成位置に受光素子の受光面が配置されたセンサであり、ピンホールを介して入 射する露光光 ILの照度(光量)を検出する。照度センサ 88の検出信号は露光制御ュ ニット 73に供給されている。ウェハステージ 85上に露光光 ILが照射されている状態 で、照度センサ 88を露光領域内で移動させることにより、露光光 ILの照度むら(光量 むら)及び積算光量むらを計測することができる。照度センサ 88を用いた照度、この 照度むら、及び積算光量むらの測定は、定期的に又は不定期に実行される。 The positions of the wafer stage 85 in the X and Y directions and the rotation angles around the X, Y, and Z axes are measured in real time by a laser interferometer in the drive control unit 87. Based on the measurement result and the control information from the main control system 74, the drive motor (such as a linear motor) in the drive control unit 87 controls the running speed and position of the wafer stage 85. At one end on the wafer stage 85, an illuminance sensor 88 for detecting the illuminance (light amount) of the exposure light IL applied to the exposure area on the wafer W via the projection optical system PL is fixed. The illuminance sensor 88 has, for example, a housing in which a pinhole is formed, and is a sensor in which a light receiving surface of a light receiving element is arranged at a position where the pinhole is formed. Detects the illuminance (light amount) of light IL. The detection signal of the illuminance sensor 88 is supplied to the exposure control unit 73. By moving the illuminance sensor 88 within the exposure area while the exposure light IL is being irradiated onto the wafer stage 85, the illuminance unevenness (light amount unevenness) and the integrated light amount unevenness of the exposure light IL can be measured. The measurement of the illuminance, the illuminance unevenness, and the integrated light amount unevenness using the illuminance sensor 88 is performed regularly or irregularly.
[0048] また、投影光学系 PLの側面に、ウェハ Wの表面 (被検面)の複数の計測点に斜め にスリット光を投影する投射光学系 89aと、その被検面からの反射光を受光してそれ らの複数の計測点のフォーカス位置に対応するフォーカス信号を生成する受光光学 系 89bと力 なる多点のオートフォーカスセンサも設けられており、それらのフォーカス 信号が主制御系 74中に供給されてレ、る。  [0048] Further, a projection optical system 89a that projects slit light obliquely to a plurality of measurement points on the surface of the wafer W (the surface to be inspected) on the side surface of the projection optical system PL, and reflects the light reflected from the surface to be inspected. A light receiving optical system 89b that receives light and generates focus signals corresponding to the focus positions of the plurality of measurement points, and a multipoint autofocus sensor that is powerful, are also provided. Supplied to
[0049] また、走査露光を行う際には、予めレチクル Rとウェハ Wとのァライメントを行ってお く必要がある。そのため、レチクルステージ 81上にはレチクル Rのァライメントマーク( レチクルマーク)の位置を計測するレチクルァライメント顕微鏡(不図示)が設置され ている。更に、ウェハ W上のァライメントマーク(ウェハマーク)の位置を計測するため に、投影光学系 PLの側面にオフ 'ァクシスで画像処理方式 (FIA方式: Field Imag e Alignment 方式)のァライメントセンサ 90が設置されている。ァライメントセンサ 9 0は、例えばハロゲンランプ等からの比較的広い波長域の照明光でウェハ W上のァ ライメントマークを照明して CCD (Charge Coupled Device)等の撮像素子でその 像を撮像するものである。ァライメントセンサ 90で得られた画像信号は主制御系 74に 供給されて画像処理が施され、位置情報が計測される。  When performing scanning exposure, it is necessary to perform alignment between reticle R and wafer W in advance. For this purpose, a reticle alignment microscope (not shown) for measuring the position of an alignment mark (reticle mark) of reticle R is provided on reticle stage 81. Furthermore, in order to measure the position of the alignment mark (wafer mark) on the wafer W, an alignment sensor of an off-axis image processing method (FIA method: Field Image Alignment method) is provided on the side of the projection optical system PL. Is installed. The alignment sensor 90 illuminates the alignment mark on the wafer W with illumination light of a relatively wide wavelength range from, for example, a halogen lamp or the like, and captures the image with an imaging device such as a CCD (Charge Coupled Device). It is. The image signal obtained by the alignment sensor 90 is supplied to the main control system 74, where the image signal is processed, and the position information is measured.
[0050] 主制御系 74は、図 2に示した制御コンピュータ 32に設けられており、ウェハ W上の 各ショット領域のフォトレジストを適正露光量で走查露光するための各種露光条件を 露光データファイルより読み出して、露光制御ユニット 73とも連携して最適な露光シ 一ケンスを実行する。露光処理が開始されると、主制御系 74は、レチクルステージ 8 1及びウェハステージ 85のそれぞれの移動位置、移動速度、移動加速度、位置オフ セット等の各種情報を駆動制御ユニット 83, 87に送る。これにより、レチクルステージ 81及びウェハステージ 85の加速が開始される。また、主制御系 74は露光制御ュニ ット 73に対しても走査露光開始指令を発する。 The main control system 74 is provided in the control computer 32 shown in FIG. 2, and performs various exposure conditions for running and exposing the photoresist in each shot area on the wafer W with an appropriate exposure amount. It reads from the file and executes the optimal exposure sequence in cooperation with the exposure control unit 73. When the exposure processing is started, the main control system 74 sends various information such as the moving position, moving speed, moving acceleration, and position offset of the reticle stage 81 and the wafer stage 85 to the drive control units 83 and 87. . This allows the reticle stage Acceleration of 81 and wafer stage 85 is started. The main control system 74 also issues a scanning exposure start command to the exposure control unit 73.
[0051] レチクルステージ 81及びウェハステージ 85の加速が終了して速度が一定になると 、露光制御ユニット 73は露光光源 51の発光を開始すると共に、インテグレータセン サ 72を介してウェハ Wに対する露光光 ILの照度(単位時間当たりのパルスエネルギ 一の和)の積分値を算出する。その積分値は走查露光開始時に 0にリセットされてい る。走查露光中は、レチクルステージ 81を介して露光光 ILの照明領域 IAに対してレ チクル Rが + Y方向(又は一 Y方向)に速度 Vrで走査されるのに同期して、ウェハステ ージ 85を介してレチクル Rのパターン像の露光領域に対してウェハ Wがー Y方向(又 は +Y方向)に速度ひ 'Vr (ひはレチクル Rからウェハ Wへの投影倍率)で走査される 。レチクル Rとウェハ Wとの移動方向が逆であるのは、本例の投影光学系 PLが反転 投影を行うためである。 When the acceleration of the reticle stage 81 and the wafer stage 85 ends and the speeds become constant, the exposure control unit 73 starts the emission of the exposure light source 51, and the exposure light IL for the wafer W via the integrator sensor 72. Calculate the integrated value of the illuminance (the sum of one pulse energy per unit time). The integrated value is reset to 0 at the start of scanning exposure. During scanning exposure, the reticle R scans the illumination area IA of the exposure light IL via the reticle stage 81 in the + Y direction (or one Y direction) at a speed Vr, and the wafer stage is synchronized. The wafer W is scanned in the -Y direction (or + Y direction) at a speed Vr (the projection magnification from the reticle R to the wafer W) with respect to the exposure area of the reticle R pattern image via the edge 85. . The movement directions of the reticle R and the wafer W are opposite because the projection optical system PL of this example performs reverse projection.
[0052] 走査露光中は、露光制御ユニット 73において、露光光 ILの照度の積分値が逐次 算出され、この結果に応じて、走査露光後のウェハ W上のフォトレジストの各点で適 正露光量が得られるように、露光光源 51の出力(発振周波数、及びパルスエネルギ 一)及び可変減光器 53の減光率を制御する。そして、当該ショット領域への走査露 光の終了時に、露光光源 51の発光が停止される。この動作が繰り返されることにより 、ウェハ W上に設定された複数のショット領域に対して露光処理が行われる。  During the scanning exposure, the exposure control unit 73 sequentially calculates the integrated value of the illuminance of the exposure light IL, and according to the result, performs appropriate exposure at each point of the photoresist on the wafer W after the scanning exposure. The output of the exposure light source 51 (oscillation frequency and pulse energy) and the dimming rate of the variable dimmer 53 are controlled so that the amount can be obtained. Then, at the end of the scanning exposure to the shot area, the emission of the exposure light source 51 is stopped. By repeating this operation, exposure processing is performed on a plurality of shot areas set on the wafer W.
[0053] また、主制御系 74には、半導体メモリ又はハードディスク等の記憶装置が設けられ ており、インテグレータセンサ 72の検出結果を示すデータ、照度センサ 88を用いて 計測して得られた照度、照度むら、及び積算光量むらを示すデータ、投影光学系 PL の残存収差を示すデータ、レチクルステージ 81とウェハステージ 85との同期誤差を 示すデータ等の各種データを装置データとして一時的に記憶する。主制御系 74は、 図 1に示す保守管理サーバ 13から装置データの送信要求があつたときに、一時的に 記憶した装置データを読み出して、内部ネットワーク LN1を介して保守管理サーバ 1 3へ出力する。  The main control system 74 is provided with a storage device such as a semiconductor memory or a hard disk. The main control system 74 has data indicating a detection result of the integrator sensor 72, illuminance obtained by measurement using the illuminance sensor 88, Various data such as data indicating uneven illuminance and uneven light quantity, data indicating residual aberration of the projection optical system PL, and data indicating a synchronization error between the reticle stage 81 and the wafer stage 85 are temporarily stored as device data. The main control system 74 reads out the temporarily stored device data when a device data transmission request is received from the maintenance management server 13 shown in FIG. 1 and outputs it to the maintenance management server 13 via the internal network LN1. I do.
[0054] 次に、図 1中の基板処理工場 10内に設けられる保守管理サーバ 13について説明 する。図 4は、保守管理サーバ 13に設けられる機能を示す機能ブロック図である。図 4に示す通り、保守管理サーバ 13は、装置データ収集部 91、装置データ解析部 92 、閾値到達時期予測部 93、保守データ送信部 94、記憶部 95、及び部品発注時期 算出部 96を含んで構成される。 Next, the maintenance management server 13 provided in the substrate processing factory 10 in FIG. 1 will be described. FIG. 4 is a functional block diagram showing functions provided in the maintenance management server 13. Figure As shown in FIG. 4, the maintenance management server 13 includes a device data collection unit 91, a device data analysis unit 92, a threshold arrival time prediction unit 93, a maintenance data transmission unit 94, a storage unit 95, and a part order time calculation unit 96. Be composed.
[0055] 上記記憶部 95は、各基板処理装置 11のメンテナンスを行うメンテナンス日及びメン テナンス時に交換する部品の発注時期を算出するための各種情報を記憶する。図 4 に示す通り、記憶部 95は、傾向関数データ Dl、性能閾値 Thl、部品調整閾値 Th2 、改善量閾値 Th3、部品納期データ D2を記憶する。図 5は、記憶部 95に記憶される 各種情報の一例を示す図である。以下、記憶部 95に記憶される各種情報の各々に ついて順に説明する。  The storage unit 95 stores various types of information for calculating a maintenance date for performing maintenance of each of the substrate processing apparatuses 11 and an order timing of parts to be replaced at the time of maintenance. As shown in FIG. 4, the storage unit 95 stores the trend function data D1, the performance threshold Th1, the component adjustment threshold Th2, the improvement amount threshold Th3, and the component delivery date data D2. FIG. 5 is a diagram showing an example of various types of information stored in the storage unit 95. Hereinafter, various types of information stored in the storage unit 95 will be described in order.
[0056] 傾向関数データ D1は、収集した装置データを解析して各基板処理装置 11の装置 状態の時間変動を予測するときに用いる近似関数を示すデータである。装置状態の 時間変動は、検查を行う検查項目毎に予めその傾向が分かっており、収集した装置 データを所定の関数を用いて近似することで、装置状態の未来の時間変動を予測す ること力 Sできる。例えば、図 3に示した露光装置 30において、ウェハ W上に照射され る露光光 ILは、時間の経過に比例して照度が低下することが分かっている。このため 、図 5Aに示す通り、検査項目の「照度」に対しては近似関数として「直線」が対応付 けられている。このように、傾向関数データ D1は、検査項目毎に、近似関数を対応 付けたデータである。  The trend function data D 1 is data indicating an approximation function used when analyzing the collected apparatus data and predicting the time variation of the apparatus state of each substrate processing apparatus 11. The trend of the device state over time is known in advance for each inspection item to be detected, and the future time variation of the device state is predicted by approximating the collected device data using a predetermined function. S power For example, in the exposure apparatus 30 shown in FIG. 3, it has been found that the illuminance of the exposure light IL irradiated onto the wafer W decreases in proportion to the passage of time. For this reason, as shown in FIG. 5A, “linearity” is associated as an approximate function with respect to “illuminance” of the inspection item. As described above, the trend function data D1 is data in which an approximation function is associated with each inspection item.
[0057] 性能閾値 Thlは、基板処理装置 11で許容される最低性能の閾値を定めるもので ある。基板処理装置 11の性能が性能閾値 Thlを越えて悪化した場合には、部品交 換等を行って所期の性能以上の性能にすることが要求される。基板処理装置 11の 性能を示す指標は種々のものがあるため、検查項目毎に性能閾値 Thlが設定され る。例えば、図 5Bに示す通り、検查項目の「照度」に対しては閾値として「P0」が設定 されている。仮に、図 3に示した露光装置 30のウェハ W上に照射される露光光 が 閾値「P0」よりも低下した場合に、部品交換等を行う必要がある。  The performance threshold value Thl defines a minimum performance threshold value allowed in the substrate processing apparatus 11. If the performance of the substrate processing apparatus 11 deteriorates beyond the performance threshold value Thl, it is required to perform a component replacement or the like to achieve a performance higher than the expected performance. Since there are various indexes indicating the performance of the substrate processing apparatus 11, the performance threshold Thl is set for each inspection item. For example, as shown in FIG. 5B, “P0” is set as a threshold value for “illuminance” of the inspection item. If the exposure light applied to the wafer W of the exposure apparatus 30 shown in FIG. 3 falls below the threshold value “P0”, it is necessary to perform component replacement or the like.
[0058] 部品調整閾値 Th2は、基板処理装置 11の性能が低下したときに、基板処理装置 1 1に設けられる部品の調整を行う目安を定める閾値である。基板処理装置 11の性能 が上記の最低性能まで劣化する前に、性能が悪化した検査項目に関連する部品の 調整を行うことにより、性能が回復することがある。例えば、図 3に示す露光装置 30に 設けられる照明光学系 ISに含まれるレンズを偏心させて露光光 ILが照射されていな い部分を用いると、露光光 ILの照度が向上することがある。部品調整閾値 Th2はこ の調整の目安を定めるものである。この部品調整閾値 Th2は、性能閾値 Thlと同様 に、検查項目毎に設定される。例えば、図 5Cに示す通り、検查項目の「照度」に対し ては閾値として「P1」が設定されている。部品調整閾値 Th2は、基板処理装置 11の 性能が上記の最低性能になる前に調整が行われるよう設定されているため、図 5C中 の閾値「P1」の値は図 5B中の閾値「P0」よりも大きな値に設定される。 [0058] The component adjustment threshold Th2 is a threshold that determines a guide for adjusting components provided in the substrate processing apparatus 11 when the performance of the substrate processing apparatus 11 is reduced. Before the performance of the substrate processing apparatus 11 deteriorates to the above-described minimum performance, the parts related to the inspection item whose performance has deteriorated can be checked. Adjustments may restore performance. For example, when a part included in the illumination optical system IS provided in the exposure apparatus 30 shown in FIG. 3 is decentered and a part not irradiated with the exposure light IL is used, the illuminance of the exposure light IL may be improved. The component adjustment threshold Th2 determines the standard of this adjustment. This component adjustment threshold Th2 is set for each inspection item, similarly to the performance threshold Thl. For example, as shown in FIG. 5C, “P1” is set as a threshold value for “illuminance” of the inspection item. Since the component adjustment threshold Th2 is set so that the adjustment is performed before the performance of the substrate processing apparatus 11 reaches the minimum performance described above, the value of the threshold “P1” in FIG. 5C is changed to the threshold “P0” in FIG. 5B. Is set to a value larger than ".
[0059] 改善量閾値 Th3は、上記の部品調整により基板処理装置 11の性能が改善された ときに、再度部品調整を行うか否力、を定める閾値である。部品調整により基板処理装 置 11の性能は改善するが、その改善量が僅力、な場合には基板処理装置 11の性能 が短時間で部品調整閾値 Th2を越えて悪化することがある。かかる状況においては 、部品調整を行っても大きな性能改善は見込めないため調整作業が無駄となり、却 つて基板処理装置 11の稼働率を低下させることになる。このため、改善量が改善量 閾値 Th3よりも小さい場合には、部品調整は行われなレ、。この改善量閾値 Th3も検 查項目毎に設定されており、例えば図 5Dに示す通り、検査項目の「照度」に対して は閾値として「A1」が設定されてレ、る。  [0059] The improvement amount threshold Th3 is a threshold that determines whether or not to perform component adjustment again when the performance of the substrate processing apparatus 11 is improved by the above-described component adjustment. Although the performance of the substrate processing apparatus 11 is improved by the component adjustment, the performance of the substrate processing apparatus 11 may exceed the component adjustment threshold value Th2 in a short time and deteriorate if the improvement is small. In such a situation, even if component adjustment is performed, a large improvement in performance cannot be expected, so that the adjustment work is wasted and the operation rate of the substrate processing apparatus 11 is reduced. Therefore, if the improvement amount is smaller than the improvement amount threshold Th3, no component adjustment is performed. This improvement amount threshold Th3 is also set for each inspection item. For example, as shown in FIG. 5D, “A1” is set as a threshold for “illuminance” of the inspection item.
[0060] 部品納期データ D2は、部品を発注してから取り寄せまでに要する期間を示すデー タである。基板処理装置 11の部品の交換を行うときに、交換する部品が手元になけ れば交換作業を行うことができず部品を入手するまで基板処理装置 11を稼働するこ とができないという事態になる。かかる事態が生ずるのを防止するため、部品納期デ ータ D2は、メンテナンス日において交換すべき部品が用意されているように部品の 発注時期を算出するために用レ、られる。この部品納期データ D2も検査項目毎に設 定されており、例えば図 5Eに示す通り、検查項目の「照度」に対しては対象部品とし て「レンズ」が設定されており、また納期として「2ヶ月」が設定されてレ、る。  [0060] The parts delivery date data D2 is data indicating a period required from ordering parts to ordering them. When replacing parts of the substrate processing apparatus 11, if the parts to be replaced are not at hand, the replacement work cannot be performed and the substrate processing apparatus 11 cannot be operated until the parts are obtained. . In order to prevent such a situation from occurring, the parts delivery date data D2 is used to calculate the ordering time of parts so that parts to be replaced are prepared on the maintenance day. This parts delivery date data D2 is also set for each inspection item. For example, as shown in FIG. 5E, for the inspection item "illuminance", "lens" is set as a target part, and as the delivery date "2 months" is set.
[0061] 再度、図 4を参照する。保守管理サーバ 13に設けられる装置データ収集部 91は、 基板処理工場 10内に設けられた基板処理装置 11の各々に対して装置データの送 信要求を送出し、この送信要求に応答して返信される装置データを収集する。また、 装置データ収集部 91は、収集した装置データを一定期間記憶する。装置データ解 析部 92は、記憶部 95内に記憶された傾向関数データ D 1を用レ、て装置データ収集 部 91で収集された装置データを解析し、各基板処理装置 11の装置状態の時間変 動を予測する。 FIG. 4 is referred to again. The equipment data collection unit 91 provided in the maintenance management server 13 sends a transmission request of equipment data to each of the substrate processing equipments 11 provided in the substrate processing factory 10, and returns in response to the transmission request. Collected device data. Also, The device data collection unit 91 stores the collected device data for a certain period. The device data analysis unit 92 analyzes the device data collected by the device data collection unit 91 using the trend function data D1 stored in the storage unit 95, and obtains the device status of each substrate processing device 11. Predict time fluctuations.
[0062] 閾値到達時期予測部 93は、装置データ解析部 92で予測された時間変動が性能 閾値 Thlを越えて悪化する時期、及び装置データ解析部 92で予測された時間変動 が部品調整閾値 Th2を越えて悪化する時期を予測する。また、部品調整を行って得 られた改善量が改善量閾値 Th3よりも小さいか否力 ^判断する。閾値到達時期予測 部 93によって予測された時期は基板処理装置 11の部品の交換又は調整を行うメン テナンス日である。保守データ送信部 94は、閾値到達時期予測部 93によって予測 されたメンテナンス日及びメンテナンスの内容を含む保守データを、部品発注時期算 出部 96で算出された部品発注時期が到来したときにネットワーク Nを介して管理セン ター 20に送信する。  [0062] The threshold arrival time prediction unit 93 determines whether the time variation predicted by the device data analysis unit 92 deteriorates beyond the performance threshold Th1 and the time variation predicted by the device data analysis unit 92 is the component adjustment threshold Th2. Predict when to worsen beyond. Also, it is determined whether or not the improvement obtained by performing the component adjustment is smaller than the improvement threshold Th3. The time predicted by the threshold arrival time prediction unit 93 is a maintenance date at which parts of the substrate processing apparatus 11 are replaced or adjusted. The maintenance data transmission unit 94 transmits the maintenance data including the maintenance date and the contents of the maintenance predicted by the threshold arrival time prediction unit 93 to the network N when the part order time calculated by the part order time calculation unit 96 arrives. To the management center 20 via.
[0063] 部品発注時期算出部 96は、閾値到達時期予測部 93によって求められたメンテナ ンス日に行われるメンテナンスの内容力 部品交換を伴うものである場合には、求め られたメンテナンス日と部品納期データ D2とに基づいて、部品を発注する部品発注 時期を算出する。算出された部品発注時期は保守データ送信部 94に出力される。  [0063] The parts ordering time calculation unit 96 is configured to calculate the contents of the maintenance performed on the maintenance day calculated by the threshold arrival time prediction unit 93. Based on the data D2, the part order timing for ordering parts is calculated. The calculated part ordering time is output to the maintenance data transmitting unit 94.
[0064] 次に、管理センター 20に設けられる管理サーバ 21について説明する。図 6は、管 理サーバ 21に設けられる機能を示す機能ブロック図である。図 6に示す通り、管理サ ーバ 21は、保守データ受信部 101、部品発注部 102、スケジューリング部 103、及 び記憶部 104を含んで構成される。  Next, the management server 21 provided in the management center 20 will be described. FIG. 6 is a functional block diagram showing functions provided in the management server 21. As shown in FIG. 6, the management server 21 includes a maintenance data receiving unit 101, a parts ordering unit 102, a scheduling unit 103, and a storage unit 104.
[0065] 上記記憶部 104は、部品在庫データ D11及びサービスマンデータ D12を記憶する 。図 7は、記憶部 104に記憶される各種情報の一例を示す図である。部品在庫デー タ D11は、図 7Aに示す通り、基板処理装置 11の部品毎の在庫状況を示すデータで あり、例えば部品名「レンズ」については在庫が「0」である旨を示すデータ、部品名「 光源ガス」につレ、ては在庫が「2」である旨を示すデータが格納される。  [0065] The storage unit 104 stores parts inventory data D11 and serviceman data D12. FIG. 7 is a diagram illustrating an example of various types of information stored in the storage unit 104. As shown in FIG. 7A, the component inventory data D11 is data indicating the inventory status of each component of the substrate processing apparatus 11. For example, for the component name "lens", data indicating that the inventory is "0", Data indicating that the inventory is “2” is stored under the name “light source gas”.
[0066] また、サービスマンデータ D12は、基板処理装置 11のメンテナンスを行うサービス マンに関するデータであり、図 7Bに示す通りサービスマン毎の技能(スキル)を示す スキルデータと、図 7Cに示す通りサービスマン毎のスケジュールを示すスケジ一リン グデータとからなる。各サービスマンには一意に定まる作業員 IDが付されており、こ の作業員 IDを用いてサービスマンの管理が行われる。 [0066] The serviceman data D12 is data relating to a serviceman who performs maintenance of the substrate processing apparatus 11, and indicates the skill (skill) of each serviceman as shown in FIG. 7B. It consists of skill data and scheduling data indicating the schedule for each serviceman as shown in FIG. 7C. Each serviceman is assigned a uniquely determined worker ID, and the serviceman is managed using this worker ID.
[0067] 図 7Bに示すスキルデータは、作業項目(メンテナンス内容)毎に対応可能な作業 員 IDを格納したデータである。例えば、図 7Bに示す例では、作業項目の 1つである「 レンズ交換」には、作業員「A氏」と作業員「B氏」とが対応付けられている。このスキル データによって、レンズ交換の作業が必要な場合には、その作業員として「A氏」と「B 氏」が候補に挙げられる。尚、図 7では作業員 IDを「A氏」、「B氏」等として表している が、実際には、数字、文字、若しくは記号、又はこれらの組み合わせで表される。  [0067] The skill data shown in Fig. 7B is data in which a worker ID that can be handled for each work item (maintenance content) is stored. For example, in the example shown in FIG. 7B, one of the work items “lens exchange” is associated with worker “Mr. A” and worker “Mr. B”. If this skill data requires lens replacement work, “A” and “B” are nominated as workers. Although FIG. 7 shows the worker ID as “Mr. A”, “Mr. B”, etc., it is actually represented by a number, a letter, a symbol, or a combination thereof.
[0068] また、図 7Cに示すスケジューリングデータは、作業員 ID毎に作業予定を示す情報 その他の情報を格納したデータである。例えば、図 7Cに示す例では、作業員「A氏」 については、作業予定として「10/1予約」という情報が格納されている。この情報は 、作業員「A氏」については 10月 1日にメンテナンス作業の予定がある旨を示す情報 である。このため、例えば仮に 10月 1日に行われる他のメンテナンスに作業員「A氏」 が候補に挙げられたとしても、作業員「A氏」は既に作業予約があるため、そのメンテ ナンス作業を行うサービスマンとしては選択されない。  The scheduling data shown in FIG. 7C is data storing information indicating a work schedule for each worker ID and other information. For example, in the example shown in FIG. 7C, for the worker “Mr. A”, information of “10/1 reservation” is stored as the work schedule. This information is information indicating that the maintenance work is scheduled to be performed on October 1 for the worker “Mr. A”. For this reason, for example, even if the worker `` Mr. A '' is nominated as a candidate for another maintenance to be performed on October 1, the worker `` Mr. A '' already has a work reservation, so the maintenance work is not performed. Not selected as a service person to perform.
[0069] 再度、図 6を参照する。保守データ受信部 101は、基板処理工場 10からネットヮー ク Nを介して送られてくる保守データを受信する。部品発注部 102は記憶部 104に記 憶された部品在庫データ D11を参照して、保守データ受信部 101で受信された保守 データに含まれるメンテナンス内容で示される作業を行う上で必要となる部品の在庫 状況を確認し、在庫が無い場合には部品発注を指示する発注データを出力する。尚 、ここでは、部品発注部 102が発する発注データは、内部ネットワーク LN2を介して 予め定められた担当者(管理センター 20の作業員の一人又は複数人)宛に送信され るとする。また、部品在庫の管理は自動的に又は担当者が手作業で行っており、部 品の在庫状況に応じて部品在庫データ D 11は更新される。  FIG. 6 is referred to again. The maintenance data receiving unit 101 receives maintenance data sent from the substrate processing plant 10 via the network N. The parts ordering unit 102 refers to the parts inventory data D11 stored in the storage unit 104, and refers to the parts necessary for performing the work indicated by the maintenance content included in the maintenance data received by the maintenance data receiving unit 101. Check the stock status and, if there is no stock, output order data instructing parts order. Here, it is assumed that the order data generated by the parts ordering unit 102 is transmitted to a predetermined person in charge (one or more workers of the management center 20) via the internal network LN2. The management of the parts inventory is performed automatically or manually by a person in charge, and the parts inventory data D11 is updated according to the inventory status of the parts.
[0070] スケジューリング部 103は、サービスマンデータ D12を参照して、保守データ受信 部 101が受信した保守データに含まれるメンテナンス内容で示される作業を行うサー ビスマンの選択及び決定を行う。サービスマンの決定を行うと、スケジューリング部 10 3は、新たに決定した作業員宛に作業内容が決定した旨、又は新たな作業内容の詳 細を送信するとともに、サービスマンデータ D12に含まれるスケジューリングデータの 内容を更新する。 [0070] The scheduling unit 103 refers to the serviceman data D12 and selects and determines a serviceman who performs the work indicated by the maintenance content included in the maintenance data received by the maintenance data receiving unit 101. After the serviceman's decision is made, the scheduling section 10 Step 3 sends a notification to the newly determined worker that the work content has been determined or details of the new work content, and updates the contents of the scheduling data included in the serviceman data D12.
[0071] 次に、この基板処理システムの保守に関する動作について説明する。以下の説明 では、まず部品交換が必要になるまで基板処理装置 11の性能が低下する場合の動 作 (第 1動作例)を説明し、次いで部品調整によって基板処理装置 11の性能維持を 行う場合の動作 (第 2動作例)を説明する。また、以下の説明では、図 1中の基板処 理工場 10内の基板処理装置 11に設けられる露光装置 30の露光光 ILの照度が低下 する場合を例に挙げて説明する。  Next, an operation related to maintenance of the substrate processing system will be described. In the following description, an operation in the case where the performance of the substrate processing apparatus 11 is reduced until component replacement is necessary (first operation example) will be described. (Second operation example) will be described. In the following description, an example will be described in which the illuminance of the exposure light IL of the exposure apparatus 30 provided in the substrate processing apparatus 11 in the substrate processing factory 10 in FIG. 1 is reduced.
[0072] [第 1動作例]  [First Operation Example]
図 8は、保守管理サーバ 13の第 1動作例を示すフローチャートである。基板処理ェ 場 10内で基板処理装置 11が稼働してレ、る状況下におレ、て、保守管理サーバ 13に 設けられた装置データ収集部 91 (図 4参照)は、基板処理装置 11の各々に対して内 部ネットワーク LN1を介して装置データの送信要求を出力する。この送信要求が送 信されると、露光装置 30に設けられた主制御系 74 (図 3参照)は、照度センサ 88を 用いて計測した過去の露光光 ILの照度、照度むら、及び積算光量むらを示すデー タ、並びにインテグレータセンサ 72の検出結果を示すデータ等の装置データを、内 部ネットワーク LN1を介して保守管理サーバ 13宛に送信する。装置データ収集部 9 1は、送られた装置データを収集して一定期間記憶する (ステップ S 11)。  FIG. 8 is a flowchart illustrating a first operation example of the maintenance management server 13. In a situation where the substrate processing apparatus 11 is operating in the substrate processing plant 10, the equipment data collection unit 91 (see FIG. 4) provided in the maintenance management server 13 includes the substrate processing apparatus 11. It outputs a device data transmission request to each of the devices via the internal network LN1. When this transmission request is transmitted, the main control system 74 (see FIG. 3) provided in the exposure apparatus 30 illuminates the past exposure light IL measured using the illuminance sensor 88, the illuminance unevenness, and the integrated light amount. Device data such as data indicating unevenness and data indicating the detection result of the integrator sensor 72 are transmitted to the maintenance management server 13 via the internal network LN1. The device data collection unit 91 collects the transmitted device data and stores it for a certain period of time (step S11).
[0073] 装置データが収集されると、装置データ解析部 92は記憶部 95内に記憶された傾 向関数データ D1を用いて装置データ収集部 91で収集された装置データを解析し、 各基板処理装置 11の装置状態の時間変動を予測する (ステップ S12)。ここでは、露 光光 ILの照度の変化に着目しているため、装置データ解析部 92は記憶部 95に記 憶された傾向関数データ D1中の検查項目の「照度」に対して対応付けられている近 似関数を得る。図 5Aを参照すると、検査項目の「照度」には近似関数として「直線」が 対応付けられているため、装置データ解析部 92は、装置データ収集部 91で収集さ れた装置データを直線近似して、露光光 ILの照度の変動を予測する。  When the device data is collected, the device data analysis unit 92 analyzes the device data collected by the device data collection unit 91 using the inclination function data D1 stored in the storage unit 95, and The time fluctuation of the device state of the processing device 11 is predicted (step S12). Here, since attention is paid to the change in the illuminance of the exposure light IL, the device data analysis unit 92 associates the illuminance of the inspection item in the trend function data D1 stored in the storage unit 95 with the illuminance. Obtain the approximate function. Referring to FIG. 5A, since the inspection item “illuminance” is associated with “linear” as an approximation function, the device data analysis unit 92 performs linear approximation on the device data collected by the device data collection unit 91. Then, the fluctuation of the illuminance of the exposure light IL is predicted.
[0074] 図 9は、第 1動作例時に保守管理サーバ 13で行われる処理を説明するための図で ある。図 9中に示すグラフは照度の時間変化を示すグラフであり、横軸に時間を、縦 軸に照度を取っている。尚、横軸は年単位のスケールである。このグラフ中で符号 D Tを付した黒丸は、装置データ収集部 91で収集された照度に関する装置データを示 してレ、る。露光装置 30は照度センサ 88を用いて露光光 ILの照度等を定期的に測定 しているため、定期的な露光光 ILの照度が得られる。尚、図 9において、時刻 tlOが 現在時期であり、装置データは現在時期 tlOよりも過去の時点においてのみ得られ ている点に注意されたい。 FIG. 9 is a diagram for explaining processing performed by the maintenance management server 13 in the first operation example. is there. The graph shown in FIG. 9 is a graph showing the time change of illuminance, in which the horizontal axis represents time and the vertical axis represents illuminance. The horizontal axis is a yearly scale. In this graph, black circles with the symbol DT indicate device data related to illuminance collected by the device data collection unit 91. Since the exposure apparatus 30 periodically measures the illuminance of the exposure light IL using the illuminance sensor 88, the illuminance of the exposure light IL can be obtained periodically. Note that, in FIG. 9, the time tlO is the current time, and the device data is obtained only at a time earlier than the current time tlO.
[0075] この装置データに対して装置データ解析部 92が直線近似を行って図示の近似直 線 L1を求めることにより、露光装置 30で用いられる露光光 ILの照度の変動を予測す る。次に、閾値到達時期予測部 93は、装置データ解析部 92で得られた近似直線 L1 で示される照度が性能閾値 Thlを越えて低下する時期を予測する (ステップ S13)。 図 5Bに示した例では、性能閾値 Thlの検查項目「照度」に対しては閾値として「P0」 が設定されているため、閾値到達時期予測部 93は近似直線 L1で示される照度が P 0以下になる時期 tl 2を求める。ここで求められた時期 tl 2がメンテナンス日である。  The device data analysis unit 92 performs linear approximation on the device data to obtain an approximate line L 1 shown in the drawing, thereby predicting a change in the illuminance of the exposure light IL used in the exposure device 30. Next, the threshold arrival time prediction unit 93 predicts a time when the illuminance indicated by the approximate straight line L1 obtained by the device data analysis unit 92 falls below the performance threshold Thl (step S13). In the example shown in FIG. 5B, since “P0” is set as the threshold for the detection item “illuminance” of the performance threshold Thl, the threshold arrival time prediction unit 93 determines that the illuminance indicated by the approximate straight line L1 is P Tl 2 is calculated when it becomes 0 or less. The time tl 2 determined here is the maintenance date.
[0076] 以上の処理が終了すると、部品発注時期算出部 96は、閾値到達時期予測部 93に よって予測されたメンテナンス日と部品納期データ D2とに基づいて、部品発注時期 を算出する (ステップ S14)。図 5Eに示す例では、検査項目の「照度」に対しては対 象部品として「レンズ」が設定されており、また納期として「2ヶ月」が設定されている。 このため、部品発注時期算出部 96は、図 9に示したメンテナンス日(時期 tl 2)から「2 ヶ月」の期間(図 9中に示した期間 T1)だけ遡った時期 ti lを算出する。ここで、求め られた時期 tl 1が部品発注時期である。  When the above processing is completed, the parts ordering time calculation unit 96 calculates the parts ordering time based on the maintenance date and the parts delivery date data D2 predicted by the threshold arrival time prediction unit 93 (step S14). ). In the example shown in FIG. 5E, for the inspection item “illuminance”, “lens” is set as the target component, and “2 months” is set as the delivery date. For this reason, the parts order timing calculation unit 96 calculates a timing t1 which is traced back from the maintenance date (timing tl 2) shown in FIG. 9 by a period of “two months” (the period T1 shown in FIG. 9). Here, the determined time tl 1 is the parts ordering time.
[0077] 閾値到達時期予測部 93で算出されたメンテナンス日及び部品発注時期算出部 96 で算出された部品発注時期が保守データ送信部 94へ出力される。また、部品納期 データ D2検查項目の「照度」に対して対象部品として設定されてレ、る「レンズ」を示 す情報 (以下、部品データという)も、部品発注時期算出部 96から保守データ送信部 94へ出力される。次に、保守データ送信部 94は、現在時期 tlOが部品発注時期 tl 1を経過しているか否力、を判断する(ステップ S15)。現在時期 tlOが部品発注時期 t 11を経過してレ、ないと判断した場合 (判断結果が「N〇」の場合)には、 に戻って装置データの収集が再度行われる。 The maintenance date calculated by the threshold arrival time prediction unit 93 and the part order time calculated by the part order time calculation unit 96 are output to the maintenance data transmission unit 94. In addition, information indicating the “lens” that is set as a target component for “illuminance” of the D2 inspection item of the component delivery date data (hereinafter referred to as “component data”) is also obtained from the Output to transmitting section 94. Next, the maintenance data transmitting unit 94 determines whether or not the current time tlO has passed the part order time tl1 (step S15). If it is determined that the current time tlO has not passed the part order time t11 (if the result of the determination is "N〇"), And the collection of the device data is performed again.
[0078] 一方、ステップ S15において、現在時期 tlOが部品発注時期 ti lを経過したと判断 された場合 (判断結果が「YES」の場合)には、保守データ送信部 94がネットワーク N を介して管理センター 20へ保守データを送信する。尚、保守データには、メンテナン ス日を示すデータ及び部品データ以外に、メンテナンスが必要となる基板処理装置 1 1を特定するデータ及び基板処理工場 10を特定するデータが含まれる。次に、保守 管理サーバ 13から送られた保守データに基づいて管理センター 20に設けられた管 理サーバ 21で行われる処理について説明する。  On the other hand, in step S15, when it is determined that the current time tlO has passed the part order time till (when the determination result is “YES”), the maintenance data transmitting unit 94 The maintenance data is transmitted to the management center 20. The maintenance data includes data specifying the substrate processing apparatus 11 requiring maintenance and data specifying the substrate processing factory 10 in addition to the data indicating the maintenance date and the component data. Next, processing performed by the management server 21 provided in the management center 20 based on the maintenance data sent from the maintenance management server 13 will be described.
[0079] 図 10は、管理サーバ 21の動作例を示すフローチャートである。保守管理サーバ 13 力 送られた保守データは管理サーバ 21内に設けられた保守データ受信部 101で 受信される (ステップ S21)。保守データを受信すると、保守データ受信部 101は受信 した保守データ中に部品データが含まれているか否力 ^判断する (ステップ S22)。こ こでは、保守データに部品データが含まれているため、ステップ S22の判断結果は「 YESJとなり、保守データ受信部 101は受信した保守データを部品発注部 102及び スケジューリング部 103へ出力する。  FIG. 10 is a flowchart showing an operation example of the management server 21. The maintenance data is sent to the maintenance data receiving unit 101 provided in the management server 21 (step S21). Upon receiving the maintenance data, the maintenance data receiving unit 101 determines whether the received maintenance data includes component data (step S22). In this case, since the maintenance data includes the component data, the determination result in step S22 is “YESJ”, and the maintenance data receiving unit 101 outputs the received maintenance data to the component ordering unit 102 and the scheduling unit 103.
[0080] 次に、部品発注部 102は部品在庫データ D11を用いて、保守データ受信部 101か らの保守データに含まれる部品データで示される部品の在庫の有無を判断する (ス テツプ S23)。部品の在庫があると判断された場合 (判断結果が「YES」の場合)には 、その部品を確保する処理が行われる。ここで行われる処理は、記憶部 104に記憶さ れた部品在庫データ D11から交換する部品の数を差し引いて部品在庫データ D11 を更新するとともに、予め定められた在庫担当者(管理センター 20の作業員の一人 又は複数人)宛にその部品を使用する旨を示す情報を送信する処理である。  Next, the parts ordering unit 102 determines whether there is a stock of the parts indicated by the parts data included in the maintenance data from the maintenance data receiving unit 101 using the parts inventory data D11 (step S23). . When it is determined that the parts are in stock (when the determination result is “YES”), a process for securing the parts is performed. The processing performed here is to update the parts inventory data D11 by subtracting the number of parts to be replaced from the parts inventory data D11 stored in the storage unit 104, and to update the parts inventory data D11 determined in advance by a predetermined person in charge of inventory (the operation of the management center 20). This is a process of transmitting information indicating that the part is used to one or more members.
[0081] 一方、部品の在庫が無いと判断された場合 (ステップ S23の判断結果が「NO」の場 合)には、部品発注部 102は部品発注を指示する発注データを出力する(ステップ S 25)。部品発注部 102から出力される発注データは、内部ネットワーク LN2を介して 予め定められた担当者宛に送信され、その担当者により部品の発注が行われる。ス テツプ S24の部品確保又はステップ S25の部品発注処理が終了すると、スケジユーリ ング部 103は、記憶部 104に記憶されたサービスマンデータ D12中のスキルデータ( 図 7B参照)を参照して、保守データ中の部品データで示される部品の交換作業を行 うことができるサービスマンを候補として選択する(ステップ S26)。 On the other hand, when it is determined that the parts are out of stock (when the determination result of step S23 is “NO”), the parts ordering unit 102 outputs order data instructing parts ordering (step S23). twenty five). The order data output from the part ordering unit 102 is transmitted to a predetermined person in charge via the internal network LN2, and the person in charge orders the part. When the parts securement in step S24 or the parts ordering processing in step S25 is completed, the scheduling unit 103 transmits the skill data (in the serviceman data D12 stored in the storage unit 104). With reference to FIG. 7B), a service person who can perform the replacement work of the component indicated by the component data in the maintenance data is selected as a candidate (step S26).
[0082] サービスマン候補の選択が終了すると、スケジューリング部 103はサービスマンデ ータ D12中のスケジューリングデータ(図 7C参照)を参照して、選択したサービスマ ン候補力もメンテナンス日に作業が可能なサービスマンを決定する(ステップ S27)。 サービスマンの決定が終了すると、スケジューリング部 103は、新たに決定した作業 員宛に作業内容が決定した旨、又は新たな作業内容の詳細を送信するとともに、サ 一ビスマンデータ D12に含まれるスケジューリングデータの内容を更新する。  When the selection of the serviceman candidates is completed, the scheduling unit 103 refers to the scheduling data (see FIG. 7C) in the serviceman data D12, and determines whether the selected serviceman candidate can perform work on the maintenance day. The man is determined (step S27). When the serviceman's decision is completed, the scheduling unit 103 transmits the fact that the work content has been decided or the details of the new work content to the newly determined worker, and sets the scheduling data included in the serviceman data D12. Update the contents of
[0083] 以上説明したように、第 1動作例においては基板処理工場 10内に設けられた保守 管理サーバ 13によって基板処理装置 11の装置状態の時間変動を予測し、この予測 結果からメンテナンス日を求めている。また、メンテナンス日力 部品の取り寄せに要 する期間だけ遡った部品発注時期を求め、この部品発注時期を経過した時点で保 守データを管理センター 20へ送信している。そして、管理センター 20に設けられた 管理サーバ 21は送られた保守データに基づいて部品の確保又は発注を行い、更に サービスマンの手配(スケジューリング)を行っている。以上の処理によって、メンテナ ンスが必要な時期を適切に求めることができ、また、メンテナンス時までに必要な部 品が入手され、且つサービスマンが確保される。これにより、円滑にメンテナンス作業 を行うことができ、基板処理装置の稼働率を向上させることができる。  As described above, in the first operation example, the maintenance management server 13 provided in the substrate processing factory 10 predicts the time variation of the device state of the substrate processing apparatus 11, and determines the maintenance date from the prediction result. I'm asking. In addition, a maintenance order is obtained by searching for a part order timing that is retroactive to a period required for ordering parts, and the maintenance data is transmitted to the management center 20 when the part order time has elapsed. Then, the management server 21 provided in the management center 20 secures or orders parts based on the sent maintenance data, and further arranges (schedules) a serviceman. Through the above processing, the time when maintenance is required can be determined appropriately, and the necessary parts are obtained by the time of maintenance, and service personnel are secured. Accordingly, maintenance work can be performed smoothly, and the operation rate of the substrate processing apparatus can be improved.
[0084] [第 2動作例] [Second Operation Example]
図 11は、保守管理サーバ 13の第 2動作例を示すフローチャートである。上述した 第 1動作例の場合と同様に、基板処理工場 10内で基板処理装置 11が稼働している 状況下において、保守管理サーバ 13に設けられた装置データ収集部 91 (図 4参照) は、基板処理装置 11の各々に対して内部ネットワーク LN1を介して装置データの送 信要求を出力し、基板処理装置 11の各々から装置データを収集する(ステップ S31) 。装置データが収集されると、装置データ解析部 92は記憶部 95内に記憶された傾 向関数データ D1を用いて収集された装置データを解析し、各基板処理装置 11の装 置状態の時間変動を予測する(ステップ S32)。ここでは、露光光 ILの照度の変化に 着目しているため、装置データ収集部 91で収集された装置データを直線近似して、 露光光 ILの照度の変動を予測する。 FIG. 11 is a flowchart illustrating a second operation example of the maintenance management server 13. As in the case of the first operation example described above, when the substrate processing apparatus 11 is operating in the substrate processing factory 10, the apparatus data collection unit 91 provided in the maintenance management server 13 (see FIG. 4) Then, a request for transmitting device data is output to each of the substrate processing apparatuses 11 via the internal network LN1, and device data is collected from each of the substrate processing apparatuses 11 (step S31). When the device data is collected, the device data analysis unit 92 analyzes the collected device data using the inclination function data D1 stored in the storage unit 95, and analyzes the time of the device state of each substrate processing device 11. The fluctuation is predicted (step S32). Here, since the focus is on the change in the illuminance of the exposure light IL, the device data collected by the device data collection unit 91 is approximated by a straight line, Predict fluctuations in the illuminance of the exposure light IL.
[0085] 図 12は、第 2動作例時に保守管理サーバ 13で行われる処理を説明するための図 である。図 12中に示すグラフは、照度の時間変化を示すグラフであり、横軸に時間を 、縦軸に照度を取っている。図 12に示したグラフの横軸は、図 9に示したグラフと同 様に年単位のスケールである。また、図 9と同様に、グラフ中に示した黒丸は装置デ ータ収集部 91で収集された照度に関する装置データを示している。この装置データ に対して装置データ解析部 92が直線近似を行って図示の近似直線 L11を求めて露 光装置 30で用いられる露光光 ILの照度の変動を予測する。  FIG. 12 is a diagram for explaining processing performed by the maintenance management server 13 at the time of the second operation example. The graph shown in FIG. 12 is a graph showing the time change of the illuminance, with the horizontal axis representing time and the vertical axis representing illuminance. The horizontal axis of the graph shown in Figure 12 is a yearly scale, similar to the graph shown in Figure 9. Also, similarly to FIG. 9, the black circles shown in the graph indicate the device data related to the illuminance collected by the device data collection unit 91. The device data analysis unit 92 performs linear approximation on the device data to obtain an approximate line L11 shown in the drawing, and predicts a change in the illuminance of the exposure light IL used in the exposure device 30.
[0086] 次に、閾値到達時期予測部 93は、近似直線 L11が部品調整閾値 Th2を越える時 期を予測する (ステップ S33)。図 5Cに示した例では、部品調整閾値 Th2の検查項 目「照度」に対しては閾値として「P1」が設定されているため、閾値到達時期予測部 9 3は近似直線 L11で示される照度が P1以下になる時期 t21を求める。ここで求められ た時期 t21がメンテナンス日である。以上の処理が終了すると、閾値到達時期予測部 93で求められたメンテナンス日を示すデータは保守データ送信部 94へ出力される。 この保守データが出力されると、保守データ送信部 94はネットワーク Nを介して管理 センター 20へ保守データを送信する(ステップ S34)。尚、保守データに含まれるデ ータは、メンテナンス日を示すデータ以外に、メンテナンスが必要となる基板処理装 置 11を特定するデータ及び基板処理工場 10を特定するデータが含まれる。  Next, the threshold reaching time prediction unit 93 predicts a time when the approximate straight line L11 exceeds the component adjustment threshold Th2 (Step S33). In the example shown in FIG. 5C, since “P1” is set as the threshold for the detection item “illuminance” of the component adjustment threshold Th2, the threshold arrival time prediction unit 93 is indicated by the approximate straight line L11. Find the time t21 when the illuminance falls below P1. The time t21 determined here is the maintenance date. When the above processing is completed, data indicating the maintenance date obtained by the threshold arrival time prediction unit 93 is output to the maintenance data transmission unit 94. When the maintenance data is output, the maintenance data transmitting unit 94 transmits the maintenance data to the management center 20 via the network N (Step S34). The data included in the maintenance data includes, in addition to the data indicating the maintenance date, data specifying the substrate processing apparatus 11 requiring maintenance and data specifying the substrate processing factory 10.
[0087] 保守管理サーバ 13から保守データが送られると、図 10に示す通り、管理サーバ 21 内に設けられた保守データ受信部 101で受信される (ステップ S21)。保守データを 受信すると、保守データ受信部 101は受信した保守データ中に部品データが含まれ ているか否力 ^判断する (ステップ S22)。ここでは、保守データに部品データが含ま れていないため、ステップ S22の判断結果は「NO」となり、保守データ受信部 101は 受信した保守データをスケジューリング部 103のみに出力する。  When the maintenance data is sent from the maintenance management server 13, it is received by the maintenance data receiving unit 101 provided in the management server 21, as shown in FIG. 10 (step S21). Upon receiving the maintenance data, the maintenance data receiving unit 101 determines whether or not the received maintenance data includes component data (step S22). Here, since the maintenance data does not include the component data, the determination result in step S22 is “NO”, and the maintenance data receiving unit 101 outputs the received maintenance data only to the scheduling unit 103.
[0088] 次にスケジューリング部 103は、記憶部 104に記憶されたサービスマンデータ D12 を用いてサービスマンの候補を選択し (ステップ S26)、選択したサービスマン候補か らメンテナンス日に作業が可能なサービスマンを決定する (ステップ S27)。尚、サー ビスマンの決定が終了すると、スケジューリング部 103は、新たに決定した作業員宛 に作業内容が決定した旨、又は新たな作業内容の詳細を送信するとともに、サービ スマンデータ D 12に含まれるスケジューリングデータの内容を更新する。 Next, the scheduling unit 103 selects serviceman candidates using the serviceman data D12 stored in the storage unit 104 (step S26), and can perform work on a maintenance day from the selected serviceman candidates. A serviceman is determined (step S27). When the serviceman's decision is completed, the scheduling unit 103 And the details of the new work content are transmitted, and the contents of the scheduling data included in the serviceman data D12 are updated.
[0089] このようにして決定されたサービスマン力 メンテナンス日(図 12中の時期 t21)にメ ンテナンスが必要な基板処理装置 11が設けられた基板処理工場 10に赴いて露光 装置 30の部品調整作業を行う(図 11中のステップ S35)。露光光 ILの照度低下に対 しては、例えば図 3に示す露光装置 30に設けられる照明光学系 ISに含まれるレンズ を光軸に対して偏心させる作業が部品調整作業として行われる。部品調整作業が完 了すると、基板処理工場 10の保守管理サーバ 13に設けられた装置データ収集部 9 1は調整作業が行われた基板処理装置 11の装置データを収集し、装置データ解析 部 92が収集したデータを解析して調整により基板処理装置 11の性能が向上した改 善量(ここでは、露光光 ILの照度の上昇量)を求める(ステップ S36)。図 12中に示し た例では、時期 t21において照度が急激に上昇している箇所の照度の上昇分がここ で求められる改善量である。  On the maintenance day (time t21 in FIG. 12) determined in this way, the operator goes to the substrate processing factory 10 where the substrate processing apparatus 11 requiring maintenance is provided and adjusts the components of the exposure apparatus 30. Perform work (step S35 in FIG. 11). To reduce the illuminance of the exposure light IL, for example, an operation of decentering a lens included in the illumination optical system IS provided in the exposure apparatus 30 shown in FIG. 3 with respect to the optical axis is performed as a component adjustment operation. When the component adjustment work is completed, the equipment data collection unit 91 provided in the maintenance management server 13 of the board processing factory 10 collects the equipment data of the board processing apparatus 11 on which the adjustment work has been performed, and the equipment data analysis unit 92 The collected data is analyzed to obtain an improved amount (here, an increase in the illuminance of the exposure light IL) at which the performance of the substrate processing apparatus 11 is improved by the adjustment (Step S36). In the example shown in FIG. 12, the amount of increase in the illuminance at the point where the illuminance sharply increases at the time t21 is the improvement amount obtained here.
[0090] 次に、閾値到達時期予測部 93は、ステップ S36で算出された改善量と改善量閾値 Th3とを比較し、改善量が改善量閾値 Th3よりも大であるか否かを判断する。図 5D に示した例では、照度に対しては閾値として「A1」が設定されているため、照度の上 昇分が閾値 A1よりも大であるか否かが判断される。図 12に示す例では、時期 t21に おける改善量が閾値 A1を越えているため、ステップ S37の判断結果は「YES」となり 、ステップ S31に戻って装置データの収集が行われる。  Next, the threshold arrival time prediction unit 93 compares the improvement amount calculated in step S36 with the improvement amount threshold Th3, and determines whether the improvement amount is larger than the improvement amount threshold Th3. . In the example shown in FIG. 5D, since “A1” is set as the threshold value for the illuminance, it is determined whether the increase in the illuminance is greater than the threshold value A1. In the example shown in FIG. 12, since the improvement amount at the time t21 exceeds the threshold value A1, the determination result in step S37 is “YES”, and the process returns to step S31 to collect the device data.
[0091] ステップ S31に戻ると、上記と同様に装置データ収集部 91で装置データの収集が 行われるとともに、装置データ解析部 92で収集された装置データの解析が行われる (ステップ S33)。この解析によって、図 12中の近似直線 L12が求められる。次に、閾 値到達時期予測部 93は、近似直線 L12と部品調整閾値 Th2 (閾値 PI)とから近似 直線 L12で示される照度が閾値 P1以下になる時期 t22を求める。ここで求められた 時期 t22がメンテナンス日である。以上の処理が終了すると、このメンテナンス日を示 すデータが保守データとして管理センター 20の管理サーバ 21宛に送信される。  Returning to step S31, the device data collection unit 91 collects the device data in the same manner as described above, and the device data analysis unit 92 analyzes the collected device data (step S33). By this analysis, the approximate straight line L12 in FIG. 12 is obtained. Next, the threshold value arrival time prediction unit 93 obtains a time t22 at which the illuminance indicated by the approximate line L12 becomes equal to or less than the threshold value P1 from the approximate line L12 and the component adjustment threshold value Th2 (threshold value PI). The time t22 determined here is the maintenance date. When the above processing is completed, data indicating the maintenance date is transmitted to the management server 21 of the management center 20 as maintenance data.
[0092] 保守管理サーバ 13から保守データが送られると、図 10に示す通り、管理サーバ 21 内に設けられた保守データ受信部 101で受信され (ステップ S21)、受信された保守 データ中に部品データが含まれているか否かが判断される(ステップ S22)。ここでは 、保守データに部品データが含まれていないため、ステップ S22の判断結果は「N〇 」となり、保守データ受信部 101は受信した保守データをスケジューリング部 103のみ に出力する。 When the maintenance data is sent from the maintenance management server 13, the maintenance data is received by the maintenance data receiving unit 101 provided in the management server 21 as shown in FIG. 10 (step S21), and the received maintenance It is determined whether the data contains component data (step S22). Here, since the maintenance data does not include the component data, the result of the determination in step S22 is “N〇”, and the maintenance data receiving unit 101 outputs the received maintenance data only to the scheduling unit 103.
[0093] 次にスケジューリング部 103は、サービスマンの候補を選択する(ステップ S26)とと もに、選択したサービスマン候補力もメンテナンス日に作業が可能なサービスマンを 決定する(ステップ S27)。尚、スケジューリング部 103は、新たに決定した作業員宛 に作業内容が決定した旨、又は新たな作業内容の詳細を送信するとともに、サービ スマンデータ D 12に含まれるスケジューリングデータの内容を更新する。  [0093] Next, the scheduling unit 103 selects serviceman candidates (step S26), and also determines servicemen who can work on the maintenance day with the selected serviceman candidate power (step S27). Note that the scheduling unit 103 transmits to the newly determined worker that the work content has been determined or details of the new work content, and updates the contents of the scheduling data included in the serviceman data D12.
[0094] このようにして決定されたサービスマンは、メンテナンス日(図 12中の時期 t22)にメ ンテナンスが必要な基板処理装置 11が設けられた基板処理工場 10に赴いて露光 装置 30の部品調整作業を行う(図 11中のステップ S35)。そして、再度照明光学系 I Sのレンズ調整作業が部品調整作業として行われる。部品調整作業が完了すると、 基板処理工場 10の保守管理サーバ 13に設けられた装置データ収集部 91は調整作 業が行われた基板処理装置 11の装置データを収集し、装置データ解析部 92が収 集したデータを解析して調整により基板処理装置 11の性能の改善量を求める(ステ ップ S36)。ここで求められる改善量は、図 12中の時期 t22において照度が急激に上 昇している箇所の照度の上昇分である。  [0094] The serviceman determined in this way goes to the substrate processing factory 10 where the substrate processing apparatus 11 requiring maintenance is provided on the maintenance day (time t22 in FIG. 12), and the parts of the exposure apparatus 30 Perform adjustment work (step S35 in FIG. 11). Then, the lens adjustment operation of the illumination optical system IS is performed again as a component adjustment operation. When the component adjustment work is completed, the equipment data collection unit 91 provided in the maintenance management server 13 of the board processing factory 10 collects the equipment data of the board processing apparatus 11 on which the adjustment work has been performed, and the equipment data analysis unit 92. The collected data is analyzed and adjusted to determine the amount of improvement in the performance of the substrate processing apparatus 11 (step S36). The amount of improvement required here is an increase in the illuminance at a location where the illuminance sharply increases at time t22 in FIG.
[0095] 次に、閾値到達時期予測部 93は、ステップ S36で算出された改善量と改善量閾値 Th3とを比較し、改善量が改善量閾値 Th3よりも大であるか否かを判断する。図 12 に示す例では、時期 t22における改善量が閾値 A1よりも小さいため、ステップ S37の 判断結果は「NO」となり、その基板処理装置 11に対しては図 8のステップ SI 1に移 行して前述した第 1動作例に示す処理が行われる。つまり、装置データの収集及び 解析により近似直線 L13が求められ、この近似直線 L13で示される照度が閾値 P0以 下になる時期 t23 (メンテナンス日)が求められる。更に、求められたメンテナンス日か ら部品交換時期が算出され、部品交換時期が到来した時点でメンテナンス日及び交 換する部品を示すデータを含む保守データが管理センター 20の管理サーバ 21宛に 送信される。 [0096] 以上説明したように、第 2動作例においては、基板処理工場 10内に設けられた保 守管理サーバ 13によって基板処理装置 11の装置状態の時間変動を予測し、予測 結果から部品の調整を行うためのメンテナンス日を求めている。このメンテナンス日を 含む保守データは管理センター 20へ送信され、管理センター 20に設けられた管理 サーバ 21は送られた保守データに基づいてサービスマンの手配(スケジューリング) を行っている。以上の処理によって、部品の調整を行う時期を適切に求めることがで き、また、メンテナンス時までにサービスマンが確保される。これにより、円滑にメンテ ナンス作業を行うことができ、基板処理装置の稼働率を向上させることができる。尚、 本例における部品の調整は、必ずしもサービスマンが行うものである必要はなぐ露 光装置が自動的に行うものであっても良い。 [0095] Next, the threshold arrival time prediction unit 93 compares the improvement amount calculated in step S36 with the improvement amount threshold Th3, and determines whether the improvement amount is larger than the improvement amount threshold Th3. . In the example shown in FIG. 12, since the improvement amount at the time t22 is smaller than the threshold value A1, the determination result in step S37 is “NO”, and the process proceeds to step SI1 in FIG. The processing shown in the first operation example described above is performed. That is, the approximate straight line L13 is obtained by collecting and analyzing the device data, and the time t23 (maintenance date) at which the illuminance indicated by the approximate straight line L13 becomes equal to or less than the threshold value P0 is obtained. Further, the part replacement time is calculated from the calculated maintenance date, and when the part replacement time comes, maintenance data including the maintenance date and data indicating the part to be replaced is transmitted to the management server 21 of the management center 20. You. As described above, in the second operation example, the time variation of the device state of the substrate processing apparatus 11 is predicted by the maintenance management server 13 provided in the substrate processing plant 10, and the component They want a maintenance date to make adjustments. The maintenance data including the maintenance date is transmitted to the management center 20, and the management server 21 provided in the management center 20 arranges (schedules) a serviceman based on the sent maintenance data. Through the above processing, it is possible to appropriately determine the timing of performing component adjustment, and a service person is secured by the time of maintenance. Thus, the maintenance work can be performed smoothly, and the operation rate of the substrate processing apparatus can be improved. Note that the adjustment of the components in this example need not necessarily be performed by a service person, but may be performed automatically by an exposure device.
[0097] 更に、部品調整を行った基板処理装置 11の性能の改善量を求め、改善量が改善 量閾値以下の場合には、基板処理装置 11の性能が部品調整閾値以上であっても、 性能閾値を用いて部品の交換を行うためのメンテナンス日を求めるようにしている。 力かる処理によって、部品調整を行っても大きな性能改善は見込めない場合にはサ 一ビスマンによる部品調整作業が行われないため、基板処理装置 11の稼働率を向 上させることができる。  [0097] Further, the improvement amount of the performance of the substrate processing apparatus 11 which has performed the component adjustment is obtained, and when the improvement amount is equal to or less than the improvement amount threshold value, even if the performance of the substrate processing apparatus 11 is equal to or more than the component adjustment threshold value, A maintenance date for replacing a part is determined using the performance threshold. If significant performance improvement cannot be expected even after performing component adjustment by vigorous processing, the serviceman does not perform component adjustment work, so that the operation rate of the substrate processing apparatus 11 can be improved.
[0098] 尚、以上の説明では、基板処理装置 11が備える露光装置 30で用いられる露光光 I Lの照度の低下を改善する場合を例に挙げて説明したが、本発明はこれ以外に、露 光装置 30の種々の性能低下を改善する場合に適用することができる。また、露光装 置 30以外に、コータ部 36及びデベロッパ部 37の性能低下を改善するためにも本発 明を適用することができる。  [0098] In the above description, the case where the decrease in the illuminance of the exposure light IL used in the exposure apparatus 30 included in the substrate processing apparatus 11 has been described as an example, but the present invention is not limited to this. The present invention can be applied to improve various performance degradation of the optical device 30. In addition to the exposure device 30, the present invention can also be applied to improve performance degradation of the coater unit 36 and the developer unit 37.
[0099] 更に、上記実施形態では数年を単位とする基板処理装置 11の性能劣化を予測し てメンテナンス日を求めていたが、本発明は数日一数ケ月を単位とする短期間の基 板処理装置 11の性能変動を改善するためにも適用することができる。図 13は、短期 間に生ずる基板処理装置 11の性能変動の例を示す図である。図 13Aは、露光装置 30が備える投影光学系 PLの像面位置が大気圧の変化により変動する様子を示す 図である。図 13Aに示すグラフにおいて、符号 Prを付した曲線は大気圧の変動曲線 を示しており、符号 Foを付した曲線は投影光学系 PLの像面位置の変動曲線を示し ている。 [0099] Further, in the above embodiment, the maintenance date is obtained by predicting the performance degradation of the substrate processing apparatus 11 in units of several years. However, the present invention provides a short-term basis in units of several days to several months. It can also be applied to improve the performance fluctuation of the plate processing apparatus 11. FIG. 13 is a diagram illustrating an example of performance fluctuation of the substrate processing apparatus 11 occurring in a short period. FIG. 13A is a diagram illustrating a state where the image plane position of the projection optical system PL included in the exposure apparatus 30 fluctuates due to a change in atmospheric pressure. In the graph shown in FIG.13A, the curve with the symbol Pr indicates the variation curve of the atmospheric pressure, and the curve with the symbol Fo indicates the variation curve of the image plane position of the projection optical system PL. ing.
[0100] 投影光学系 PLの像面は、図 13A中の符号 IDを付した直線のように、大気圧の変 動に関わらず常時一定であることが理想的である。し力 ながら、実際には、図示の 通り、投影光学系 PLの像面は大気圧の変化にほぼ連動して変化するとともに、一定 時間が経過すると直線 IDからのずれ量(ドリフト) Drが発生してしまう。このため、図 1 3Bに示す通り、大気圧の変動とフォーカスとの変動との相関関係(図 13Bに示す例 では一次直線)を予め求めておき、大気圧が変動しても投影光学系 PLの像面が変 動しないように投影光学系 PLの光学性能を制御することが望ましい。また、所定の閾 値を設定しておき、この閾値以上のドリフトが発生した場合には、保守管理サーバ 13 から管理センター 20宛にその旨を通知すること好ましレ、。  [0100] Ideally, the image plane of the projection optical system PL is always constant irrespective of the fluctuation of the atmospheric pressure, as indicated by the straight line with the code ID in Fig. 13A. However, in actuality, as shown in the figure, the image plane of the projection optical system PL changes almost at the same time as the atmospheric pressure changes, and after a certain period of time, a deviation (drift) Dr from the straight line ID occurs. Resulting in. For this reason, as shown in FIG. 13B, the correlation between the fluctuation of the atmospheric pressure and the fluctuation of the focus (first-order straight line in the example shown in FIG. 13B) is obtained in advance, and even if the atmospheric pressure fluctuates, the projection optical system PL is changed. It is desirable to control the optical performance of the projection optical system PL so that the image plane does not change. Further, it is preferable that a predetermined threshold value is set, and when a drift exceeding this threshold value occurs, the maintenance management server 13 notifies the management center 20 of the drift.
[0101] また、図 13Cは、露光装置 30に設けられたァライメントセンサ 90の計測再現性(3 σ )の一例を示す図である。図 13Cに示す通り、ァライメントセンサ 90の計測再現性 は一定の期間(例えば、半年)経過すると急激に悪化する傾向を有する。このため、 ある閾値 Th4を設定しておき、ァライメントセンサ 90の計測再現性が閾値 Th4を越え たときに、保守管理サーバ 13から管理センター 20宛にその旨を通知するようにして も良い。  FIG. 13C is a diagram showing an example of the measurement reproducibility (3σ) of the alignment sensor 90 provided in the exposure apparatus 30. As shown in FIG. 13C, the measurement reproducibility of the alignment sensor 90 tends to rapidly deteriorate after a certain period (for example, half a year). For this reason, a certain threshold Th4 may be set, and when the measurement reproducibility of the alignment sensor 90 exceeds the threshold Th4, the maintenance management server 13 may notify the management center 20 of the fact.
[0102] 以上説明した実施形態は、本発明の理解を容易にするために記載されたものであ つて、本発明を限定するために記載されたものではない。したがって、上記の実施形 態に開示された各要素は、本発明の技術的範囲に属する全ての設計変更や均等物 をも含む趣旨である。  [0102] The embodiments described above are described for facilitating the understanding of the present invention, and are not described for limiting the present invention. Therefore, each element disclosed in the above embodiment is intended to include all design changes and equivalents belonging to the technical scope of the present invention.
[0103] 例えば、図 4に示す保守管理サーバ 13及び図 6に示す管理サーバ 21の機能をハ 一ドウエアで構成することも可能であり、ソフトウェアで実現することも可能である。ソフ トウエアで実現する場合には、保守管理サーバ 13又は管理サーバ 21のハードウェア 構成を、 CPU (中央処理装置)、 RAM (Random Access Memory)、 ROM (Re ad Only Memory)、通信インタフェース、光ディスク、磁気ディスク、光磁気デイス ク等の外部記憶装置等から構成し、上述した制御を行う保守管理プログラムを保守 管理サーバ 13又は管理サーバ 21に読み込ませて、そのプログラムを実行させること により実現される。尚、保守管理プログラムは、コンピュータ読み取り可能な情報記録 媒体内に記録されて配布され、当該媒体としては、 CD-ROM, DVD (登録商標)、 ハードディスク、光磁気ディスク、フレキシブルディスク、又は磁気テープ等を例示す ること力 Sできる。保守管理プログラムのコンピュータシステムへの読み込みは、コンビ ユータシステムが備える読み取り装置を介して行われ、あるいはインターネット等のネ ットワークを介してダウンロードすることにより行われる。 [0103] For example, the functions of the maintenance management server 13 shown in Fig. 4 and the management server 21 shown in Fig. 6 can be configured by hardware, or can be realized by software. When implemented by software, the hardware configuration of the maintenance management server 13 or the management server 21 includes a CPU (Central Processing Unit), RAM (Random Access Memory), ROM (Read Only Memory), a communication interface, an optical disk, It is constituted by an external storage device such as a magnetic disk, a magneto-optical disk, or the like, and is realized by causing the maintenance management server 13 or the management server 21 to read the maintenance management program for performing the above-described control and executing the program. The maintenance management program is a computer-readable information record. It is recorded and distributed in a medium, and the medium can be, for example, a CD-ROM, a DVD (registered trademark), a hard disk, a magneto-optical disk, a flexible disk, or a magnetic tape. The reading of the maintenance management program into the computer system is performed via a reading device provided in the computer system, or by downloading via a network such as the Internet.
[0104] また、上述した実施形態では、保守管理装置としての各機能 (装置データ収集部 9 1、装置データ解析部 92、閾値到達時期予測部 93、保守データ送信部 94、記憶部 95及び部品発注時期算出部 96、並びに保守データ受信部 101、部品発注部 102、 スケジューリング部 103及び記憶部 104)を、保守管理サーバ 13と管理サーバ 21と をネットワークで相互に接続した別々のサーバにより実現している力 S、これらを同一の サーバにより実現してもよぐあるいはさらに複数のサーバに機能分散させて実現し てもよい。 Further, in the above-described embodiment, each function as the maintenance management device (the device data collection unit 91, the device data analysis unit 92, the threshold arrival time prediction unit 93, the maintenance data transmission unit 94, the storage unit 95, and the parts The order timing calculation unit 96, the maintenance data receiving unit 101, the parts ordering unit 102, the scheduling unit 103, and the storage unit 104) are implemented by separate servers in which the maintenance management server 13 and the management server 21 are interconnected by a network. These may be realized by the same server, or may be realized by distributing the functions to a plurality of servers.
[0105] 尚、以上の説明では基板処理装置 11がステップ.アンド 'スキャン方式の露光装置  In the above description, the substrate processing apparatus 11 is a step-and-scan type exposure apparatus.
30を備える場合を例に挙げたが、ステップ'アンド'リピート方式の露光装置 (ステツパ 一)を備える基板処理装置 11についても本発明を適用することができる。また、上記 実施形態では、露光装置 30が露光光 ILとして ArFエキシマレーザ等から射出される レーザ光を用いていたが、レーザプラズマ光源、又は SORから発生する軟 X線領域 、例えば波長 13· 4nm、又は 11 · 5nmの EUV (Extreme Ultra Violet)光を用 いるようにしてもよい。さらに、電子線又はイオンビームなどの荷電粒子線を用いても よい。また、投影光学系 PLは、反射光学系、屈折光学系、及び反射屈折光学系の いずれを用いてもよい。  Although the case where 30 is provided has been described as an example, the present invention can also be applied to a substrate processing apparatus 11 having an exposure apparatus (stepper) of a step 'and' repeat system. In the above embodiment, the exposure apparatus 30 uses laser light emitted from an ArF excimer laser or the like as the exposure light IL. However, a soft X-ray region generated from a laser plasma light source or SOR, for example, a wavelength of 13.4 nm Alternatively, EUV (Extreme Ultra Violet) light of 11.5 nm may be used. Further, a charged particle beam such as an electron beam or an ion beam may be used. Further, the projection optical system PL may use any one of a reflection optical system, a refractive optical system, and a catadioptric optical system.
[0106] また、 DFB半導体レーザ又はファイバーレーザから発振される赤外域、又は可視 域の単一波長レーザを、例えばエルビウム(又はエルビウムとイツトリビゥムの両方)が ドープされたファイバーアンプで増幅し、非線形光学結晶を用いて紫外光に波長変 換した高調波を用いてもよい。  [0106] In addition, a single-wavelength laser in the infrared or visible range oscillated by a DFB semiconductor laser or a fiber laser is amplified by, for example, a fiber amplifier doped with erbium (or both erbium and yttrium), and the nonlinear optical A harmonic converted to ultraviolet light using a crystal may be used.
[0107] また、本発明は、基板処理装置 11が、国際公開第 99/49504号パンフレットに記 載されている所謂液浸型露光装置を備える場合にも適用することができる。液浸型 露光装置とは、投影光学系の下面とパターンが露光される基板 (ウェハ)表面との間 を水や有機溶媒等の液体で満たし、該液体を介してパターンの露光を行なうもので ある。液浸型露光装置は、液体中での露光光の波長が空気中の l/n (nは液体の 屈折率であり、通常 1. 2— 1. 6程度)になることを利用して、解像力の向上と焦点深 度の拡大を図っている。この液浸型露光装置に本発明を適用することにより、たとえ ば液体の比抵抗、全有機体炭素量 (T〇C : Total Organic Carbon)などの液質 情報、撥液性コートの劣化状況、接液している光学部材の曇りによる照度の低下等 の装置情報に基づいて、最適な時期にメンテナンス日を設定し、必要な部品の発注 を予め行なうことができる。 [0107] The present invention can also be applied to a case where the substrate processing apparatus 11 includes a so-called liquid immersion type exposure apparatus described in WO99 / 49504 pamphlet. An immersion type exposure apparatus is a device between the lower surface of a projection optical system and the surface of a substrate (wafer) on which a pattern is exposed. Is filled with a liquid such as water or an organic solvent, and the pattern is exposed through the liquid. An immersion lithography system utilizes the fact that the wavelength of exposure light in a liquid is l / n in air (n is the refractive index of the liquid, usually about 1.2 to 1.6). The resolution is improved and the depth of focus is increased. By applying the present invention to this liquid immersion type exposure apparatus, for example, liquid quality information such as specific resistance of liquid, total organic carbon (T〇C: Total Organic Carbon), deterioration state of liquid repellent coat, A maintenance date can be set at an optimal time based on device information such as a decrease in illuminance due to clouding of an optical member in contact with the liquid, and necessary parts can be ordered in advance.
[0108] さらに、基板処理装置 11は、半導体素子の製造に用いられるデバイスパターンをゥ ェハ W上に転写する露光装置だけでなぐ液晶表示素子などを含むディスプレイの 製造に用レ、られるデバイスパターンをガラスプレート上に転写する露光装置、薄膜磁 気ヘッドの製造に用いられるデバイスパターンをセラミック基板上に転写する露光装 置、撮像素子(CCDなど)、マイクロマシン、及び DNAチップなどの製造に用いられ る露光装置等を備えてレ、ても良レ、。  Further, the substrate processing apparatus 11 is a device pattern used in the manufacture of a display including a liquid crystal display element or the like that can be formed only by an exposure apparatus that transfers a device pattern used in the manufacture of a semiconductor element onto a wafer W. Exposure device that transfers the device pattern onto a glass substrate, an exposure device that transfers the device pattern used for the manufacture of thin-film magnetic heads, image sensors (such as CCDs), micromachines, and DNA chips. With an exposure device, etc.
[0109] 本開示は、 2003年 9月 2日に提出された日本国特許出願第 2003—310146号に 含まれた主題に関連し、その開示の全てはここに参照事項として明白に組み込まれ る。なお、本国際出願で指定した指定国又は選択した選択国の国内法令が許す限り において、前述した全ての公報の開示を援用して本明細書の記載の一部とする。  [0109] The present disclosure relates to the subject matter included in Japanese Patent Application No. 2003-310146 filed on September 2, 2003, the entire disclosure of which is expressly incorporated herein by reference. . In addition, as far as the national laws of the designated country designated in this international application or the selected elected country allow, the disclosure of all the above-mentioned publications shall be incorporated into the description of this specification.

Claims

請求の範囲 The scope of the claims
[1] 基板を処理する基板処理装置を保守管理する保守管理装置であって、  [1] A maintenance management device for maintaining and managing a substrate processing apparatus for processing a substrate,
前記基板処理装置の装置状態を示す装置情報を収集する収集手段と、 前記収集手段によって収集された前記装置情報を解析し、前記基板処理装置の 装置状態の時間変動を予測する予測手段と、  A collection unit that collects device information indicating a device state of the substrate processing apparatus; a prediction unit that analyzes the device information collected by the collection unit and predicts a time variation of a device state of the substrate processing apparatus;
前記予測手段の予測結果を用いて前記基板処理装置の保守を行う保守時期を求 める保守時期算出手段とを備えることを特徴とする保守管理装置。  A maintenance time calculating means for calculating a maintenance time for performing maintenance of the substrate processing apparatus by using a prediction result of the prediction means.
[2] 前記保守時期を求めるために予め設定された閾値を記憶する閾値記憶手段をさら に備え、  [2] Further provided is a threshold storage means for storing a preset threshold for obtaining the maintenance time,
前記保守時期算出手段は、前記予測手段の前記予測結果が前記閾値記憶手段 に記憶された閾値に達する時点を前記保守時期として求めることを特徴とする請求 項 1に記載の保守管理装置。  2. The maintenance management device according to claim 1, wherein the maintenance time calculation unit obtains a point in time at which the prediction result of the prediction unit reaches a threshold value stored in the threshold value storage unit as the maintenance time.
[3] 前記閾値記憶手段は、前記基板処理装置の保守として前記基板処理装置の調整 を行うための第 1閾値と、前記基板処理装置の保守として前記基板処理装置の部品 の交換を行うための第 2閾値とを記憶しており、 [3] The threshold value storage means includes a first threshold value for adjusting the substrate processing apparatus as maintenance of the substrate processing apparatus, and a first threshold value for exchanging parts of the substrate processing apparatus as maintenance of the substrate processing apparatus. And the second threshold value.
前記保守時期算出手段は、前記予測手段の前記予測結果が前記第 1閾値に達す る時点を前記基板処理装置の調整を行う調整時期として求め、前記予測手段の前 記予測結果が前記第 2閾値に達する時点を前記基板処理装置の部品の交換を行う 部品交換時期として求めることを特徴とする請求項 2に記載の保守管理装置。  The maintenance time calculating means obtains a point in time at which the prediction result of the prediction means reaches the first threshold as an adjustment time for performing adjustment of the substrate processing apparatus, and the prediction result of the prediction means is the second threshold. 3. The maintenance management device according to claim 2, wherein a point in time at which the number of times reaches a predetermined time is determined as a component replacement time at which a component of the substrate processing apparatus is replaced.
[4] 前記基板処理装置の部品の発注から取り寄せまでに要する期間を示す部品納期 情報を記憶する部品納期情報記憶手段と、 [4] component delivery date information storage means for storing component delivery date information indicating a period required from ordering to ordering of components of the substrate processing apparatus;
前記部品納期情報及び前記保守時期算出手段により求められた前記保守時期に 基づいて、前記基板処理装置の保守時に交換する部品の発注時期を算出する部品 発注時期算出手段とをさらに備えることを特徴とする請求項 1一 3の何れか一項に記 載の保守管理装置。  A part ordering time calculating means for calculating an ordering time of a part to be replaced at the time of maintenance of the substrate processing apparatus based on the part delivery date information and the maintenance time obtained by the maintenance time calculating means. The maintenance management device according to any one of claims 13 to 13, wherein:
[5] 前記基板処理装置の部品の在庫状況を示す在庫情報を記憶する在庫情報記憶 手段と、  [5] inventory information storage means for storing inventory information indicating the inventory status of parts of the substrate processing apparatus;
前記在庫情報に基づレ、て、前記基板処理装置の保守を行う上で必要となる部品の 在庫の有無を判断し、在庫が無いと判断した場合に当該部品の発注を行う部品発注 手段とをさらに備えることを特徴とする請求項 1一 4の何れか一項に記載の保守管理 Based on the inventory information, based on the inventory information, The maintenance management according to any one of claims 14 to 15, further comprising: a part ordering means for judging presence / absence of stock and ordering the part when it is judged that there is no stock.
[6] 前記基板処理装置の保守を行う作業者の技能及び作業可能日を示す作業者情報 を記憶する作業者情報記憶手段と、 [6] worker information storage means for storing worker information indicating a skill and a workable date of a worker who maintains the substrate processing apparatus;
前記作業者情報及び前記保守時期算出手段により求められた前記保守時期に基 づいて、前記基板処理装置の保守を行う作業員の割り当てを行う作業員割当手段を さらに備えることを特徴とする請求項 1一 5の何れか一項に記載の保守管理装置。  The apparatus according to claim 1, further comprising: an operator allocating unit configured to allocate an operator who performs maintenance of the substrate processing apparatus based on the worker information and the maintenance time calculated by the maintenance time calculating unit. The maintenance management device according to any one of items 1 to 5.
[7] 前記収集手段、前記予測手段、前記保守時期算出手段、前記閾値記憶手段、前 記部品納期情報記憶手段、及び前記部品発注時期算出手段を有する第 1管理サー バと、  [7] a first management server including the collection unit, the prediction unit, the maintenance time calculation unit, the threshold storage unit, the parts delivery date information storage unit, and the parts order time calculation unit;
前記在庫情報記憶手段、前記部品発注手段、前記作業者情報記憶手段、及び前 記作業員割当手段を有し、前記第 1管理サーバとネットワークを介して接続された第 2管理サーバとを備え、  A second management server having the inventory information storage means, the parts ordering means, the worker information storage means, and the worker assignment means, and connected to the first management server via a network;
前記第 1管理サーバは、前記基板処理装置の保守内容及び前記保守時期算出手 段により算出された保守時期を含む保守情報を、前記ネットワークを介して前記第 2 管理サーバに送信する送信手段を有することを特徴とする請求項 6に記載の保守管 The first management server includes a transmission unit configured to transmit maintenance information including maintenance content of the substrate processing apparatus and maintenance time calculated by the maintenance time calculation means to the second management server via the network. 7. The maintenance pipe according to claim 6 , wherein
[8] 前記送信手段は、前記部品発注時期算出手段により算出された発注時期に前記 保守情報を送信することを特徴とする請求項 7に記載の保守管理装置。 [8] The maintenance management device according to claim 7, wherein the transmission unit transmits the maintenance information at an order timing calculated by the parts order timing calculation unit.
[9] 前記基板処理装置は、処理対象としての基板に感光性材料を塗布する塗布装置、 マスクのパターンを該基板に露光転写する露光装置、及び該基板に転写されたバタ ーンを現像する現像装置の少なくとも 1つを含むことを特徴とする請求項 1一 8の何れ か一項に記載の保守管理装置。  [9] The substrate processing apparatus includes a coating apparatus for coating a photosensitive material on a substrate to be processed, an exposure apparatus for exposing and transferring a pattern of a mask onto the substrate, and developing a pattern transferred to the substrate. 19. The maintenance management device according to claim 18, wherein the maintenance management device includes at least one developing device.
[10] 前記収集手段は、前記基板処理装置が備える制御手段からネットワークを介して 前記装置情報を収集することを特徴とする請求項 9に記載の保守管理装置。  10. The maintenance management device according to claim 9, wherein the collection unit collects the device information from a control unit provided in the substrate processing apparatus via a network.
[11] 基板を処理する基板処理装置の保守時期を管理する保守管理方法であって、 前記基板処理装置の装置状態を示す装置情報を収集し、 収集された前記装置情報を解析して前記基板処理装置の装置状態の時間変動を 予測し、 [11] A maintenance management method for managing a maintenance time of a substrate processing apparatus for processing a substrate, the apparatus comprising: collecting apparatus information indicating an apparatus state of the substrate processing apparatus; Analyzing the collected apparatus information, predicting the time variation of the apparatus state of the substrate processing apparatus,
前記予測結果を用いて前記基板処理装置の保守を行う保守時期を求めることを特 徴とする保守管理方法。  A maintenance management method characterized in that a maintenance time for performing maintenance of the substrate processing apparatus is obtained using the prediction result.
[12] 前記基板処理装置の部品の発注から取り寄せまでに要する期間を示す部品納期 情報と前記保守時期とに基づいて、前記基板処理装置の保守時に交換する部品の 発注時期を算出することを特徴とする請求項 11に記載の保守管理方法。 [12] An order timing of a part to be replaced at the time of maintenance of the substrate processing apparatus is calculated based on component delivery date information indicating a period required from ordering to ordering of parts of the substrate processing apparatus and the maintenance time. The maintenance management method according to claim 11, wherein
[13] 前記基板処理装置の保守内容及び前記保守時期を含む保守情報を、前記発注 時期にネットワークを介して送信することを特徴とする請求項 12に記載の保守管理 方法。 13. The maintenance management method according to claim 12, wherein maintenance information including maintenance contents of the substrate processing apparatus and the maintenance time is transmitted via the network at the order time.
[14] 前記ネットワークを介して送られる前記保守情報を受信し、  [14] receiving the maintenance information sent via the network,
前記基板処理装置の部品の在庫状況を示す在庫情報と、受信した前記保守情報 とに基づいて前記基板処理装置の保守を行う上で必要となる部品の在庫の有無を 判断し、在庫が無いと判断した場合に当該部品を発注することを特徴とする請求項 1 3に記載の保守管理方法。  Based on the stock information indicating the stock status of the components of the substrate processing apparatus and the received maintenance information, it is determined whether or not there is a stock of the components necessary for performing the maintenance of the substrate processing apparatus. 14. The maintenance management method according to claim 13, wherein the part is ordered when it is determined.
[15] 前記基板処理装置の保守を行う作業者の技能及び作業可能日を示す作業者情報 と前記保守情報とに基づいて、前記基板処理装置の保守を行う作業員の割り当てを 行うことを特徴とする請求項 13又は 14に記載の保守管理方法。  [15] An assignment of a worker who performs maintenance of the substrate processing apparatus is performed based on the maintenance information and worker information indicating a skill and an operable date of a worker who performs maintenance of the substrate processing apparatus. The maintenance management method according to claim 13 or 14, wherein:
[16] コンピュータを請求項 1一 10の何れか一項に記載の保守管理装置として機能させ る保守管理プログラム。  [16] A maintenance management program for causing a computer to function as the maintenance management device according to any one of claims 110.
[17] 請求項 16に記載の保守管理プログラムが記録されたコンピュータ読み取り可能な 情報記録媒体。  [17] A computer-readable information recording medium on which the maintenance management program according to claim 16 is recorded.
PCT/JP2004/012640 2003-09-02 2004-09-01 Maintenance management device, maintenance management method, maintenance management program, and information recording medium WO2005024915A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005513649A JP4899478B2 (en) 2003-09-02 2004-09-01 Maintenance management apparatus, maintenance management method, maintenance management program, and information recording medium

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003-310146 2003-09-02
JP2003310146 2003-09-02

Publications (1)

Publication Number Publication Date
WO2005024915A1 true WO2005024915A1 (en) 2005-03-17

Family

ID=34269637

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/012640 WO2005024915A1 (en) 2003-09-02 2004-09-01 Maintenance management device, maintenance management method, maintenance management program, and information recording medium

Country Status (2)

Country Link
JP (1) JP4899478B2 (en)
WO (1) WO2005024915A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017183708A (en) * 2016-03-29 2017-10-05 株式会社日立国際電気 Processing device, device management controller, and program
JPWO2017056161A1 (en) * 2015-09-28 2018-07-05 本田技研工業株式会社 Heating control device
TWI755598B (en) * 2018-05-29 2022-02-21 日商佳能股份有限公司 Substrate processing system, method of controlling substrate processing system, computer-readable storage medium, and method of manufacturing article

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011192676A (en) * 2010-03-11 2011-09-29 Nikon Corp Substrate processing apparatus, method of manufacturing multilayer semiconductor device, and multilayer semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04268667A (en) * 1991-02-25 1992-09-24 Hitachi Ltd Production management system
JPH0569951U (en) * 1992-02-25 1993-09-21 株式会社島津製作所 Drive
JPH09330861A (en) * 1996-06-07 1997-12-22 Nec Corp Method and system for managing work
JPH11121318A (en) * 1997-10-20 1999-04-30 Kokusai Electric Co Ltd Semiconductor manufacturing system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04268667A (en) * 1991-02-25 1992-09-24 Hitachi Ltd Production management system
JPH0569951U (en) * 1992-02-25 1993-09-21 株式会社島津製作所 Drive
JPH09330861A (en) * 1996-06-07 1997-12-22 Nec Corp Method and system for managing work
JPH11121318A (en) * 1997-10-20 1999-04-30 Kokusai Electric Co Ltd Semiconductor manufacturing system

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2017056161A1 (en) * 2015-09-28 2018-07-05 本田技研工業株式会社 Heating control device
US10770766B2 (en) 2015-09-28 2020-09-08 Honda Motor Co., Ltd. Heating control device
JP2017183708A (en) * 2016-03-29 2017-10-05 株式会社日立国際電気 Processing device, device management controller, and program
JP2020004997A (en) * 2016-03-29 2020-01-09 株式会社Kokusai Electric Processing apparatus, device management controller, program, and method of manufacturing semiconductor device
JP7084898B2 (en) 2016-03-29 2022-06-15 株式会社Kokusai Electric Manufacturing method of processing equipment, equipment management controller, program and semiconductor equipment
TWI755598B (en) * 2018-05-29 2022-02-21 日商佳能股份有限公司 Substrate processing system, method of controlling substrate processing system, computer-readable storage medium, and method of manufacturing article

Also Published As

Publication number Publication date
JP4899478B2 (en) 2012-03-21
JPWO2005024915A1 (en) 2007-11-08

Similar Documents

Publication Publication Date Title
JP4844835B2 (en) Correction method and exposure apparatus
US7405803B2 (en) Image forming state adjusting system, exposure method and exposure apparatus, and program and information storage medium
JP4760705B2 (en) Pre-measurement processing method, exposure system, and substrate processing apparatus
TWI342057B (en)
TWI390595B (en) Management methods, management systems, and recording media
JP4563923B2 (en) Alignment method optimization method
EP1791169A1 (en) Aligning method, processing system, substrate loading repeatability measuring method, position measuring method, exposure method, substrate processing apparatus, measuring method and measuring apparatus
JP4705034B2 (en) Substrate processing equipment, usage status confirmation method
US20060285100A1 (en) Exposure apparatus and exposure method, and device manufacturing method
JP4400745B2 (en) EXPOSURE METHOD, DEVICE MANUFACTURING METHOD, EXPOSURE APPARATUS, AND PROGRAM
US20020024646A1 (en) Exposure apparatus and device manufacturing method using the same
US7410735B2 (en) Method of characterization, method of characterizing a process operation, and device manufacturing method
JP2004022655A (en) Semiconductor exposure system, control method therefor, and method for manufacturing semiconductor device
JP4899478B2 (en) Maintenance management apparatus, maintenance management method, maintenance management program, and information recording medium
JP2014103343A (en) Exposure device, program and management system
JP2005136326A (en) System for predicting equipment condition and its method, and exposure equipment management system
JP2004235460A (en) Exposure system, scanning exposure apparatus and exposure method
NL2006073A (en) Lithographic apparatus and method.
JP2005064371A (en) Projection exposure method and manufacturing method for device, exposure device, program and recording medium and exposure system
JP2005317617A (en) Position detecting mark and reticle or sensitive substrate equipped therewith, position detecting method, mark evaluating method, mark detecting method and mark detecting device, exposing method and exposure device
JP2006140204A (en) Measurement condition optimizing method, position measuring method using the same, positioning method using the same, device manufacturing method using the same, measurement condition optimizing system, position measuring device using the same, exposure device using the same
JP2005322721A (en) Information preserving method and information using method
JP5817965B2 (en) Exposure apparatus adjustment method, adjustment program, and exposure apparatus
JP2007214312A (en) Aligner, fabrication process of device, method of detecting replacement time of component, and maintenance method of aligner

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005513649

Country of ref document: JP

122 Ep: pct application non-entry in european phase