WO2005006004A1 - スキャンテスト設計方法、スキャンテスト回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器 - Google Patents
スキャンテスト設計方法、スキャンテスト回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器 Download PDFInfo
- Publication number
- WO2005006004A1 WO2005006004A1 PCT/JP2004/010089 JP2004010089W WO2005006004A1 WO 2005006004 A1 WO2005006004 A1 WO 2005006004A1 JP 2004010089 W JP2004010089 W JP 2004010089W WO 2005006004 A1 WO2005006004 A1 WO 2005006004A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- scan
- clock
- circuit
- flip
- sub
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318575—Power distribution; Power saving
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318583—Design for test
- G01R31/318591—Tools
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318594—Timing aspects
Definitions
- the present invention relates to an LSI design method, an LSI test circuit, and an LSI design CAD program. More specifically, the present invention relates to design assurance regarding a hold time of a shift register operation, which is a problem when designing a scan test circuit, and insertion of a hold assurance delay element. The present invention relates to a design technology for testability that suppresses an increase in circuit area, power consumption, and increase in leakage current accompanying the above. Background art
- scan test design is the most common design for testability.
- This scan test design will be described with reference to FIG.
- a logic synthesis CAD program 502 is performed using the RTL file 501 as input data to generate a gate level netlist 503.
- a flip-flop circuit (hereinafter, referred to as an FF circuit) constituting a part of the gate level circuit 503 is replaced with a scan FF circuit by using a scan test circuit input CAD program 504.
- This scan FF circuit has a normal data input terminal D and a test input terminal DT as input terminals. When the scan shift mode is set, the data input from the DT side terminal is selected.
- the scan test circuit insertion CAD program 504 When set to test mode (non-scan shift mode), data input from D side terminal is selected.
- the scan test circuit insertion CAD program 504 includes an output terminal NQ (or Q) and cascade connect the test input terminal DT.
- NQ or Q
- the plurality of scan FF circuits connected in cascade operate as a huge shift register, and create a netlist 505 after the scan test circuit is inserted.
- the scan shift register is input serially from an external terminal scan-in with an inspection data created by an ATPG (automatic test pattern generation) program, and is scanned in the shift register. After shifting in the evening, switch to the test mode and perform normal data transfer between FF circuits.
- a delay insertion buffer is arranged at a predetermined position so as to reduce clock skew.
- An object of the present invention is to insert a scan test circuit or scan test circuit into a scan shift circuit even if the effects of crosstalk and IR drop that become remarkable in a large-scale integrated circuit using a miniaturization process become remarkable.
- a connection relationship between a plurality of scan flip-flop circuits provided that is, if data is transferred from any scan flip-flop circuit to any scan flip-flop circuit, A new systematic study was conducted to determine whether the number of delay elements to be inserted could be reduced.
- a scan shift register is configured as a group of a plurality of flip-flop circuits driven by each last-stage element of clockless synthesis (hereinafter abbreviated as CTS). Further, when the plurality of scan shift registers configured as above are each used as a sub scan chain, and the sub scan chains are connected to each other to form a larger scan shift register, a sub scan chain is used. As a connection priority,
- the scan test design method of the present invention has a large number of scan flip-flop circuits as scan test circuits, and a clock array is configured for clock terminals of the large number of scan flip-flop circuits.
- the semiconductor integrated circuit attention is paid to a plurality of last-stage elements located at the last stage of the clock tree, and a plurality of scan flip-flop circuits driven by each of the last-stage elements are connected to each of the last-stage elements. It is characterized in that it is connected in series to form a scan shift register.
- the present invention provides the scan test design method, wherein the scan shift register for each of the last-stage elements is used as a sub-scan chain. When a longer scan shift register is formed by connecting the sub scan chains, the sub scan chains having the same number of elements constituting the clock tree are preferentially connected to each other.
- the scan shift register for each of the last-stage elements is set as a sub scan chain, and the sub scan chains are connected to each other to form a longer scan shift register.
- the sub-scan chains having different numbers of stages of the elements constituting the clock tree when connecting the sub-scan chains having different numbers of stages of the elements constituting the clock tree, the sub-scan chains having the smallest difference in the relative number of stages between the elements constituting the clock tree are connected. Is preferentially connected.
- a predetermined number of elements may be determined according to a difference in the number of elements constituting the clock tree. A delay element is inserted between the sub-scan chains to be connected.
- the scan shift register for each of the last-stage elements may be used as a sub scan chain, and the sub scan chains may be connected to each other to form a longer scan shift register.
- the sub-scan chain having a large delay time from the clock origin of the clock array to the clock terminal of the flip-flop circuit constituting each sub-scan chain has a small delay time.
- the sub scan chains are connected to each other in the order in which the data transfer is performed to the sub scan lines.
- a scan test design method according to the present invention includes a plurality of scan flip-flop circuits as scan test circuits, and the scan test circuit includes a plurality of scan flip-flop circuits.
- a semiconductor integrated circuit having a gated clock tree in which a clock tree is configured with respect to an input terminal and a clock gate element is arranged at each of a plurality of predetermined positions of the clock tree
- a plurality of scan flip-flop circuits driven by the clock gate elements are connected in series for each clock gate element to constitute a scan shift register.
- the scan shift register for each clock gate element may be used as a sub scan chain, and the sub scan chains may be connected to each other to form a longer scan shift register.
- the scan test design method described above is implemented.
- a plurality of scan flip-flop circuits are connected in series to form a scan shift register, and a clock train is configured for a clock terminal of the plurality of scan flip-flop circuit.
- the plurality of scan flip-flop circuits have the same number of element stages from a predetermined clock supply point of the flip-flop to a clock terminal of the flip-flop circuit. It is characterized in that at least two or more flip-flop circuits are continuously connected to each other to form the scan shift register.
- the number of element stages from a predetermined clock supply point of the clock tree to a clock terminal of the flip-up circuit is different between the flip-flop circuits.
- the scan test circuit according to the present invention is a scan test circuit having a plurality of scan flip-flop circuits, wherein a clock is configured for a clock terminal of the plurality of scan flip-flop circuits. For each of a plurality of last-stage elements located at the end of the clock tree, a scan shift register is formed by a plurality of flip-flop circuits connected to each of the last-stage elements.
- each of the delay elements is constituted by a transistor having a threshold voltage higher than a threshold voltage of a transistor constituting the flip-flop circuit.
- a CAD program for inserting a scan test circuit according to the present invention is a semiconductor integrated circuit having a large number of flip-flop circuits, wherein a clock clip is configured for a clock terminal of the large number of flip-flop circuits.
- a CAD program for inputting a scan test circuit includes the steps of: inputting a circuit data of an arbitrary scan test circuit having a plurality of scan flip-flop circuits; Temporarily disconnecting the circuit connection of the shift portion between the data transfer portion, and then, when a clock sequence is configured for the clock terminals of the plurality of scan flip-up flip-up circuits, For each of the last-stage elements located at the last stage, a plurality of scan flip-flop circuits driven by each of the last-stage elements are connected in series to form a scan shift register, and the scan chain is appropriately configured. And causing the computer to execute a step of outputting the netlist information after the optimization. To.
- a scan shift register in which a plurality of scan flip-flop circuits driven by the final-stage elements are connected in series, When connecting sub-scan chains having different numbers of elements of the clock tree as chains, the sub-scan chains having the smallest relative difference in the number of elements constituting the clock tree are connected to each other.
- the method is characterized by causing a computer to execute a step of preferentially connecting and then a step of outputting netlist information.
- a large-scale integrated circuit according to the present invention includes the scan test circuit described above, and an internal circuit tested by the scan test circuit.
- the portable digital device according to the present invention is characterized in that the large-scale integrated circuit is mounted.
- a plurality of clocks driven by the last-stage element of the clock array are driven.
- a scan shift register is configured for each flip-flop circuit, and the plurality of flip-flop circuits mutually have substantially equal propagation delay times of a clock signal to these flip-flop circuits. Design assurance in the operation of each scan shift register can be easily obtained. Furthermore, as in the prior art, the place where the overnight violation occurs can not be identified when the scan test circuit is inserted, and the location where the violation occurs is identified during the subsequent timing design, and this violation is identified.
- the timing characteristics of the entire circuit may be deteriorated.
- the present invention only a minimum number of delay elements for hold assurance can be introduced into the scan shift circuit, and a hold violation is unlikely to occur in the subsequent timing design. There is little regression and the convergence of the timing characteristics is improved, enabling a short TAT design.
- a mouth bust design that ensures good scan shift operation even if the delay characteristics of the clock circuit occur locally in the chip plane due to interference such as process variation crosstalk or IR drop As a result, the manufacturing yield of the scan test is improved.
- sub-scan chains having the same number of stages of elements constituting a clock cell, and sub-scan chains having the smallest relative difference in the number of stages are connected with the highest priority. Even if the propagation delay characteristic of the clock system fluctuates locally due to manufacturing variations, interference such as crosstalk, or IR drop, it is possible to obtain good design guarantee for the shift register operation of the scan test circuit. it can.
- the CAD program for inserting a scan test circuit according to the present invention has a design in which a plurality of scan flip-flop circuits driven by the last element of the clock array are connected in series to form a scan shift register.
- FIG. 1 is a diagram showing a scan test circuit having a configuration of a scan shift register according to a first embodiment of the present invention.
- FIG. 2 is a diagram showing a conventional scan test circuit having a scan shift register configuration.
- FIG. 3 is a conceptual diagram showing a procedure for connecting sub-scan chains having different numbers of clock-scanning element stages in the fourth embodiment of the present invention.
- FIG. 4 is a design flowchart illustrating a scan test design method according to the sixth and ninth embodiments of the present invention.
- FIG. 5 is a diagram showing a conventional test design flowchart.
- FIG. 6 (a) is a diagram showing the distribution of clock skew in the sixth embodiment of the present invention
- FIG. 6 (b) is a scan sub-chain having the distribution of clock skew and having different numbers of clockry element stages. It is a figure showing the connection method between them.
- FIG. 7 is a diagram showing a configuration of a scan FF circuit according to a seventh embodiment of the present invention.
- FIG. 8 is a design flowchart illustrating a method of performing scan chain connection using clock delay distribution between sub-scan chains in the eighth embodiment of the present invention.
- FIG. 9 is a flowchart showing details of the test circuit insertion design in the design flowchart shown in FIG.
- FIG. 10 is a flowchart showing details of scan chain optimization in the design flowchart shown in FIG.
- FIG. 11 is a diagram for explaining the connection procedure of the sub-scan chain according to the test circuit insertion design flowchart of FIG.
- FIG. 12 is a diagram for explaining a sub-scan chain connection procedure according to the scan chain optimization design flowchart of FIG.
- FIG. 13 shows the configuration of the scan shift register according to the tenth embodiment of the present invention.
- FIG. 3 is a diagram illustrating a scan test circuit. BEST MODE FOR CARRYING OUT THE INVENTION
- embodiments of the present invention will be described with reference to the drawings.
- FIG. 1 shows the configuration of the scan shift register of the scan shift circuit realized by the testability design method according to the first embodiment of the present invention.
- the connection between the CTS buffer configuration and the scan shift register configuration is shown. It is a figure showing a relation.
- reference numeral 101 denotes a clock delay adjustment buffer, 101a to 101: H ⁇ CTS buffers, which branch from a predetermined clock origin or a clock supply point S to buffers 101a, 10b, and 101c via the buffer 101.
- a clock tree T branching to three buffers 101f is formed for each branch, and a number of flip-flop circuits (hereinafter referred to as FF circuits) FF circuits 102a to 102j are formed through the clock tree T.
- a clock signal is supplied to the clock terminal.
- a scan shift register is configured using a plurality of FF circuits driven by the last-stage element 101f of the CTS as a minimum unit.c Therefore, the three FF circuits in FIG. The minimum unit of the scan shift register is constructed using 102a.
- the scan shift register constitutes the evening.
- the minimum unit of the scan shift register is as follows: Call it a chain.
- the FF circuits in this sub-scan chain are a group of FF circuits having the smallest clock skew due to the nature of the CTS design, and a scan shift register formed between these FF circuits driven by the same CTS buffer. In the evening, stable shift operation can be expected.
- the problem of the data shift due to violation of the hold time becomes a problem.
- the cause is that the clock delay fluctuates due to crosstalk and IR drop.
- the sub-scan chains are a group of FF circuits driven by the same CTS buffer, variations in clock delay have almost the same effect. Therefore, it is possible to provide a scan shift register that can guarantee a stable operation against the influence of the delay variation.
- a sub-scan chain is configured by using three FF circuits 102a, and three FF circuits 102b, 102c,
- each input and output of the shift register is LS
- a scan test circuit can be configured by connecting each to the scan input or scan output of I.
- the number of test terminals becomes enormous, and the number of test terminals increases due to an increase in test cost and restrictions on external terminals of the LSI, making it difficult to implement a design for testability. Cases arise. Therefore, the sub-scan chains described in the first embodiment are connected to each other. In this embodiment, a larger scan shift register is used to reduce the number of scan input / output terminals. That is, in each of the sub-scan chains described with reference to FIG. 1, first, the sub-scan chains having the same number of stages of the CTS buffer are connected to the sub-scan chain connection nets 107 and 108 shown in FIG.
- the delay element for assuring hold time can be omitted in the sub-scan chain connection nets 107, 108, and 109, so that the FF circuit does not pass through such a delay element.
- An example is shown in which they are directly connected to each other.
- the number of scan chains in the LSI is reduced because subscan chains having the same number of CTS buffer stages are connected to each other, thereby eliminating the shortage of scan test terminals. Is possible.
- the third embodiment shows a design method in the case where the number of scan test terminals (scan-in terminals and scan-art terminals) still does not fall within the number of restricted terminals in the second embodiment. If the restriction on the number of scan test terminals cannot be eliminated in the second embodiment, or if it is desired to further reduce the number of scan chains for other reasons, it is necessary to connect scan shift registers with different numbers of CTS buffer stages. There is. In this case as well, first, the shift registers having the same number of CTS buffer stages are prioritized by the sub-scan chain connection nets 107, 108, and 109, as in the second embodiment. Connected in series. Next, in FIG.
- the shift register with the smallest difference in the number of buffer stages from the clock supply point S to the CTS buffer, that is, the difference in the number of stages is one, is connected to each connection net 110 , 1 1 1 priority connection.
- these connection nets 110 and 111 have one stage difference, one delay element 106 a and 106 b in FIG. 1 are introduced. Is done.
- the sub scan chains having a relative difference in the number of CTS buffer stages of two or less are connected to each other between the sub scan chains in FIG. Connect with 2.
- FIG. 1 shows an example of a circuit when a scan test circuit is finally configured with one scan chain 1 ⁇ 3 using this method. Then, in the connection between the sub-scan chains having different numbers of CTS buffer stages, there are many buffers in a portion having a large relative stage difference and in a portion having a small relative stage difference in accordance with the relative stage difference of the CTS buffer. Reduce the number of buffer entries. Note that the number of buffers to be inserted is I will decide.
- the number of delay elements 106a to 106c inserted for guaranteeing the hold time needs to be determined in advance in consideration of a design margin.
- the insertion error is considered in consideration of the combination error.
- the number of delay elements to be used will be over-margin design.
- the shift registers configured by the design method of the second embodiment that is, the shift registers having the same number of elements of the clock cell, are set as the first priority.
- the following second priority is adopted. I do.
- the scan-in terminal side A sub-scan chain having the largest number of CTS buffer stages is arranged on the other hand, while a scan shift register having the smallest number of constituent elements of the clock circuit is arranged on the scan-out terminal side.
- the sub-scan chain connected between the next sub-scan chain and the previous sub-scan chain on the scan gate terminal side has a CTS buffer from the side closer to the scan line terminal side to the side closer to the scan gate terminal side.
- the scan test circuit configured by this design method transfers data between FF circuits with the same number of CTS buffer stages, or converts FF circuits with a large number of CTS buffer stages to FF circuits with a small number of CTS buffer stages.
- the scan test circuit performs a shift operation toward the circuit (that is, in the subscan chain, in the order in which the data transfer is performed from the side with the longer delay time of the supplied clock signal to the side with the shorter delay time). Specifically, in FIG.
- the same number of CTS buffer stages is used between the same sub-scan chains 310a with seven stages, the same number of CTS buffer stages is used between the same sub-scan chains 31 Ob with six stages, and the same number of CTS buffer stages is used with five stages.
- the sub-scan chains 310c are first connected to each other, and thereafter, the sub-scan chains 310b, 310c, and CTS buffer stages having a small number of CTS buffer stages from the sub-scan chain 310a having a large number of CTS buffer stages are three.
- the sub-scan chains are connected so that the data transfer is performed to the sub-scan chain 310 d which is the least in the stage.
- the clock delay is generally slow in a shift register having a large number of CTS buffer stages, while the clock delay is generally expected to be fast in a shift register having a small number of CTS buffer stages. Therefore, in the data transfer between the sub-scan chains where the difference in the number of CTS buffer stages occurs, the data is transferred from the FF circuit with a slightly slower clock delay to the FF circuit with a slightly faster clock delay, but the setup time margin is smaller. On the other hand, the design is safe for the hold time. In the case of a scan test circuit, the data shift circuit generally has no circuit between the FF circuits, and the setup time has a sufficient margin.
- the number of delay elements inserted for hold assurance can be reduced as compared with the conventional scan test circuit, so that the circuit area can be reduced.
- the fifth embodiment of the present invention provides a design method for suppressing a further increase in circuit area. This will be described below.
- the basic circuit design method is the same as, for example, the second, third, and fourth embodiments described above, but, for example, in FIG.
- the delay elements 106 a to 106 c to be inserted into the scan chain connection nets 110 to 112 are FF circuits 102 a to L 0 f and transistors constituting the logic circuit, respectively. It is configured using a transistor having a threshold voltage higher than the threshold voltage.
- the fifth embodiment is a method in which a transistor constituting a delay element is constituted by a high threshold transistor with respect to a threshold voltage of a transistor constituting an entire LSI.
- connection order of the sub-scan chains is determined based on the number of element stages constituting the clock circuit.
- process of adjusting the clock delay after inserting the CTS is performed.
- Section 2 shows a design method for optimizing the connection between scan chains, and thereby provides a method for realizing a highly accurate scan test circuit.
- FIGS. Fig. 5 shows a conventional general LSI design flow.
- Figure 4 shows the CAD (Computer Aided Design) design flow in the sixth embodiment. In the conventional design method, as shown in FIG.
- the RTL file 501 is used as input data, a logic synthesis CAD program 502 is executed, and a gate-level netlist 503 is executed. Generate For this gate-level netlist 503, a scantest circuit-inserted CAD program 504 is used to create a netlist 505 after the scantest circuit is inserted.
- the netlist 505 after the scan test circuit is inserted is used as an input data of the mask layout CAD program 506, and the CST is inserted after the placement and wiring by the mask layout CAD program 506,
- the clock delay analysis program 507 performs clock delay analysis. Clock skew adjustment 508 is performed using the result, and netlist 409 and pattern information GDSII are output.
- the scan test circuit insertion program 4 up to the clock delay analysis 407 differs from the flow of FIG. Except for 04, the process is almost the same.
- the difference is mainly two points.
- the first point is in the scan test circuit insertion CAD program 404 in the first to fourth embodiments (or the fifth embodiment is included) of the present invention. explained The point is that the netlist 405 after the scan test circuit is inserted is created by using the scan chain design method.
- the scan chain is also optimized by the algorithm described in the fourth embodiment. Is a point.
- FIG. 4 illustrates three sub-scan chains 603 a, 603 b, and 603 c shown in FIG. 7B among many sub-scan chains.
- clock courier buffers 602a, 602b, 602c are formed, and the CTS circuit is formed. Has formed.
- the frequency distribution of the clock delay corresponding to each of the sub-scan chains 603 a to 603 c is the frequency distribution 601 a to 601 c shown in FIG. In the sixth embodiment, the clock delay distribution is the largest.
- the input of the chain 603a is connected to the scan-in terminal 60, and the output of the sub-scan chain 603c having the smallest clock delay distribution is connected to the scan-art terminal 605.
- the connection between the sub-scan chains in the LSI is performed such that the sub-scan chains are arranged in order from the sub-scan chain with the largest value of the clock delay distribution to the sub-scan chain with the smallest value.
- the sub-scan channel whose clock delay distribution is intermediate The chain 603 b is arranged between the two sub-scan chains 603 a and 603 c . At this time, reconnection is performed via the hold time guarantee delay element 606.
- a design robust to clock delay fluctuation can be relatively easily performed, and a large number of hold assurance delay elements need to be added later as in the conventional scan design method. No need to insert. Therefore, in the sixth embodiment, it is possible to provide a scan test circuit capable of guaranteeing the scan shift operation with a very small number of delay elements as compared with the conventional design method.
- FIG. 7 shows an example of the FF circuit according to the seventh embodiment.
- the scan FF circuit 102 has two input terminals: a normal data input terminal D, a scan shift data input terminal DT, a clock terminal CK, a test mode terminal NT, and a pair of output terminals Q, Has NQ.
- the scan shift data input terminal DT side scan shift data input circuit
- the state inverter 700d constitutes the other part of the FF circuit 102, particularly the normal data input side circuit 701 on the normal data input terminal D side 701a to 701 It is configured using a transistor with a high threshold voltage for the transistor in the d section.
- the seventh embodiment it is not necessary to insert a hold guarantee delay circuit into the data line of the scan shift side circuit, so that the scan shift can be performed without increasing the area of the FF circuit. Since the delay time on the data input side can be increased, it is possible to reduce the number of delay elements to be inserted in the shift line of the scan FF circuit as a hold guarantee during scan test design, thereby reducing circuit area and power consumption. We can provide LSI.
- a DFT (Design For Testability) designed CAD program for performing scan test design of the first to fourth and sixth embodiments will be described with reference to FIGS. This will be described with reference to FIG.
- the scan insertion CAD program as a conventional DFT design program replaces the FF circuit with a scan FF circuit, and randomly connects a scan cascade connection between an input terminal and an output terminal of the shift FF circuit.
- the scan test circuit insertion CAD program is as follows: After RTL design, the RTL file 801 is used as the input data, and the logic synthesis CAD program is used. Perform 802 and perform gate level netlist Generate 803. Using the scan test circuit insertion CAD program 804, a netlist 805 after the scan test circuit insertion is created for the gate level netlist 803.
- FIG. 9 shows details of the scan test circuit insertion CAD program 804.
- step 804b the sub-scan chains 1001 connected to the CTS buffer 1002 are temporarily connected between the CTS buffers 1002 that drive the final-stage buffer 1005 (indicated by reference numeral [2] in FIG. 11).
- step 804c chains connected to the CTS buffer 1003 are temporarily connected among the plurality of CTS buffers 1003 that drive the respective CTS buffers 1002 (indicated by reference numeral [3] in the figure).
- step 804d finally, the chains connected to the first-stage CTS buffer 1004 are temporarily connected between the first-stage CTS buffers 1004 for driving the respective CTS buffers 1003 (reference numeral [ 4])).
- step 806 the layout is performed by the mask layout CAD program, and the CTS is inserted.
- step 807 the circuit information of the shift data transfer portion between the FF circuits constituting the scan shift register is temporarily cut off, and the netlist information of a part of the scan shift register portion is reset.
- the CAD program for reconstructing this netlist is shown in Figure 1 ⁇ .
- the relay layout and arrangement
- Line or wiring only.
- step 807a the sub-scan chains 1001 having the same number of stages in each CTS buffer 1005a are connected between the CTS buffers 1002a that drive the last-stage CTS buffers 1005a (FIG. 12 Medium sign [6]).
- step 807b between the CTS buffers 1002a that drive the final-stage CTS buffers 1005a, the sub-scan chains 1001 having a small number of stages from the sub-scan chains 1001 having a large number of stages in each CTS buffer 1005a.
- These sub-scan chains 1001 are connected to each other so as to connect to (see [7] in the figure).
- step 807c the sub-scan chains 1001 having the same number of stages of the CTS buffer 1002a are connected to each other among the CTS buffers 1003a that drive the plurality of CTS buffers 1002a. ). Then, in step 807d, multiple CTS buffers 1
- subscan chains 1001 are connected between the CTS buffers 1003a that drive 002a and the subscan chains 1001 with a large number of stages in the CTS buffer 1002a to a subscan chain 1001 with a small number of stages in the CTS buffer 1002a. (Indicated by reference numeral [9] in the figure).
- step 807e the sub-scan chains 1001 having the same number of stages of the CTS buffers 1003a are connected among the CTS buffers 1004a that drive the plurality of CTS buffers 1003a (not applicable in FIG. 12).
- step 807f the CTS buffer 1004a driving the plurality of CTS buffers 1003a
- sub-scan chains 1001 are connected to each other so as to connect from the sub-scan chain 1001 having a large number of stages of 1003a to the sub-scan chain 1001 having a small number of stages (indicated by reference numeral [11] in the figure).
- a clock delay analysis is performed in step 808, and a CTS adjustment (clock skew adjustment) is performed in step 809, and partial layout and physical wiring are again optimized by a mask layout CAD program.
- netlist data 810 and pattern information GDS II obtained by reconstructing the evening circuit of the shift register are obtained.
- the ninth embodiment includes a DFT design CAD program for performing scan test design and a mask layout CAD program having a scan chain optimization function according to the first to fourth and sixth embodiments. Show. This will be described below with reference to FIGS.
- the scan insertion CAD program as the conventional DFT design program replaces the FF circuit with the scan FF circuit, and randomly scans and cascades the shift FF input and output terminals of the scan FF circuit.
- the scan insertion CAD program As shown in FIG. 4, the scan insertion CAD program according to the ninth embodiment of the present invention generates a gate-level netlist 403 by performing a logic synthesis CAD program 402 using an RTL file 401 as input data after RTL design. I do.
- a scan test circuit insertion CAD program 404 is used to create a net list 405 after the scan test circuit insertion for the gate level netlist 4 ° 3. Details of the scan test circuit insertion CAD program 404 are the same as those in FIG.
- step 4 ⁇ 6 shown in FIG. 4 wiring is performed by the same mask layout CAD program as before, CTS is inserted, and then, in step 407, clock delay analysis is performed.
- step 408 the clock skew is adjusted based on the result of the clock delay analysis, and thereafter, using the CAD program of the ninth embodiment, the connection information between the FF circuits on the scan shift side is adjusted. Is temporarily cut off, and a part of the net list information is reset. Then, based on the number of CTS buffer stages, the number of device stages of the clock circuit, or the clock delay information of each sub-scan chain, the first to sixth embodiments are used. Rebuild the netlist again using the algorithm described. In this step 408, using the new gate-level netlist that optimizes the scan shift side circuit, the physical layout processing of the new scan shift side circuit by the mask layout CAD program is performed again. I do.
- the scan chain optimization CAD program in this step 408 is similar to the CAD program shown in FIG. 10 except that the execution time of the program is a The only difference is that the clock skew is adjusted based on the result of the analysis.
- the CAD program according to the ninth embodiment outputs a netlist and mask rate data obtained by reconstructing the shift circuit portion.
- the scan shift register is configured using the FF circuit driven by the last element of the same CTS as a minimum unit.
- the gated CTS For a circuit that has performed the above, a method is provided for configuring a sub-scan chain using the net terminal, which is the starting point of the execution of the gated CTS, as a minimum unit.
- a method for realizing a low power consumption circuit there is a design method using clock gated. Even if there is a gate circuit on the clock line, there is also a CAD tool that has a function to automatically extend the CTS.
- first, second and third gating elements (clock gate elements) 901g1 to 90lg3 are arranged in the gated clock tree GS.
- the first gating element 90 lgl is connected to each of the clock terminals of the three scan flip-flop circuits 90 2 a belonging to the first block arranged at the uppermost stage; B 1 .
- the second gating element 901 g2 includes nine scan flip-flop gate circuits 90 2 d and 90 2 e belonging to the second block B 2 arranged at the middle position. , 902 f connected to each clock terminal.
- the third gating element 91g3 is composed of nine scan flip-flop circuits 902g: 903 belonging to a third block B3 arranged at the lower position. 2h, 90 2 i Connected to each clock terminal.
- each of the gating elements 901g1 to 901g3 stops supplying a clock signal to the flip-flop circuit belonging to the corresponding block B1 to B3.
- a flip-flop circuit belonging to each of the blocks B1 to B3 includes a clock from the corresponding gating element 91 g1 to 91g3.
- the propagation delay times of the clock signals from the corresponding gating elements 901g1 to 91g3 are substantially the same value.
- each of the blocks B1-B3 a plurality of flip-flop circuits belonging to the same block are connected in series, and one sub-block is provided for each of the blocks B1-B3.
- a scan shift register is configured.
- the method of connecting the sub-scan shift registers for each block and the sub-scan shift registers constituted by a plurality of flip-flop circuits with each other is the same as the method shown in FIG. The same connection method as in the second embodiment is applied.
- the scan test circuit and the design method thereof according to the embodiment of the present invention have been described above, a large-scale integrated circuit can be configured by such a scan test circuit and an internal circuit whose operation is tested thereby. If the form digital device provided with the large-scale integrated circuit is configured, the scan test circuit is a low-power circuit, so that a large-scale integrated circuit or a digital device with a long battery life can be realized.
- the present invention it is possible to easily obtain a design guarantee in the operation of each scan shift register, and to insert a shift shift register into a transfer line. Since the number of delay elements for guaranteeing one field can be reduced, a scan test design method that realizes a mouth bust design that minimizes design regression, improves convergence of timing characteristics, and ensures a good scan shift operation,
- the present invention is applicable to a scan test circuit, a scan test circuit insertion program, and a large-scale integrated circuit such as a portable digital device provided with such a scan test circuit.
Landscapes
- Engineering & Computer Science (AREA)
- General Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Tests Of Electronic Circuits (AREA)
- Semiconductor Integrated Circuits (AREA)
- Test And Diagnosis Of Digital Computers (AREA)
Abstract
Description
Claims
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
DE602004016854T DE602004016854D1 (de) | 2003-07-09 | 2004-07-08 | Scan-test-design-verfahren, scan-test-schaltung, scan-testschaltungseinfüge-cad-programm, hochintegrierte schaltung und mobile digitale einrichtung |
US10/557,021 US20060282727A1 (en) | 2003-07-09 | 2004-07-08 | Scan test design method, scan test circuit, scan test circuit insertion cad program, large-scale integrated circuit and mobile digital equipment |
EP04747555A EP1643257B8 (en) | 2003-07-09 | 2004-07-08 | Scan test design method, scan test circuit, scan test circuit insertion cad program, large-scale integrated circuit, and mobile digital device |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2003-272403 | 2003-07-09 | ||
JP2003272403A JP2005032102A (ja) | 2003-07-09 | 2003-07-09 | スキャンテスト設計方法、スキャンテスト回路、スキャンフリップフロップ回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2005006004A1 true WO2005006004A1 (ja) | 2005-01-20 |
Family
ID=34055973
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2004/010089 WO2005006004A1 (ja) | 2003-07-09 | 2004-07-08 | スキャンテスト設計方法、スキャンテスト回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20060282727A1 (ja) |
EP (1) | EP1643257B8 (ja) |
JP (1) | JP2005032102A (ja) |
CN (1) | CN1806179A (ja) |
DE (1) | DE602004016854D1 (ja) |
WO (1) | WO2005006004A1 (ja) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8332793B2 (en) | 2006-05-18 | 2012-12-11 | Otrsotech, Llc | Methods and systems for placement and routing |
CN112084742A (zh) * | 2019-06-14 | 2020-12-15 | 瑞昱半导体股份有限公司 | 集成电路设计方法与其非瞬时计算机可读介质 |
Families Citing this family (35)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060150136A1 (en) * | 2004-12-21 | 2006-07-06 | John Bratt | Systems and methods for designing integrated circuits |
JP4335202B2 (ja) * | 2005-11-18 | 2009-09-30 | パナソニック株式会社 | 半導体集積回路の検査方法およびデザインルール検証方法 |
JP2007170959A (ja) * | 2005-12-21 | 2007-07-05 | Oki Electric Ind Co Ltd | 半導体集積回路とその設計方法 |
JP4808051B2 (ja) * | 2006-03-14 | 2011-11-02 | ルネサスエレクトロニクス株式会社 | 半導体集積回路装置とそのテスト方法 |
JP4890180B2 (ja) * | 2006-09-27 | 2012-03-07 | ルネサスエレクトロニクス株式会社 | クロック分配回路とテスト方法 |
US7622975B2 (en) * | 2007-07-10 | 2009-11-24 | Qualcomm Incorporated | Circuit having a local power block for leakage reduction |
US7673206B2 (en) * | 2007-09-14 | 2010-03-02 | Tilera Corporation | Method and system for routing scan chains in an array of processor resources |
US8010856B2 (en) * | 2007-10-31 | 2011-08-30 | Verigy (Singapore) Pte. Ltd. | Methods for analyzing scan chains, and for determining numbers or locations of hold time faults in scan chains |
CN101216722B (zh) * | 2008-01-08 | 2010-08-11 | 北京中星微电子有限公司 | 一种时钟管理方法及装置 |
CN101493496B (zh) * | 2008-01-22 | 2012-09-19 | 致茂电子股份有限公司 | 可程控ic检测机台的程序规划方法 |
US8074133B2 (en) * | 2008-08-06 | 2011-12-06 | Oracle America, Inc. | Method and apparatus for testing delay faults |
JP5245658B2 (ja) * | 2008-09-05 | 2013-07-24 | 富士通株式会社 | バス接続におけるチップ間信号の共有化方法及び回路 |
CN101881811B (zh) * | 2009-05-08 | 2012-10-24 | 复旦大学 | 一种可编程逻辑器件互连资源的故障测试方法 |
CN102054078B (zh) | 2009-10-30 | 2014-11-26 | 新思科技(上海)有限公司 | 物理设计中基于双向优先选择的扫描链重构方法与装置 |
CN102062836B (zh) * | 2009-11-17 | 2013-02-06 | 三星半导体(中国)研究开发有限公司 | 扫描寄存器、扫描链、芯片及其测试方法 |
JP5567322B2 (ja) * | 2009-12-01 | 2014-08-06 | シリコン・テスト・テクノロジーズ株式会社 | テストフロー提示コンピュータプログラム、テストフロー提示コンピュータシステム |
US8627160B2 (en) * | 2010-04-21 | 2014-01-07 | Lsi Corporation | System and device for reducing instantaneous voltage droop during a scan shift operation |
JP5361930B2 (ja) * | 2011-03-25 | 2013-12-04 | 株式会社東芝 | 半導体集積回路およびその設計方法 |
CN102347057A (zh) * | 2011-08-09 | 2012-02-08 | 北京时代全芯科技有限公司 | 用于数据路径的时钟树结构及具有其的存储器 |
US9032356B2 (en) | 2013-03-06 | 2015-05-12 | Lsi Corporation | Programmable clock spreading |
US9377511B2 (en) * | 2013-11-19 | 2016-06-28 | Infineon Technologies Ag | Coverage enhancement and power aware clock system for structural delay-fault test |
US9222974B2 (en) * | 2014-01-10 | 2015-12-29 | Stmicroelectronics International N.V. | System and method for reducing voltage drop during automatic testing of integrated circuits |
KR102222643B1 (ko) | 2014-07-07 | 2021-03-04 | 삼성전자주식회사 | 스캔 체인 회로 및 이를 포함하는 집적 회로 |
US9536031B2 (en) * | 2014-07-14 | 2017-01-03 | Mediatek Inc. | Replacement method for scan cell of integrated circuit, skewable scan cell and integrated circuit |
KR102501754B1 (ko) | 2016-03-28 | 2023-02-20 | 삼성전자주식회사 | 불균형 멀티플렉서 및 이를 적용하는 스캔 플립플롭 |
CN106446366B (zh) * | 2016-09-09 | 2019-09-10 | 广东顺德中山大学卡内基梅隆大学国际联合研究院 | 一种大规模数字集成电路时钟网格分布方法 |
CN108226763B (zh) * | 2016-12-15 | 2021-08-20 | 三星电子株式会社 | 用于扫描链重新排序的方法、设备和计算机程序产品 |
US10417363B1 (en) * | 2016-12-27 | 2019-09-17 | Cadence Design Systems, Inc. | Power and scan resource reduction in integrated circuit designs having shift registers |
CN106841994A (zh) * | 2017-02-20 | 2017-06-13 | 中国人民解放军国防科学技术大学 | 一种扫描链 |
JP2019049517A (ja) * | 2017-09-12 | 2019-03-28 | 株式会社東芝 | 集積回路、スキャンシフト制御方法、および回路設計方法 |
CN108256189B (zh) * | 2018-01-06 | 2021-08-03 | 嘉兴倚韦电子科技有限公司 | 集成电路半定制后端设计高效时钟树物理位置优化方法 |
US11113444B2 (en) * | 2018-06-27 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Machine-learning based scan design enablement platform |
JP2021124371A (ja) | 2020-02-05 | 2021-08-30 | キオクシア株式会社 | 半導体集積回路 |
CN114002577A (zh) * | 2021-10-21 | 2022-02-01 | 山东云海国创云计算装备产业创新中心有限公司 | 一种芯片测试方法、装置、设备及可读存储介质 |
CN113917321B (zh) * | 2021-12-13 | 2022-03-04 | 苏州浪潮智能科技有限公司 | 扫描链测试方法、装置、计算机设备及存储介质 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0261569A (ja) * | 1988-08-29 | 1990-03-01 | Matsushita Electric Ind Co Ltd | シリアルシフトレジスタ |
JPH10104320A (ja) * | 1996-05-22 | 1998-04-24 | Symbios Logic Inc | 走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路 |
Family Cites Families (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4879718A (en) * | 1987-11-30 | 1989-11-07 | Tandem Computers Incorporated | Scan data path coupling |
JPH08320893A (ja) * | 1995-05-24 | 1996-12-03 | Mitsubishi Electric Corp | 論理合成装置、論理合成方法及び半導体集積回路 |
JP3403612B2 (ja) * | 1997-06-05 | 2003-05-06 | 沖電気工業株式会社 | スキャンパスネットの配線方法と半導体集積回路 |
US6502222B1 (en) * | 2000-09-28 | 2002-12-31 | Lsi Logic Corporation | Method of clock buffer partitioning to minimize clock skew for an integrated circuit design |
-
2003
- 2003-07-09 JP JP2003272403A patent/JP2005032102A/ja active Pending
-
2004
- 2004-07-08 CN CN200480016244.3A patent/CN1806179A/zh active Pending
- 2004-07-08 WO PCT/JP2004/010089 patent/WO2005006004A1/ja active IP Right Grant
- 2004-07-08 DE DE602004016854T patent/DE602004016854D1/de not_active Expired - Fee Related
- 2004-07-08 US US10/557,021 patent/US20060282727A1/en not_active Abandoned
- 2004-07-08 EP EP04747555A patent/EP1643257B8/en not_active Expired - Fee Related
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0261569A (ja) * | 1988-08-29 | 1990-03-01 | Matsushita Electric Ind Co Ltd | シリアルシフトレジスタ |
JPH10104320A (ja) * | 1996-05-22 | 1998-04-24 | Symbios Logic Inc | 走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路 |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8332793B2 (en) | 2006-05-18 | 2012-12-11 | Otrsotech, Llc | Methods and systems for placement and routing |
CN112084742A (zh) * | 2019-06-14 | 2020-12-15 | 瑞昱半导体股份有限公司 | 集成电路设计方法与其非瞬时计算机可读介质 |
CN112084742B (zh) * | 2019-06-14 | 2024-05-17 | 瑞昱半导体股份有限公司 | 集成电路设计方法与其非瞬时计算机可读介质 |
Also Published As
Publication number | Publication date |
---|---|
DE602004016854D1 (de) | 2008-11-13 |
CN1806179A (zh) | 2006-07-19 |
EP1643257A4 (en) | 2006-07-26 |
EP1643257B1 (en) | 2008-10-01 |
US20060282727A1 (en) | 2006-12-14 |
EP1643257A1 (en) | 2006-04-05 |
EP1643257B8 (en) | 2008-12-31 |
JP2005032102A (ja) | 2005-02-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO2005006004A1 (ja) | スキャンテスト設計方法、スキャンテスト回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器 | |
US6779158B2 (en) | Digital logic optimization using selection operators | |
EP1709454B1 (en) | Test architecture and method | |
EP1460569B1 (en) | Semiconductor integrated circuit device with test signal repeater circuit and related design automation apparatus, method and program | |
US8185860B2 (en) | Method for matching timing on high fanout signal paths using routing guides | |
US8789004B2 (en) | Automatic flow of megacell generation | |
Manohar | Reconfigurable asynchronous logic | |
JP2007027841A (ja) | 半導体集積回路の設計装置と方法並びにプログラム | |
US20030177457A1 (en) | Optimization of digital designs | |
US20120210286A1 (en) | Adding fine grain tuning circuitry to integrated circuit design | |
CN108226763B (zh) | 用于扫描链重新排序的方法、设备和计算机程序产品 | |
US7539957B1 (en) | Automatic test pattern generation tool with feedback path capabilities for testing circuits with repeating blocks | |
JP5297468B2 (ja) | 半導体集積回路の設計方法およびソフトウエア | |
JP2007072995A (ja) | レイアウト装置、自動配置配線方法および半導体集積回路製造方法 | |
WO2002103757A2 (en) | Digital circuits with selection operators | |
JP2007103662A (ja) | 半導体集積回路およびその製造方法 | |
JP5063958B2 (ja) | 半導体集積回路および半導体集積回路の設計方法 | |
JP2012063886A (ja) | 半導体集積回路の自動配置配線方法、レイアウト装置、自動配置配線プログラム、及び半導体集積回路 | |
JP2009253023A (ja) | 半導体集積回路の設計方法 | |
JP2009004700A (ja) | スペアセルの挿入/配置方法 | |
JP2008242918A (ja) | 半導体集積回路の設計装置 | |
JP2004207530A (ja) | 半導体集積回路及びそのレイアウト設計方法 | |
JPH11110438A (ja) | Lsi設計の修正方法 | |
JP2004294213A (ja) | スキャン機能付フリップフロップ回路およびスキャンテスト回路ならびにスキャンテスト回路配線方法 | |
JP2004185209A (ja) | 半導体集積回路のクロックネットワーク回路 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A1 Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A1 Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
WWE | Wipo information: entry into national phase |
Ref document number: 2004747555 Country of ref document: EP |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2006282727 Country of ref document: US Ref document number: 10557021 Country of ref document: US |
|
WWE | Wipo information: entry into national phase |
Ref document number: 20048162443 Country of ref document: CN |
|
WWP | Wipo information: published in national office |
Ref document number: 2004747555 Country of ref document: EP |
|
WWP | Wipo information: published in national office |
Ref document number: 10557021 Country of ref document: US |
|
WWG | Wipo information: grant in national office |
Ref document number: 2004747555 Country of ref document: EP |