JP2005032102A - スキャンテスト設計方法、スキャンテスト回路、スキャンフリップフロップ回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器 - Google Patents

スキャンテスト設計方法、スキャンテスト回路、スキャンフリップフロップ回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器 Download PDF

Info

Publication number
JP2005032102A
JP2005032102A JP2003272403A JP2003272403A JP2005032102A JP 2005032102 A JP2005032102 A JP 2005032102A JP 2003272403 A JP2003272403 A JP 2003272403A JP 2003272403 A JP2003272403 A JP 2003272403A JP 2005032102 A JP2005032102 A JP 2005032102A
Authority
JP
Japan
Prior art keywords
scan
circuit
flip
clock
sub
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003272403A
Other languages
English (en)
Inventor
Masahiro Hoshaku
雅浩 寶積
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2003272403A priority Critical patent/JP2005032102A/ja
Priority to DE602004016854T priority patent/DE602004016854D1/de
Priority to CN200480016244.3A priority patent/CN1806179A/zh
Priority to US10/557,021 priority patent/US20060282727A1/en
Priority to EP04747555A priority patent/EP1643257B8/en
Priority to PCT/JP2004/010089 priority patent/WO2005006004A1/ja
Publication of JP2005032102A publication Critical patent/JP2005032102A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318575Power distribution; Power saving
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • G01R31/318591Tools
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

【課題】 スキャンテスト回路設計において、スキャンシフトレジスタのシフト動作におけるホールド時間保証として、シフトレジスタのデータラインに挿入する遅延素子の数を大幅に削減可能として、消費電力を抑える。
【解決手段】 クロックツリーTの最終段素子101f単位で、この最終段素子101fで駆動される複数のフリップフロップ回路同士(102a同士、102b同士、102c同士…)を直列に接続して、サブスキャンチェーンを構成する。また、クロックツリーTのクロック供給点Sからの遅延素子数の相対的な段数差が最小である(即ち、1段差の)サブスキャンチェーン同士を接続する。更に、サブスキャンチェーン同士を接続するに際しては、クロック遅延の大きいフリップフロップ回路からクロック遅延の小さいフリップフロップ回路へデータシフトが行われるように接続する。
【選択図】 図1

Description

本発明は、LSI設計方法、LSIテスト回路、及びLSI設計CADプログラムに関し、詳しくは、スキャンテスト回路設計時に問題となるシフトレジスタ動作のホールド時間に関する設計保証、及びホールド保証遅延素子の挿入に伴う回路面積増加や消費電力増加、リーク電流増加を抑えたテスト容易化設計技術に関する。
従来、テスト容易化設計としては、スキャンテスト設計を行う場合が最も一般的である。このスキャンテスト設計を図5に基づいて説明する。
図5において、RTL設計後、RTLファイル501を入力データとして論理合成CADプログラム502を行いてゲートレベルのネットリスト503を生成する。このゲートレベル回路503に対して、スキャンテスト回路挿入CADプログラム504を用いて、
先ず、回路の一部を構成するフリップフロップ回路(以下、FF回路という)をスキャンFF回路に置換する。このスキャンFF回路は、入力端子として、通常データ入力端子Dと、テスト入力端子DTとを持っており、スキャンシフトモードに設定すると、DT側端子からのデータ入力が選択され、テストモード(非スキャンシフトモード)に設定すると、D側端子からのデータ入力が選択される構成になっている。次に、前記スキャンテスト回路挿入CADプログラム504は、スキャンFF回路における出力端子NQ(又はQ)とテスト入力端子DTとをカスケード接続する。これにより、カスケード接続された複数のスキャンFF回路は巨大なシフトレジスタとして動作し、スキャンテスト回路挿入後のネットリスト505を作成する。
回路をテストする場合には、前記スキャンシフトレジスタに対して外部端子スキャンインからATPG(自動テストパターン生成) プログラムで作成した検査用データをシリアル入力して、前記シフトレジスタにデータをシフトインした後、テストモードに切り替え、通常のFF回路間データ転送を実施する。その後、再度シフトレジスタ動作を行って、データを外部端子スキャンアウトから取り出し、期待値との照合を行うことにより、LSIの故障検査を行う。
この従来のスキャンテスト設計時においては、スキャンFF回路のDT入力端子とQ出力端子の接続はランダムに行われていた。つまり、どのFF回路からどのFF回路へデータシフトが行われるかは、設計上、特に指定されない。その結果、従来のスキャン設計によって得られた回路は、例えば図2に示すような回路構成なる。この図2の事例ではFF回路202a→FF回路202bのシフトデータ転送や、FF回路202b→FF回路202c→FF回路202d、又はFF回路202f→FF回路202g→FF回路202hという異なるクロックツリー系統間でのシフトデータ転送が行われる個所が存在する。
また、このような従来のスキャン設計によって得られる回路では、例えば特許文献1に記載されるように、クロックスキューを低減するように、所定箇所に遅延挿入用バッファが配置される。
特開平11−108999号公報
しかしながら、前記従来の設計方法でスキャンシフトレジスタの動作保証を実現した場合には、図2に例示するように、異なるクロックツリー系統間でのシフトデータ転送が行われる個所が多数存在するために、その異なるクロックツリー系統間のスキャンシフト回路部分に、多数のールド保証用遅延素子206a〜206eが挿入されて、回路面積の増加や消費電力、及び多量の遅延素子の待機時のリーク電流の増加が生じるという課題がある。
更に、前記図2に示した事例のように、異なるクロックツリー間のFF回路同士を接続している従来回路では、クロストーク等の干渉やIRドロップの影響が顕著に生じ易い半導体微細プロセスを用いた設計を採用すると、クロックツリー部分の遅延時間に前記干渉やIRドロップの影響が及び、シフトデータ転送のホールドマージンは更に必要となるため、スキャンシフト回路部分に挿入される遅延素子の数は一層増えることになる。以上のようなテスト容易化設計によって生じる遅延素子数の更なる増加は、LSIの回路面積を一層に増加させ、更には、消費電力の増加や、多数の遅延素子の待機時のリーク電流の顕著な増加を招く。
本発明の目的は、スキャンテスト設計方法やスキャンテスト回路において、微細化プロセスを用いた大規模集積回路で顕著になるクロストークやIRドロップの影響が顕著になっても、スキャンシフト回路に挿入する遅延素子数を有効に少なくし、これにより、大規模集積回路の面積の削減や、消費電力及びオフリーク電流を効果的に抑制しながら、スキャンシフトレジスタの動作保証を確実に得ることにある。
前記の課題を解決するために、本発明では、備える複数個のスキャンフリップフロップ回路の接続関係、即ち、どのスキャンフリップフロップ回路からどのスキャンフリップフロップ回路にデータを転送すれば、挿入すべき遅延素子数が低減されるかを今回新たに系統立てて、検討した。
この検討により、本発明では、クロックツリーシンセシス(以下、CTSと略す)の各最終段素子が駆動する複数のフリップフロップ回路を1つのグループとしてスキャンシフトレジスタを構成する。更に、このように構成した複数のスキャンシフトレジスタを各々サブスキャンチェーンとして、サブスキャンチェーン同士を接続して更に大きなスキャンシフトレジスタを構成する場合には、サブスキャンチェーンを接続する優先順位として、
次の順位を採用する。
(1)クロックラインのゲート段数が同じもの同士を接続する。
(2)前記段数差があるシフトレジスタ同士を接続する際には、段数差が小さいもの同士を優先して接続する。
(3)前記段数差があるシフトレジスタ同士の接続時には、段数の多いサブチェーンから段数の少ないサブチェーンに向かってデータが転送されるように接続するか、又は、クロック遅延の大きいサブチェーンからクロック遅延の小さいサブチェーンにデータが転送されるように接続する。
具体的に、請求項1記載の発明のスキャンテスト設計方法は、スキャンテスト回路として多数のスキャンフリップフロップ回路を有し、前記多数のスキャンフリップフロップ回路のクロック端子に対してクロックツリーが構成されている半導体集積回路において、前記クロックツリーの最終段に位置する複数の最終段素子に着目し、この各最終段素子別に、この各最終段素子により駆動される複数のスキャンフリップフロップ回路同士を直列に接続してスキャンシフトレジスタを構成することを特徴とする。
請求項2記載の発明は、前記請求項1記載のスキャンテスト設計方法において、前記各最終段素子別のスキャンシフトレジスタを各々サブスキャンチェーンとして、前記サブスキャンチェーン同士を接続して更に長いスキャンシフトレジスタを構成するに際し、前記クロックツリーを構成する素子の段数が同一であるサブスキャンチェーン同士を優先的に接続することを特徴とする。
請求項3記載の発明は、前記請求項1記載のスキャンテスト設計方法において、前記各最終段素子別のスキャンシフトレジスタを各々サブスキャンチェーンとして、前記サブスキャンチェーン同士を接続して更に長いスキャンシフトレジスタを構成するに際し、前記クロックツリーを構成する素子の段数が異なるサブスキャンチェーン同士を接続する場合には、前記クロックツリーを構成する素子間の相対的な段数差が最小であるサブスキャンチェーン同士を優先的に接続することを特徴とする。
請求項4記載の発明は、前記請求項3記載のスキャンテスト設計方法において、前記クロックツリーを構成する素子の段数が異なるサブスキャンチェーン同士の接続時には、前記クロックツリーを構成する素子の段数差に応じて予め定めた数の遅延素子を前記接続するサブスキャンチェーン間に挿入することを特徴とする。
請求項5記載の発明は、前記請求項1、2、3又は4記載のスキャンテスト設計方法において、前記各最終段素子別のスキャンシフトレジスタを各々サブスキャンチェーンとして、前記サブスキャンチェーン同士を接続して更に長いスキャンシフトレジスタを構成するに際し、前記クロックツリーのクロック原点から各サブスキャンチェーンを構成するフリップフロップ回路のクロック端子までの遅延時間の大きいサブスキャンチェーンから前記遅延時間の小さいサブスキャンチェーンへとデータ転送が行われる順番で、前記サブスキャンチェーン同士を接続することを特徴とする。
請求項6記載の発明のスキャンテスト設計方法は、スキャンテスト回路として多数のスキャンフリップフロップ回路を有し、前記多数のスキャンフリップフロップ回路のクロック端子に対してクロックツリーが構成されていると共に、クロックツリーの複数の所定位置に各々クロックゲート素子を配置したゲーティッド・クロックツリーを有する半導体集積回路において、前記複数のクロックゲート素子に着目し、この各クロックゲート素子別に、このクロックゲート素子により駆動される複数のスキャンフリップフロップ回路同士を直列に接続してスキャンシフトレジスタを構成することを特徴とする。
請求項7記載の発明は、前記請求項6記載のスキャンテスト設計方法において、前記各クロックゲート素子別のスキャンシフトレジスタを各々サブスキャンチェーンとして、前記サブスキャンチェーン同士を接続して更に長いスキャンシフトレジスタを構成するに際し、前記請求項2、3、4又は5記載のスキャンテスト設計方法を実施することを特徴とする。
請求項8記載の発明のスキャンテスト回路は、複数のフリップフロップ回路を直列に接続してスキャンシフトレジスタが構成されたスキャンテスト回路において、前記複数のフリップフロップ回路同士を接続する何れかの接続ネットには、遅延素子が挿入され、前記遅延素子は、この遅延素子を構成するトランジスタの閾値電圧が前記フリップフロップ回路を構成するトランジスタの閾値電圧よりも高閾値電圧であるトランジスタにより構成されていることを特徴とする。
請求項9記載の発明のスキャンフリップフロップ回路は、スキャンシフトレジスタを構成するためのスキャンフリップフロップ回路において、前記スキャンフリップフロップ回路は、通常データ入力端子側回路とスキャンシフトデータ入力側回路とを有し、前記スキャンシフトデータ入力側回路は、少なくとも前記通常データ入力端子側回路を構成するトランジスタの閾値電圧よりも高い閾値電圧を有するトランジスタにより構成されていることを特徴とする。
請求項10記載の発明のスキャンテスト回路は、前記請求項9記載のスキャンフリップフロップ回路を複数備え、前記複数のスキャンフリップフロップ回路が直列に接続されてスキャンシフトレジスタが構成されていることを特徴とする。
請求項11記載の発明のスキャンテスト設計方法は、半導体集積回路に備える複数のフリップフロップ回路をスキャンフリップフロップ回路に置換し、この複数のスキャンフリップフロップ回路を直列に接続してスキャンシフトレジスタを構成するに際し、前記複数のスキャンフリップフロップ回路として、前記請求項9記載のスキャンフリップフロップ回路を使用することを特徴とする。
請求項12記載の発明のスキャンテスト回路は、複数のスキャンフリップフロップ回路が直列に接続されてスキャンシフトレジスタが構成され、且つ、前記複数のスキャンフリップフロップ回路のクロック端子に対してクロックツリーが構成されているスキャンテスト回路において、前記複数のスキャンフリップフロップ回路のうち、前記クロックツリーの所定のクロック供給点からフリップフロップ回路のクロック端子までの素子段数が同じである少なくとも2個以上のフリップフロップ回路同士が連続して接続されて、前記スキャンシフトレジスタが構成されることを特徴とする。
請求項13記載の発明は、前記請求項12記載のスキャンテスト回路において、前記クロックツリーの所定のクロック供給点からフリップフロップ回路のクロック端子までの素子段数が異なるフリップフロップ回路同士では、前記クロックツリーの所定のクロック供給点からフリップフロップ回路のクロック端子までの素子段数の相対的な段数差が最小であるフリップフロップ回路同士が連続して接続されて、前記スキャンシフトレジスタが更に長く構成されることを特徴とする。
請求項14記載の発明のスキャンテスト回路は、複数のスキャンフリップフロップ回路を有し、前記複数のスキャンフリップフロップ回路のクロック端子に対してクロックツリーが構成されているスキャンテスト回路において、前記クロックツリーの末端に位置する複数の最終段素子毎に、この各最終段素子に接続される複数のフリップフロップ回路同士でスキャンシフトレジスタが構成されることを特徴とする。
請求項15記載の発明は、前記請求項10、11又は12記載のスキャンテスト回路において、前記複数のスキャンシフトレジスタ相互間には、各々、遅延素子が配置されていて、前記複数のスキャンシフトレジスタを前記複数の遅延素子を介して接続した長いシフトレジスタが構成されることを特徴とする。
請求項16記載の発明は、前記請求項15記載のスキャンテスト回路において、前記各遅延素子は、前記フリップフロップ回路を構成するトランジスタの閾値電圧よりもより高い閾値電圧を有するトランジスタにより構成されることを特徴とする。
請求項17記載の発明のスキャンテスト回路挿入用CADプログラムは、多数のフリップフロップ回路を有し、前記多数のフリップフロップ回路のクロック端子に対してクロックツリーが構成されている半導体集積回路に対して、前記多数のフリップフロップ回路を各々スキャンフリップフロップ回路に置換する工程と、その後、前記クロックツリーの最終段に位置する複数の最終段素子別に、この最終段素子により駆動される複数のスキャンフリップフロップ回路同士を直列に接続してスキャンシフトレジスタを構成する工程とをコンピュータに実行させることを特徴とする。
請求項18記載の発明のスキャンテスト回路挿入用CADプログラムは、複数のスキャンフリップフロップ回路を有する任意のスキャンテスト回路の回路データを入力する工程と、前記回路データにおける前記スキャンフリップフロップ回路間のシフトデータ転送部分の回路接続を一旦切断する工程と、その後、前記複数のスキャンフリップフロップ回路のクロック端子に対してクロックツリーが構成されている場合に、前記クロックツリーの最終段に位置する複数の最終段素子別に、この各最終段素子により駆動される複数のスキャンフリップフロップ回路同士を直列に接続してスキャンシフトレジスタを構成して、スキャンチェーンを適切化する工程と、前記適切化後のネットリスト情報を出力する工程とをコンピュータに実行させることを特徴とする。
請求項19記載の発明は、前記請求項18記載のスキャンテスト回路挿入用CADプログラムにおいて、前記各最終段素子により駆動される複数のスキャンフリップフロップ回路同士を直列に接続したスキャンシフトレジスタを、各々、サブスキャンチェーンとして、前記クロックツリーを構成する素子の段数が異なるサブスキャンチェーン同士を接続する場合には、前記クロックツリーを構成する素子間の相対的な段数差が最小であるサブスキャンチェーン同士を優先的に接続する工程と、その後、ネットリスト情報を出力する工程とをコンピュータに実行させることを特徴とする。
請求項20記載の発明の大規模集積回路は、請求項12、13又は14記載のスキャンテスト回路と、前記スキャンテスト回路によりテストされる内部回路とを備えたことを特徴とする。
請求項21記載の発明の携帯デジタル機器は、前記請求項20記載の大規模集積回路が搭載されていることを特徴とする。
以上により、請求項1〜7及び12〜21記載の発明では、クロックツリーの最終段素子が駆動する複数のフリップフロップ回路単位でスキャンシフトレジスタが構成されていて、これらの複数のフリップフロップ回路は、相互に、これらフリップフロップ回路へのクロック信号の伝搬遅延時間がほぼ等しいので、この各スキャンシフトレジスタの動作における設計保証が容易に得られる。
更に、従来技術のように、データホールド違反が発生する個所がスキャンテスト回路の挿入時には特定できず、その後のタイミング設計時においてホールド違反個所を特定して、この違反箇所に対してホールド保証遅延素子を挿入する方法では、スキャンフリップフロップ回路の出力側に多くのホールド保証用遅延素子を挿入する必要があり、このため、スキャンテスト動作以外の通常動作においても、ホールド保証用遅延素子が不要に遷移して消費電力が増加する欠点があるが、本請求項1〜7及び12〜21記載の発明では、シフトデータ転送ラインに挿入するホールド保証用遅延素子の数を削減できるので、低消費電力化を実現できると共に、それら遅延素子の待機時でのリーク電流(オフリーク電流)を削減できるので、より一層に低消費電力化が可能である。
加えて、従来技術では、既述の通り、ホールド違反の発見後にホールド保証用遅延素子を挿入する方法であったために、一旦、フリップフロップ回路間のタイミング特性が設計制約を満たしていても、スキャンテスト回路の挿入後にデータシフト回路側に前記ホールド違反が発生すると、回路全体のタイミング特性を悪化させる場合がある。しかし、本請求項1〜7及び12〜21記載の発明では、最小限の数のホールド保証用遅延素子のみをスキャンシフト回路に挿入することができ、且つその後のタイミング設計時にホールド違反が発生し難い回路構成であるので、設計の後戻りが少なく、タイミング特性の収束性も向上して、短TAT設計が可能である。
また、得られたスキャンテスト回路を用いて製造検査を実施する場合に、製造プロセスのバラツキやクロストークなどの干渉、又はIRドロップ等に起因して、クロック回路の遅延特性がチップ面内の局所的な場所で発生しても、スキャンシフト動作を良好に保証できるロバスト設計を実現できるので、スキャンテストでの製造歩留まりが向上する。
特に、請求項2及び3記載の発明では、クロックツリーを構成する素子の段数が同一であるサブスキャンチェーン同士や、その段数相対的な差が最小であるサブスキャンチェーン同士が最優先して接続されるので、製造バラツキ、又はクロストーク等の干渉やIRドロップに起因してクロック系統の伝搬遅延特性が局所的に変動した場合であっても、スキャンテスト回路のシフトレジスタ動作に対する設計保証を良好に得ることができる。
また、請求項8記載の発明では、スキャンシフトラインに挿入するホールド保証用の遅延素子が高閾値のトランジスタで構成されるので、より数の少ないゲート数(セル数)でもってシフト動作保証が可能となり、回路面積の削減効果と併せてそれ等の遅延素子の待機時のリーク電流(オフリーク電流)が有効に削減される。
更に、請求項9記載の発明では、スキャンシフトラインに挿入するホールド保証用の遅延素子をスキャンフリップフロップ回路に内蔵する構成としたので、スキャンフリップフロップ回路自体の面積を通常のスキャンフリップフロップ回路と同等に保持しながら、スキャンシフトラインに挿入するホールド保証用遅延素子を不要、又はその遅延素子の数を大幅に削減することが可能であり、従来よりも小さい回路面積のスキャンテスト回路が実現できる。
加えて、請求項17〜19記載の発明のスキャンテスト回路挿入用CADプログラムでは、クロックツリーの最終段素子により駆動される複数のスキャンフリップフロップ回路同士を直列に接続してスキャンシフトレジスタを構成する設計アルゴリズムを持つので、スキャンテスト回路の挿入の自動化が可能であると共に、従来のスキャンチェーン配線最適化機能と同じ設計段階で前記設計アルゴリズムを用いることができ、設計工程を増加させることなく且つ後戻りが少ない半導体集積回路の設計が可能である。
また、請求項20、21記載の発明では、内蔵するスキャンテスト回路が、オフリーク電流が少なく低消費電力であって、低電力回路であるので、バッテリー駆動の携帯デジタル機器や自動車搭載デジタル機器に応用することにより、バッテリー寿命の長いデジタル機器が実現される。
以上説明したように、請求項1〜7及び12〜21記載の発明によれば、クロックツリーの最終段素子が駆動する複数のフリップフロップ回路単位でスキャンシフトレジスタを構成したので、この各スキャンシフトレジスタの動作における設計保証を容易に得ることができると共に、シフトデータ転送ラインに挿入するホールド保証用遅延素子の数を削減できるので、低消費電力化を実現でき、設計の後戻りが少なく且つタイミング特性の収束性の向上を図ることができ、更にはスキャンシフト動作を良好に保証できるロバスト設計を実現でき、スキャンテストでの製造歩留まりを向上させることができる。
特に、請求項2及び3記載の発明によれば、クロックツリーを構成する素子の段数が同一であるサブスキャンチェーン同士や、その段数相対的な差が最小であるサブスキャンチェーン同士を優先して接続したので、製造バラツキ、又はクロストーク等の干渉やIRドロップに起因してクロック系統の伝搬遅延特性が局所的に変動した場合であっても、スキャンテスト回路のシフトレジスタ動作に対する設計保証を良好に得ることができる。
また、請求項8記載の発明によれば、スキャンシフトラインに挿入するホールド保証用の遅延素子を高閾値のトランジスタで構成したので、回路面積の削減効果と併せてそれ等の遅延素子のオフリーク電流を有効に削減できる。
更に、請求項9記載の発明によれば、スキャンシフトラインに挿入するホールド保証用の遅延素子をスキャンフリップフロップ回路に内蔵する構成としたので、スキャンシフトラインに挿入するホールド保証用遅延素子を不要、又はその遅延素子の数を大幅に削減できて、従来よりも小さい回路面積のスキャンテスト回路を実現できる。
加えて、請求項17〜19記載の発明によれば、スキャンテスト回路の挿入の自動化が可能であると共に、従来のスキャンチェーン配線最適化機能と同じ設計段階で前記設計アルゴリズムを用いることができ、設計工程を増加させることなく且つ後戻りが少ない半導体集積回路の設計が可能である。
また、請求項20、21記載の発明によれば、内蔵するスキャンテスト回路が、オフリーク電流が少なく低消費電力であって、低電力回路であるので、バッテリー駆動の携帯デジタル機器や自動車搭載デジタル機器に応用して、バッテリー寿命の長いデジタル機器を実現できる。
以下、本発明の実施の形態を図面に基づいて説明する。
(第1の実施の形態)
図1は本発明の第1の実施の形態におけるテスト容易化設計方法により実現したスキャンシフト回路のスキャンシフトレジスタの構成を表しており、特に、CTSバッファの構成とスキャンシフトレジスタの接続関係とを示した図である。以下、この図1を用いて説明する。
図1において、101はクロック遅延調整バッファ、101a〜101fはCTSバッファであって、所定のクロック原点又はクロック供給点Sからバッファ101を経てバッファ101a、10b、101cに分岐し、更にその各分岐毎に3つのバッファ101fに分岐するクロックツリーTが形成され、このクロックツリーTを介して多数のフリップフロップ回路(以下、FF回路という)FF回路102a〜102jのクロック端子にクロック信号が供給される。
本実施の形態の設計方法でにおいては、最初に、CTSの最終段素子101fが駆動する複数のFF回路を最小単位としてスキャンシフトレジスタを構成する。従って、図1における3個のFF回路102aを用いてスキャンシフトレジスタの最小単位を構成する。同様に各3個のFF回路102b、102c、102d、102e、102f、102g、102h、102i、102j毎にこれら3個のFF回路を直列に接続して、各々、スキャンシフトレジスタを構成している。
本実施の形態では、前記スキャンシフトレジスタの最小単位をサブスキャンチェーンと呼ぶ。このサブスキャンチェーン内のFF回路は、CTS設計の性格上、最もクロックスキューの小さなFF回路集団であり、これら同一のCTSバッファで駆動されるFF回路間で構成したスキャンシフトレジスタは、安定したシフト動作が期待できる。
また、微細化プロセスにおいてクロストーク等の干渉やIRドロップ等の影響が顕著になった場合においては、特にホールド時間違反によるデータシフトの不具合が問題となる。この原因は、クロック遅延がクロストークやIRドロップなどによって変動することが挙げられる。本実施の形態では、前記サブスキャンチェーンが、同一のCTSバッファで駆動されたFF回路の集団であるので、クロック遅延の変動はほぼ等しく同一に影響する。従って前記遅延変動の影響に対して安定した動作保証が可能なスキャンシフトレジスタを提供できる。
(第2の実施の形態)
次に、本発明の第2の実施の形態を説明する。
前記第1の実施の形態を示す図1においては、3個のFF回路102aを用いてサブスキャンチェーンを構成し、また各3個のFF回路102b、102c、102d、102e、102f、102g、102h、102i、102jを用いて、前記3個のFF回路102aと同様に、各々スキャンシフトレジスタを構成した。従って、前記第1の実施の形態では、シフトレジスタの各入出力をLSIのスキャン入力又はスキャン出力に各々接続することにより、スキャンテスト回路を構成することが可能である。しかし、この場合には、大規模な回路において、テスト端子の数が膨大になり、テストコストの増大やLSIの外部端子制約で端子が不足し、てテスト容易化設計の実現が困難な場合が生じる。
そこで、前記第1の実施の形態で説明したサブスキャンチェーン同士を接続して、更に大きなスキャンシフトレジスタを構成することにより、スキャン入出力端子を削減する構成が本実施の形態である。
つまり、図1において説明した前記各サブスキャンチェーンにおいては、最初に、CTSバッファの段数が等しいサブスキャンチェーン同士を、図1に示したサブスキャンチェーン間接続ネット107、108、109により優先的に接続する。このとき、サブスキャンチェーン同士の接続に際し、設計マージンを考慮してホールド時間保証用に任意の個数のバッファを挿入しても良い。特に、クロストーク等の干渉やIRドロップ等の影響によって各サブスキャンチェーンのクロック遅延が変動した際には、各サブスキャンチェーン間のクロック変動は各々異なるため、この変動分を考慮したホールド保証バッファを挿入する方法が望ましい。但し、サブスキャンチェーン間の接続においては配線長が十分長い場合もあり、必ずしも前記バッファの挿入が必要ではない。図1の回路例では、サブスキャンチェーン間接続ネット107、108、109において、ホールド時間保証用遅延素子は省略可能であるので、そのような遅延素子を介さずFF回路同士を直接接続している例を示している。
このように、本実施の形態では、同一のCTSバッファ段数のサブスキャンチェーン同士を接続するので、LSI内のスキャンチェーンの本数が減少し、従って、スキャンテスト端子の不足を解消することが可能である。
(第3の実施の形態)
続いて、本発明の第3の実施の形態を説明する。
本第3の実施の形態は、前記第2の実施の形態でもなおスキャンテスト端子(スキャンイン端子及びスキャンアウト端子)の数が制約端子数以内に収まらない場合の設計方法を示している。
前記第2の実施の形態においてスキャンテスト端子数の制約を解消できない場合や、その他の理由で更にスキャンチェーン数を少なくしたい場合には、CTSバッファ段数が異なるスキャンシフトレジスタ同士を接続する必要がある。この場合にも、最初は、前記第2の実施の形態と同様に、CTSバッファ段数が等しいシフトレジスタ同士をサブスキャンチェーン間接続ネット107、108、109により優先的に直列に接続する。
次いで、図1において、接続の第2優先順位として、クロック供給点SからCTSバッファまでの相対的なバッファ段数差が最小、すなわち段数差が1段のシフトレジスタ同士を各々接続ネット110、111で優先して接続する。ここで、これらの接続ネット110、111には、前記段数差が1段であるので、図1において1つの遅延素子106a、106bが挿入される。
続いて、更にスキャンシフトチェーン数を削減したい場合には、第3優先順位として、CTSバッファ段数の相対差が2段以内のサブスキャンチェーン同士を図1のサブスキャンチェーン間接続ネット112により接続する。ここで、この接続ネット112には、前記段数差が2段であるので、2個の遅延素子106cが挿入される。 以後、同様にCTSバッファ段数の相対差が少ないシフトレジスタ同士を優先的に接続する方法を用いて、設計要求仕様又は設計制約に適合したスキャンシフトチェーン数となるようテスト設計を行う。図1は、この方法を用いて、最終的に1本のスキャンチェーン103でもってスキャンテスト回路を構成した場合の回路例を示している。そして、CTSバッファ段数の異なるサブスキャンチェーン間の接続においては、CTSバッファの相対的な段数差に合わせて、相対段数差の大きな個所にはバッファを多く、相対段数差の小さな個所にはバッファの挿入数を少なくする。尚、挿入するバッファ数は相対段数差毎に予め決めておく。
(第4の実施の形態)
次に、本発明の第4の実施の形態を説明する。
前記第2及び第3の実施の形態では、ホールド時間保証用に挿入する遅延素子106a〜106cの数は、設計マージンを考慮して予め決定しておく必要がある。この場合、特に前記第3の実施の形態のようにCTSバッファの相対的な段数差が異なり、且つ異なる種々の段数差のサブスキャンチェーン同士を直列接続するときには、組合せ誤差を考慮すると、挿入する遅延素子の数がオーバマージン設計になる点が懸念される。
そこで、本第4の実施の形態においては、前記第2の実施の形態の設計方法で構成したシフトレジスタ、即ち、クロックツリーを構成する素子の段数が等しいシフトレジスタ同士、を第1優先順位として接続し、その結果において更に直列接続して大きなスキャンシフトレジスタを構成する必要がある場合には、前記第3の実施の形態の第2優先順位とは異なり、次の第2優先順位を採用する。
すなわち、本第4の実施の形態では、クロック回路の素子数(例えばCTSバッファ数)が異なるサブスキャンチェーン同士を接続する設計ルールとして、第2優先順位として、スキャンイン端子側に最もCTSバッファ段数の多いサブスキャンチェーンを配置し、一方、スキャンアウト端子側には、最もクロック回路の構成素子段数が少ないスキャンシフトレジスタを配置する。そして、前記スキャンイン端子側での次段のサブスキャンチェーンとスキャンアウト端子側での前段のサブスキャンチェーンとの間に接続するサブスキャンチェーンは、スキャンイン端子側に近い方からスキャンアウト端子側に近い方へ向かって、CTSバッファ段数が多いサブスキャンチェーンからCSTバッファ段数が少ないサブスキャンチェーンへと接続して行く設計方法を採用する。
即ち、この設計方法で構成されたスキャンテスト回路は、図3に示すように、CTSバッファ段数が同じFF回路間の転送か、又は、CTSバッファ段数が多いFF回路からCTSバッファ段数が少ないFF回路へ向かって(即ち、サブスキャンチェーンにおいて、供給されるクロック信号の遅延時間が大きい側から小さい側へとデータ転送が行われる順番で)シフト動作が行われるスキャンテスト回路となる。具体的には、図3において、CTSバッファ段数が7段で同じサブスキャンチェーン310a同士間、CTSバッファ段数が6段で同じサブスキャンチェーン310b同士間、CTSバッファ段数が5段で同じサブスキャンチェーン310c同士間で先ず接続され、その後、CTSバッファ段数が多いサブスキャンチェーン310aからCTSバッファ段数が少ないサブスキャンチェーン310b、310c、及びCTSバッファ段数が3段で最も少ないサブスキャンチェーン310dへ向かってデータ転送が行われるように、サブスキャンチェーン同士が接続される。
ここで、CTSバッファ段数が多いシフトレジスタは一般的にクロック遅延が遅い場合が多く、一方、CTSバッファ段数が少ないシフトレジスタは一般的にクロック遅延が早いと予想される。従って、CTSバッファ段数差が生じたサブスキャンチェーン間のデー転送においては、クロック遅延が若干遅いFF回路からクロック遅延が若干早いFF回路へデータ転送されるので、セットアップ時間のマージンは小さくなるが、一方、ホールド時間に対しては安全設計となる。スキャンテスト回路の場合には、データシフト回路部分はFF回路間に回路がない場合が一般的であって、セットアップ時間は十分余裕がある。一方、データシフト回路のFF回路間にゲートが存在しない分、スキャンシフトレジスタでは、ホールド時間の保証が課題となる。即ち、本第4の実施の形態においては、ホールド時間に余裕を持たせた回路構成が容易に提供でき。これにより、本第4の実施の形態では、クロストーク等の干渉やIRドロップの影響によって生じるクロック遅延変動に対してもロバストなシフトレジスタが得られる。
更に、本第4の実施の形態では、クロック遅延変動に対して安全な設計となっている分、クロック回路を構成する素子段数が異なるサブスキャンチェーン間のデータラインに挿入するホールド保証用遅延素子の数もオーバマージン設計する必要が無く、設計精度が高い分、従来のスキャンテスト回路に比べて、遅延素子数を少なくできる利点がある。
(第5の実施の形態)
更に、本発明の第5の実施の形態を説明する。
前記第2、第3及び第4の実施の形態においては、ホールド保証用に挿入される遅延素子の数が、従来のスキャンテスト回路に比べて少なくできるので、回路面積を小さくすることが可能であるが、本発明の第5の実施の形態は、更なる回路面積の増加を抑制する設計方法を提供する。以下、説明する。
本実施の形態では、基本的な回路設計方法は、例えば前記第2、第3及び第4の実施の形態と同様であるが、例えば図1において、サブスキャンチェーン同士の接続時に、サブスキャンチェーン間接続ネット110〜112に挿入する遅延素子106a〜106cは、各々、FF回路102a〜102f及びロジック回路を構成するトランジスタの閾値電圧よりも高い閾値電圧を有するトランジスタを用いて構成される。これにより、少ない遅延素子の数で大きな遅延時間を得ることができる。即ち、本第5の実施の形態は、LSI全体を構成するトランジスタの閾値電圧に対して、遅延素子を構成するトランジスタを高閾値トランジスタで構成する方法である。
(第6の実施の形態)
続いて、本発明の第6の実施の形態を説明する。
前記第4の実施の形態では、クロック回路を構成する素子段数を判断材料にサブスキャンチェーンの接続順番を決定した、本第6の実施の形態では、CTS挿入後のクロック遅延調整の工程でスキャンチェーン同士の接続の最適化を図る設計方法を示し、これにより、高精度なスキャンテスト回路を実現する方法を提供する。以下、図4、図5及び図6を用いて説明を行う。
図5は、従来の一般的なLSIの設計フローを示す。図4は本第6の実施の形態におけるCAD(Computer Aided Design)設計フローを示す。従来の設計方法では、図5に示すように、RTL設計後、RTLファイル501を入力データとして、論理合成CADプログラム502を行いてゲートレベルのネットリスト503を生成する。このゲートレベルのネットリスト503に対して、スキャンテスト回路挿入CADプログラム504を用いて、スキャンテスト回路挿入後のネットリスト505を作成する。
前記スキャンテスト回路挿入後のネットリスト505は、マスクレイアウトCADプログラム506の入力データとして用いられ、マスクレイアウトCADプログラム506で配置配線後、CSTの挿入を行い、クロック遅延解析プログラム507でクロックの遅延解析を行う。その結果を用いてクロックスキューの調整508を行って、ネットリスト409及びパターン情報GDSIIを出力する。
これに対し、本第6の実施の形態では、図4に示すLSI設計フローにおいて、前記図5のフローとはクロック遅延解析407(又は507)までは、スキャンテスト回路挿入プログラム404以外は、ほぼ同じ工程をたどる。違いは大きくは2点であり、1点目は前記スキャンテスト回路挿入CADプログラム404において、本発明の第1〜第4の実施の形態(又は実施の形態5を含む)で説明したスキャンチェーン設計方法を用いてスキャンテスト回路挿入後のネットリスト405を作成している点である。2点目は、クロック遅延解析407の結果を用いてクロックスキュー調整を行う工程408において、前記第4の実施の形態で説明したアルゴリズムでスキャンチェーンの最適化をも行う点である。
図4に示したLSI設計フローの工程408におけるスキャンチェーン最適化方法について図6を用いて説明する。
図4のクロック遅延解析工程407では、各サブスキャンチェーンのクロック遅延を把握することができる。そして、この情報を基に、各サブスキャンチェーン間のクロック遅延差を求める。このクロック遅延解析工程407で求めたクロック遅延の分布を図6(a)に度数分布603dとして例示する。同図(a)では、多数のサブスキャンチェーンのうち同図(b)に示す3つのサブスキャンチェーン603a、603b、603cを例示している。これら3つのサブスキャンチェーン603a〜603cを構成するFF回路に対してクロックツリーバッファ602a、602b、602cが構成され、CTS回路を形成している。各サブスキャンチェーン603a〜603cに対応するクロック遅延の度数分布は、図6(a)に示す度数分布601a〜601cである。
本第6の実施の形態においては、クロック遅延分布が最も大きいサブスキャンチェーン603aの入力をスキャンイン端子604と接続し、クロック遅延分布が最も小さいサブスキャンチェーン603cの出力をスキャンアウト端子605と接続する。また、LSI内部のサブスキャンチェーン間接続は、クロック遅延分布のセンター値が最も大きいサブスキャンチェーンから最も小さいサブスキャンチェーンに並ぶ順番に並べ変えるように、各サブスキャンチェーン間の接続を行う。つまり、本実施の形態では、クロック遅延分布が中間的なサブスキャンチェーン603bを前記両サブスキャンチェーン603a、603c間に配置する。尚、この時、ホールド時間保証用遅延素子606を介した再接続を実施する。
従って、本実施の形態では、クロック遅延変動に対してロバストな設計を比較的容易に行うことができ、且つ、従来のスキャン設計方法にように多数のホールド保証用遅延素子をむやみに後から挿入することが不要になる。よって、本第6の実施の形態では、従来 の設計方法に比べて、非常に少ない遅延素子数でもってスキャンシフト動作の保証が可能なスキャンテスト回路を提供できる。
(第7の実施の形態)
一般に、スキャンテスト回路は、スキャンシフト回路部分に関して、FF回路間にロジック回路が存在しない場合が多い。従って、シフトレジスタは、セットアップ時間の設計制約に十分余裕がある一方、ホールド時間の設計制約が余裕が極めて少ない場合が多い。従って、従来のスキャンテスト設計では、スキャンシフト側回路のデータラインにホールド保証用バッファを挿入して、ホールド時間の設計保証を図る方法が最も一般的である。
本第7の実施の形態では、通常回路のFF回路データ転送のセットアップ時間に影響することなく、且つホールド保証用バッファなどの挿入による回路面積の増加を招くことのないスキャンFF回路にを提供するものである。以下、図7を用いて説明する。
本第7の実施の形態におけるFF回路の一例を図7に示す。同図において、スキャンFF回路102は、入力端子に通常データ入力端子D、スキャンシフトデータ入力端子DT、クロック端子CK、テストモード端子NT、及び1対の出力端子Q、NQを有する。
そして、スキャンシフトデータ入力端子DT側のスキャンシフトデータ入力側回路702を構成するP型トランジスタ702a、N型トランジスタ702b、インバータ702c、トライステートインバータ702dは、FF回路102のその他の部分、特に通常データ入力端子D側の通常データ入力側回路701を構成する701a〜701d部分のトランジスタに対して、高閾値電圧を持つトランジスタを用いて構成される。
従って、本第7の実施の形態では、スキャンシフト側回路のデータラインにホールド保証用の遅延回路を挿入する必要がないので、FF回路の面積を増加させることなく、スキャンシフトデータ入力側の遅延時間を増加させることができる。
よって、スキャンテスト設計時にホールド保証用としてスキャンFF回路のシフトデータラインに挿入する遅延素子の数を削減できて、回路面積や消費電力の小さいLSIを提供できる。
(第8の実施の形態)
次に、本発明の第8の実施の形態を説明する。
以下、本第8の実施の形態のCADプログラムとして、前記第1〜第4及び第6の実施の形態のスキャンテスト設計を行うDFT(Design For Testability)設計CADプログラムについて、図3及び図8を用いて説明する。
従来のDFT設計プログラムとしてのスキャン挿入CADプログラムは、FF回路をスキャンFF回路に置換し、スキャンFF回路のシフトデータ入力端子と出力端子をランダムにスキャンカスケード接続する。
これに対し、本第8の実施の形態におけるスキャンテスト回路挿入CADプログラムは、図8に示すように、RTL設計後、RTLファイル801を入力データとして論理合成CADプログラム802を行いてゲートレベルのネットリスト803を生成する。このゲートレベルのネットリスト803に対して、スキャンテスト回路挿入CADプログラム804を用いて、スキャンテスト回路挿入後のネットリスト805を作成する。ここで、前記スキャンテスト回路挿入CADプログラム804の詳細を図9に示す。
図9のスキャンテスト回路挿入CADプログラム804では、図11に示すように、最初に工程804aにおいて、各最終段CTSバッファ1005で駆動されるFF回路同士でサブスキャンチェーン1001を作成する。次いで、工程804bにおいて、最終段バッファ1005を駆動するCTSバッファ1002間でこのCTSバッファ1002に繋がるサブスキャンチェーン1001同士を仮接続する(図11中符号[2]で示す)。その後、工程804cにおいて、前記各CTSバッファ1002を駆動する複数のCTSバッファ1003間でこのCTSバッファ1003に繋がるチェーン同士を仮接続する(同図中符号[3]で示す)。そして、工程804dにおいて、最終的に、前記各CTSバッファ1003を駆動する最初段のCTSバッファ1004間でこの最初段のCTSバッファ1004に繋がるチェーン同士を仮接続する(同図中符号[4]で示す)。
次に、図8に戻って、工程806でマスクレイアウトCADプログラムによる配置配線を行ない、CTSの挿入を行う。
その後、工程807においてスキャンシフトレジスタを構成するFF回路間のシフトデータ転送部分の回路情報を一旦切断すると共に、一部のスキャンシフトレジスタ部分のネットリスト情報をリセットし、その後、CTSバッファ段数やクロック回路の素子段数を基準に、前記第1〜第6の実施の形態で説明したアルゴリズムで再度ネットリストを再構築する。このネットリストを再構築するCADプログラムを図10に示す。そして、このようにスキャンシフトレジスタ側回路を最適化した新たなゲートレベルのネットリストを用いて再レイアウト(配置配線)又は配線のみを行う。
次に、図10に示したネットリストの再構築プログラムを図12に基づいて説明する。図10において、最初に工程807aにおいて、各最終段CTSバッファ1005aを駆動するCTSバッファ1002a間で各CTSバッファ1005aの段数が同数のサブスキャンチェーン1001同士を接続する(図12中符号[6]で示す)。次いで、工程807bにおいて、各最終段CTSバッファ1005aを駆動するCTSバッファ1002a間で、各CTSバッファ1005aの段数が多いサブスキャンチェーン1001から段数が少ないサブスキャンチェーン1001へと繋がるようにこれらのサブスキャンチェーン1001同士を接続する(同図中符号[7]で示す)。更に、工程807cにおいて、複数のCTSバッファ1002aを駆動するCTSバッファ1003a間で、CTSバッファ1002aの段数が同数のサブスキャンチェーン1001同士を接続する(同図中符号[8]で示す)。次いで、工程807dにおいて、複数のCTSバッファ1002aを駆動するCTSバッファ1003a間で、CTSバッファ1002aの段数が多いサブスキャンチェーン1001から段数が少ないサブスキャンチェーン1001へと繋がるようにこれらのサブスキャンチェーン1001同士を接続する(同図中符号[9]で示す)。次いで、工程807eにおいて、複数のCTSバッファ1003aを駆動するCTSバッファ1004a間で、CTSバッファ1003aの段数が同数のサブスキャンチェーン1001同士を接続する(図12では該当なし)。そして、最終的に、工程807fにおいて、複数のCTSバッファ1003aを駆動するCTSバッファ1004a間で、CTSバッファ1003aの段数が多いサブスキャンチェーン1001から段数が少ないサブスキャンチェーン1001へと繋がるようにこれらのサブスキャンチェーン1001同士を接続する(同図中符号[11]で示す)。
その後は、図8に戻って、工程808でクロック遅延解析を行い、工程809でCTS調整(クロックスキュー調整)、及び再度マスクレイアウトCADプログラムによる一部配置や物理配線の最適化を行う。その結果、シフトレジスタ回路部分を再構築したネットリストデータ810やパターン情報GDSIIが得られる。
従って、本第8の実施の形態では、前記第1〜第4及び第6の実施の形態を実現するスキャンテスト設計を行うDFT(Design For Testability)設計CADプログラムを提供できる。
(第9の実施の形態)
次に、本発明の第9の実施の形態を説明する。
本第9の実施の形態は、前記第1〜第4及び弟6の実施の形態のスキャンテスト設計を行うDFT設計のCADプログラム及び及びスキャンチェーン最適化機能を持ったマスクレイアウトCADプログラムを示す。以下、図3及び図4を用いて説明する。
従来のDFT設計プログラムとしてのスキャン挿入CADプログラムは、FF回路をスキャンFF回路に置換し、スキャンFF回路のシフトデータ入力端子と出力端子をランダムにスキャンカスケード接続する。
本発明の第9の実施の形態におけるスキャン挿入CADプログラムは、図4に示すように、RTL設計後、RTLファイル401を入力データとして論理合成CADプログラム402を行いてゲートレベルのネットリスト403を生成する。このゲートレベルのネットリスト403に対して、スキャンテスト回路挿入CADプログラム404を用いて、スキャンテスト回路挿入後のネットリスト405を作成する。このスキャンテスト回路挿入CADプログラム404の詳細は図9と同様であるので、その説明を省略する。
次いで、図4に示す工程406において、従来と同様のマスクレイアウトCADプログラムによる配線を行ない、CTSを挿入し、その後に、工程407においてクロック遅延解析を行う。そして、工程408において、前記クロック遅延解析の結果を基にクロックスキューの調整を行い、その後、本第9の実施の形態のCADプログラムを用いて、スキャンシフト側のFF回路間の接続情報を一旦切断して、ネットリスト情報の一部をリセットした後、CTSバッファ段数やクロック回路の素子段数又は各サブスキャンチェーンのクロック遅延情報を基に、前記第1〜第6の実施の形態で説明したアルゴリズムで再度ネットリストを再構築する。この工程408では、スキャンシフト側回路を最適化した新たなゲートレベルのネットリストを用いて、再度、マスクレイアウトCADプログラムによる新たなスキャンシフト側回路の物理的な配線処理も再実行する。この工程408でのスキャンチェーン最適化CADプログラムは、前記図10に示したCADプログラムと同様であって、これと異なる点は、そのプログラムの実行時点がクロック遅延解析の結果を基に行ったクロックスキューの調整後である点で異なるのみであるので、その説明を省略する。
前記の結果として、本第9の実施の形態におけるCADプログラムは、シフト回路部分を再構築したネットリストとマスクレイアウトデータを出力する。
(第10の実施の形態)
続いて、本発明の第10の実施の形態を説明する。
前記第1の実施の形態では、同一のCTSの最終段素子が駆動するFF回路を最小単位としてスキャンシフトレジスタをして構成したが、本第10の実施の形態では、ゲーティッドCTSを実行した回路については、ゲーティッドCTSを実行した始点となるネットや端子を最小単位としてサブスキャンチェーンを構成する方法を提供する。
低消費電力回路の実現方法としては、クロックゲーティッドを用いた設計方法がある。またクロックラインにゲーティッド回路があっても、自動でCTSを張る機能を有するCADツールも存在する。この場合、ゲーティッドCTSを実行した始点となるネットからFF回路のクロック端子までは、原則としてスキューが高精度に調整されていることが多い。従って、このような設計方法と組み合わせる場合は、ゲーティッドCTSを実施した基点から下のツリーに接続されるFF回路を最小単位としてサブスキャンチェーンを構成する方法でも、以上で説明したスャンテスト設計を適用できる。
このようなゲーティッドCTSでのサブスキャンチェーン形成方法を図13に基づいて説明する。同図において、ゲーティッド・クロックツリーGSには、第1、第2及び第3のゲーティング素子(クロックゲート素子)901g1〜901g3が配置される。前記第1のゲーティング素子901g1は、最上段に配置した第1のブロックB1に属する3個のスキャンフリップフロップ回路902aの各クロック端子に接続される。また、第2のゲーティング素子901g2は、中段の位置に配置した第2のブロックB2に属する9個のスキャンフリップフロップ回路902d、902e、902fの各クロック端子に接続される。更に、第3のゲーティング素子901g3は、下段の位置に配置した第3のブロックB3に属する9個のスキャンフリップフロップ回路902g、902h、902iの各クロック端子に接続される。前記各ゲーティング素子901g1〜901g3は、共通の又は個別の条件成立時には、対応するブロックB1〜B3に属するフリップフロップ回路へのクロック信号の供給を停止して、低消費電力を図る。
更に、前記ブロックB1〜B3において、各々、その内部に属するフリップフロップ回路は、対応するゲーティング素子901g1〜901g3からのクロック供給に要する電力を抑えるように、相互に近接した位置に配置される。従って、同一ブロック内に属するフリップフロップ回路同士では、対応するゲーティング素子901g1〜901g3からのクロック信号の伝搬遅延時間はほぼ同一値である。この事実から、本実施の形態では、各ブロックB1〜B3において、同一ブロック内に属する複数のフリップフロップ回路同士を直列に接続して、各ブロックB1〜B3別に1つのサブスキャンシフトレジスタが構成されている。
尚、図13において、前記各ブロック別のサブスキャンシフトレジスタ同士や、これらと他の複数のフリップフロップ回路で構成するサブスキャンシフトレジスタとの接続の方法は、前記図1に示した第2の実施の形態と同一の接続方法が適用されている。
また、ゲーティッドCTSの場合、クロックツリーの素子段数や回路構成が等価な個所が少なくなるケースも少なくないため、CTS挿入後のクロック遅延解析結果を利用してスキャンチェーンの最適化を図る本発明の第6の実施の形態と組み合わせることが望ましい。
以上、本発明に係る実施の形態のスキャンテスト回路及びその設計方法を説明したが、このようなスキャンテスト回路と、これにより動作をテストされる内部回路とにより大規模集積回路を構成したり、この大規模集積回路を備えた形態デジタル機器を構成すれば、前記スキャンテスト回路が低電力回路であるので、バッテリー寿命の長い大規模集積回路やデジタル機器を実現できる。
以上説明したように、本発明は、各スキャンシフトレジスタの動作における設計保証を容易に得ることができると共に、シフトデータ転送ラインに挿入するホールド保証用遅延素子の数を削減できるので、設計の後戻りが少なく且つタイミング特性の収束性の向上を図ったり、スキャンシフト動作を良好に保証できるロバスト設計を実現するスキャンテスト設計方法、スキャンテスト回路、スキャンテスト回路挿入プログラム、並びにそのようなスキャンテスト回路を備えた携帯デジタル機器などの大規模集積回路等の用途に適用できる。
本発明の第1の実施の形態のスキャンシフトレジスタの構成を持つスキャンテスト回路を示す図である。 従来のスキャンシフトレジスタ構成を持つスキャンテスト回路を示す図である。 本発明の第4の実施の形態において、クロックツリーの素子段数が異なるサブスキャンチェーン同士を接続する手順を示した概念図である。 本発明の第6及び第9の実施の形態のスキャンテスト設計方法を説明した設計フローチャート図である。 従来のテスト設計フローチャートを示す図である。 (a)は本発明の第6の実施の形態において、クロックスキューの分布を示す図、(b)はそのクロックスキューの分布を持ち且つクロックツリー素子段数が異なるスキャンサブチェーン間の接続方法を示す図である。 本発明の第7の実施の形態におけるスキャンFF回路の構成を示す図である。 本発明の第8の実施の形態において、サブスキャンチェーン間のクロック遅延分布を用いてスキャンチェーン接続を行う方法を説明した設計フローチャート図である。 図8に示した設計フローチャート図において、テスト回路挿入設計の詳細を示すフローチャート図である。 図8に示した設計フローチャート図において、スキャンチェーン最適化の詳細を示すフローチャート図である。 図9のテスト回路挿入設計フローチャート図によるサブスキャンチェーンの接続手順を説明した図である。 図10のスキャンチェーン最適化設計フローチャート図によるサブスキャンチェーンの接続手順を説明した図である。 本発明の第10の実施の形態のスキャンシフトレジスタの構成を持つスキャンテスト回路を示す図である。
符号の説明
101 クロック遅延調整バッファ
101a〜f CTSバッファ
102a〜102j スキャンフリップフロップ回路
T クロックツリー
S クロック供給点
103 スキャンチェーン
106a〜106c ホールド保証用の遅延素子
107〜109
110〜112 サブスキャンチェーン間接続ネット
402 論理合成CADプログラム
404 テスト回路挿入CADプログラム
406 レイアウトCADプログラム
408 レイアウトCADプログラム
504 スキャンテスト回路挿入CADプログラム
508 レイアウトCADプログラム
603a〜603c サブスキャンチェーン(スキャンシフトレジスタ)
901g1〜901g3 ゲーティング素子(クロックゲート素子)
GT ゲーティッドクロックツリー
701 通常データ入力端子側回路
702 スキャンシフトデータ入力側回路

Claims (21)

  1. スキャンテスト回路として多数のスキャンフリップフロップ回路を有し、前記多数のスキャンフリップフロップ回路のクロック端子に対してクロックツリーが構成されている半導体集積回路において、
    前記クロックツリーの最終段に位置する複数の最終段素子に着目し、この各最終段素子別に、この各最終段素子により駆動される複数のスキャンフリップフロップ回路同士を直列に接続してスキャンシフトレジスタを構成する
    ことを特徴とするスキャンテスト設計方法。
  2. 前記請求項1記載のスキャンテスト設計方法において、
    前記各最終段素子別のスキャンシフトレジスタを各々サブスキャンチェーンとして、前記サブスキャンチェーン同士を接続して更に長いスキャンシフトレジスタを構成するに際し、
    前記クロックツリーを構成する素子の段数が同一であるサブスキャンチェーン同士を優先的に接続する
    ことを特徴とするスキャンテスト設計方法。
  3. 前記請求項1記載のスキャンテスト設計方法において、
    前記各最終段素子別のスキャンシフトレジスタを各々サブスキャンチェーンとして、前
    記サブスキャンチェーン同士を接続して更に長いスキャンシフトレジスタを構成するに際し、
    前記クロックツリーを構成する素子の段数が異なるサブスキャンチェーン同士を接続する場合には、前記クロックツリーを構成する素子間の相対的な段数差が最小であるサブスキャンチェーン同士を優先的に接続する
    ことを特徴とするスキャンテスト設計方法。
  4. 前記請求項3記載のスキャンテスト設計方法において、
    前記クロックツリーを構成する素子の段数が異なるサブスキャンチェーン同士の接続時には、
    前記クロックツリーを構成する素子の段数差に応じて予め定めた数の遅延素子を前記接続するサブスキャンチェーン間に挿入する
    ことを特徴とするスキャンテスト設計方法。
  5. 前記請求項1、2、3又は4記載のスキャンテスト設計方法において、
    前記各最終段素子別のスキャンシフトレジスタを各々サブスキャンチェーンとして、前記サブスキャンチェーン同士を接続して更に長いスキャンシフトレジスタを構成するに際し、
    前記クロックツリーのクロック原点から各サブスキャンチェーンを構成するフリップフロップ回路のクロック端子までの遅延時間の大きいサブスキャンチェーンから前記遅延時間の小さいサブスキャンチェーンへとデータ転送が行われる順番で、前記サブスキャンチェーン同士を接続する
    ことを特徴とするスキャンテスト設計方法。
  6. スキャンテスト回路として多数のスキャンフリップフロップ回路を有し、前記多数のスキャンフリップフロップ回路のクロック端子に対してクロックツリーが構成されていると共に、
    クロックツリーの複数の所定位置に各々クロックゲート素子を配置したゲーティッド・クロックツリーを有する半導体集積回路において、
    前記複数のクロックゲート素子に着目し、この各クロックゲート素子別に、このクロックゲート素子により駆動される複数のスキャンフリップフロップ回路同士を直列に接続してスキャンシフトレジスタを構成する
    ことを特徴とするスキャンテスト設計方法。
  7. 前記請求項6記載のスキャンテスト設計方法において、
    前記各クロックゲート素子別のスキャンシフトレジスタを各々サブスキャンチェーンとして、前記サブスキャンチェーン同士を接続して更に長いスキャンシフトレジスタを構成するに際し、
    前記請求項2、3、4又は5記載のスキャンテスト設計方法を実施する
    ことを特徴とするスキャンテスト設計方法。
  8. 複数のフリップフロップ回路を直列に接続してスキャンシフトレジスタが構成されたスキャンテスト回路において、
    前記複数のフリップフロップ回路同士を接続する何れかの接続ネットには、遅延素子が挿入され、
    前記遅延素子は、この遅延素子を構成するトランジスタの閾値電圧が前記フリップフロップ回路を構成するトランジスタの閾値電圧よりも高閾値電圧であるトランジスタにより構成されている
    ことを特徴とするスキャンテスト回路。
  9. スキャンシフトレジスタを構成するためのスキャンフリップフロップ回路において、
    前記スキャンフリップフロップ回路は、
    通常データ入力端子側回路とスキャンシフトデータ入力側回路とを有し、
    前記スキャンシフトデータ入力側回路は、
    少なくとも前記通常データ入力端子側回路を構成するトランジスタの閾値電圧よりも高い閾値電圧を有するトランジスタにより構成されている
    ことを特徴とするスキャンフリップフロップ回路。
  10. 前記請求項9記載のスキャンフリップフロップ回路を複数備え、
    前記複数のスキャンフリップフロップ回路が直列に接続されてスキャンシフトレジスタが構成されている
    ことを特徴とするスキャンテスト回路。
  11. 半導体集積回路に備える複数のフリップフロップ回路をスキャンフリップフロップ回路に置換し、この複数のスキャンフリップフロップ回路を直列に接続してスキャンシフトレジスタを構成するに際し、
    前記複数のスキャンフリップフロップ回路として、前記請求項9記載のスキャンフリップフロップ回路を使用する
    ことを特徴とするスキャンテスト設計方法。
  12. 複数のスキャンフリップフロップ回路が直列に接続されてスキャンシフトレジスタが構成され、且つ、前記複数のスキャンフリップフロップ回路のクロック端子に対してクロックツリーが構成されているスキャンテスト回路において、
    前記複数のスキャンフリップフロップ回路のうち、前記クロックツリーの所定のクロック供給点からフリップフロップ回路のクロック端子までの素子段数が同じである少なくとも2個以上のフリップフロップ回路同士が連続して接続されて、前記スキャンシフトレジスタが構成される
    ことを特徴とするスキャンテスト回路。
  13. 前記請求項12記載のスキャンテスト回路において、
    前記クロックツリーの所定のクロック供給点からフリップフロップ回路のクロック端子までの素子段数が異なるフリップフロップ回路同士では、
    前記クロックツリーの所定のクロック供給点からフリップフロップ回路のクロック端子までの素子段数の相対的な段数差が最小であるフリップフロップ回路同士が連続して接続されて、前記スキャンシフトレジスタが更に長く構成される
    ことを特徴とするスキャンテスト回路。
  14. 複数のスキャンフリップフロップ回路を有し、前記複数のスキャンフリップフロップ回路のクロック端子に対してクロックツリーが構成されているスキャンテスト回路において、
    前記クロックツリーの末端に位置する複数の最終段素子毎に、この各最終段素子に接続される複数のフリップフロップ回路同士でスキャンシフトレジスタが構成される
    ことを特徴とするスキャンテスト回路。
  15. 前記請求項10、11又は12記載のスキャンテスト回路において、
    前記複数のスキャンシフトレジスタ相互間には、各々、遅延素子が配置されていて、
    前記複数のスキャンシフトレジスタを前記複数の遅延素子を介して接続した長いシフトレジスタが構成される
    ことを特徴とするスキャンテスト回路。
  16. 前記請求項15記載のスキャンテスト回路において、
    前記各遅延素子は、
    前記フリップフロップ回路を構成するトランジスタの閾値電圧よりもより高い閾値電圧を有するトランジスタにより構成される
    ことを特徴とするスキャンテスト回路。
  17. 多数のフリップフロップ回路を有し、前記多数のフリップフロップ回路のクロック端子に対してクロックツリーが構成されている半導体集積回路に対して、
    前記多数のフリップフロップ回路を各々スキャンフリップフロップ回路に置換する工程と、
    その後、前記クロックツリーの最終段に位置する複数の最終段素子別に、この最終段素子により駆動される複数のスキャンフリップフロップ回路同士を直列に接続してスキャンシフトレジスタを構成する工程とをコンピュータに実行させる
    ことを特徴とするスキャンテスト回路挿入用CADプログラム。
  18. 複数のスキャンフリップフロップ回路を有する任意のスキャンテスト回路の回路データを入力する工程と、
    前記回路データにおける前記スキャンフリップフロップ回路間のシフトデータ転送部分の回路接続を一旦切断する工程と、
    その後、前記複数のスキャンフリップフロップ回路のクロック端子に対してクロックツリーが構成されている場合に、前記クロックツリーの最終段に位置する複数の最終段素子別に、この各最終段素子により駆動される複数のスキャンフリップフロップ回路同士を直列に接続してスキャンシフトレジスタを構成して、スキャンチェーンを適切化する工程と、
    前記適切化後のネットリスト情報を出力する工程とをコンピュータに実行させる
    ことを特徴とするスキャンテスト回路挿入用CADプログラム。
  19. 前記請求項18記載のスキャンテスト回路挿入用CADプログラムにおいて、
    前記各最終段素子により駆動される複数のスキャンフリップフロップ回路同士を直列に接続したスキャンシフトレジスタを、各々、サブスキャンチェーンとして、前記クロックツリーを構成する素子の段数が異なるサブスキャンチェーン同士を接続する場合には、
    前記クロックツリーを構成する素子間の相対的な段数差が最小であるサブスキャンチェーン同士を優先的に接続する工程と、
    その後、ネットリスト情報を出力する工程とをコンピュータに実行させる
    ことを特徴とするスキャンテスト回路挿入用CADプログラム。
  20. 請求項12、13又は14記載のスキャンテスト回路と、
    前記スキャンテスト回路によりテストされる内部回路とを備えた
    ことを特徴とする大規模集積回路。
  21. 前記請求項20記載の大規模集積回路が搭載されている
    ことを特徴とする携帯デジタル機器。
JP2003272403A 2003-07-09 2003-07-09 スキャンテスト設計方法、スキャンテスト回路、スキャンフリップフロップ回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器 Pending JP2005032102A (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2003272403A JP2005032102A (ja) 2003-07-09 2003-07-09 スキャンテスト設計方法、スキャンテスト回路、スキャンフリップフロップ回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器
DE602004016854T DE602004016854D1 (de) 2003-07-09 2004-07-08 Scan-test-design-verfahren, scan-test-schaltung, scan-testschaltungseinfüge-cad-programm, hochintegrierte schaltung und mobile digitale einrichtung
CN200480016244.3A CN1806179A (zh) 2003-07-09 2004-07-08 扫描测试设计方法、扫描测试电路、扫描测试电路插入用计算机辅助设计程序、大规模集成电路及携带式数码机器
US10/557,021 US20060282727A1 (en) 2003-07-09 2004-07-08 Scan test design method, scan test circuit, scan test circuit insertion cad program, large-scale integrated circuit and mobile digital equipment
EP04747555A EP1643257B8 (en) 2003-07-09 2004-07-08 Scan test design method, scan test circuit, scan test circuit insertion cad program, large-scale integrated circuit, and mobile digital device
PCT/JP2004/010089 WO2005006004A1 (ja) 2003-07-09 2004-07-08 スキャンテスト設計方法、スキャンテスト回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003272403A JP2005032102A (ja) 2003-07-09 2003-07-09 スキャンテスト設計方法、スキャンテスト回路、スキャンフリップフロップ回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器

Publications (1)

Publication Number Publication Date
JP2005032102A true JP2005032102A (ja) 2005-02-03

Family

ID=34055973

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003272403A Pending JP2005032102A (ja) 2003-07-09 2003-07-09 スキャンテスト設計方法、スキャンテスト回路、スキャンフリップフロップ回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器

Country Status (6)

Country Link
US (1) US20060282727A1 (ja)
EP (1) EP1643257B8 (ja)
JP (1) JP2005032102A (ja)
CN (1) CN1806179A (ja)
DE (1) DE602004016854D1 (ja)
WO (1) WO2005006004A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007170959A (ja) * 2005-12-21 2007-07-05 Oki Electric Ind Co Ltd 半導体集積回路とその設計方法
JP2007248135A (ja) * 2006-03-14 2007-09-27 Nec Electronics Corp 半導体集積回路装置とそのテスト方法
JP2008085596A (ja) * 2006-09-27 2008-04-10 Nec Electronics Corp クロック分配回路とテスト方法
JP2010062983A (ja) * 2008-09-05 2010-03-18 Fujitsu Ltd バス接続におけるチップ間信号の共有化方法及び回路
JP2012202856A (ja) * 2011-03-25 2012-10-22 Toshiba Corp 半導体集積回路およびその設計方法
CN101881811B (zh) * 2009-05-08 2012-10-24 复旦大学 一种可编程逻辑器件互连资源的故障测试方法
JP2019049517A (ja) * 2017-09-12 2019-03-28 株式会社東芝 集積回路、スキャンシフト制御方法、および回路設計方法
US11519963B2 (en) 2020-02-05 2022-12-06 Kioxia Corporation Semiconductor integrated circuit having scan chains sequentially supplied with a clock signal

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060150136A1 (en) * 2004-12-21 2006-07-06 John Bratt Systems and methods for designing integrated circuits
JP4335202B2 (ja) * 2005-11-18 2009-09-30 パナソニック株式会社 半導体集積回路の検査方法およびデザインルール検証方法
US8332793B2 (en) 2006-05-18 2012-12-11 Otrsotech, Llc Methods and systems for placement and routing
US7622975B2 (en) * 2007-07-10 2009-11-24 Qualcomm Incorporated Circuit having a local power block for leakage reduction
US7673206B2 (en) * 2007-09-14 2010-03-02 Tilera Corporation Method and system for routing scan chains in an array of processor resources
US8010856B2 (en) * 2007-10-31 2011-08-30 Verigy (Singapore) Pte. Ltd. Methods for analyzing scan chains, and for determining numbers or locations of hold time faults in scan chains
CN101216722B (zh) * 2008-01-08 2010-08-11 北京中星微电子有限公司 一种时钟管理方法及装置
CN101493496B (zh) * 2008-01-22 2012-09-19 致茂电子股份有限公司 可程控ic检测机台的程序规划方法
US8074133B2 (en) * 2008-08-06 2011-12-06 Oracle America, Inc. Method and apparatus for testing delay faults
CN102054078B (zh) 2009-10-30 2014-11-26 新思科技(上海)有限公司 物理设计中基于双向优先选择的扫描链重构方法与装置
CN102062836B (zh) * 2009-11-17 2013-02-06 三星半导体(中国)研究开发有限公司 扫描寄存器、扫描链、芯片及其测试方法
JP5567322B2 (ja) * 2009-12-01 2014-08-06 シリコン・テスト・テクノロジーズ株式会社 テストフロー提示コンピュータプログラム、テストフロー提示コンピュータシステム
US8627160B2 (en) * 2010-04-21 2014-01-07 Lsi Corporation System and device for reducing instantaneous voltage droop during a scan shift operation
CN102347057A (zh) * 2011-08-09 2012-02-08 北京时代全芯科技有限公司 用于数据路径的时钟树结构及具有其的存储器
US9032356B2 (en) 2013-03-06 2015-05-12 Lsi Corporation Programmable clock spreading
US9377511B2 (en) * 2013-11-19 2016-06-28 Infineon Technologies Ag Coverage enhancement and power aware clock system for structural delay-fault test
US9222974B2 (en) * 2014-01-10 2015-12-29 Stmicroelectronics International N.V. System and method for reducing voltage drop during automatic testing of integrated circuits
KR102222643B1 (ko) 2014-07-07 2021-03-04 삼성전자주식회사 스캔 체인 회로 및 이를 포함하는 집적 회로
US9536031B2 (en) * 2014-07-14 2017-01-03 Mediatek Inc. Replacement method for scan cell of integrated circuit, skewable scan cell and integrated circuit
KR102501754B1 (ko) 2016-03-28 2023-02-20 삼성전자주식회사 불균형 멀티플렉서 및 이를 적용하는 스캔 플립플롭
CN106446366B (zh) * 2016-09-09 2019-09-10 广东顺德中山大学卡内基梅隆大学国际联合研究院 一种大规模数字集成电路时钟网格分布方法
CN108226763B (zh) * 2016-12-15 2021-08-20 三星电子株式会社 用于扫描链重新排序的方法、设备和计算机程序产品
US10417363B1 (en) * 2016-12-27 2019-09-17 Cadence Design Systems, Inc. Power and scan resource reduction in integrated circuit designs having shift registers
CN106841994A (zh) * 2017-02-20 2017-06-13 中国人民解放军国防科学技术大学 一种扫描链
CN108256189B (zh) * 2018-01-06 2021-08-03 嘉兴倚韦电子科技有限公司 集成电路半定制后端设计高效时钟树物理位置优化方法
US11113444B2 (en) * 2018-06-27 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Machine-learning based scan design enablement platform
CN112084742B (zh) * 2019-06-14 2024-05-17 瑞昱半导体股份有限公司 集成电路设计方法与其非瞬时计算机可读介质
CN114002577A (zh) * 2021-10-21 2022-02-01 山东云海国创云计算装备产业创新中心有限公司 一种芯片测试方法、装置、设备及可读存储介质
CN113917321B (zh) * 2021-12-13 2022-03-04 苏州浪潮智能科技有限公司 扫描链测试方法、装置、计算机设备及存储介质

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4879718A (en) * 1987-11-30 1989-11-07 Tandem Computers Incorporated Scan data path coupling
JPH0261569A (ja) * 1988-08-29 1990-03-01 Matsushita Electric Ind Co Ltd シリアルシフトレジスタ
JPH08320893A (ja) * 1995-05-24 1996-12-03 Mitsubishi Electric Corp 論理合成装置、論理合成方法及び半導体集積回路
US6539509B1 (en) * 1996-05-22 2003-03-25 Lsi Logic Corporation Clock skew insensitive scan chain reordering
JP3403612B2 (ja) * 1997-06-05 2003-05-06 沖電気工業株式会社 スキャンパスネットの配線方法と半導体集積回路
US6502222B1 (en) * 2000-09-28 2002-12-31 Lsi Logic Corporation Method of clock buffer partitioning to minimize clock skew for an integrated circuit design

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007170959A (ja) * 2005-12-21 2007-07-05 Oki Electric Ind Co Ltd 半導体集積回路とその設計方法
KR101383633B1 (ko) * 2005-12-21 2014-04-09 라피스 세미컨덕터 가부시키가이샤 반도체 집적회로와 그 설계방법
JP2007248135A (ja) * 2006-03-14 2007-09-27 Nec Electronics Corp 半導体集積回路装置とそのテスト方法
JP2008085596A (ja) * 2006-09-27 2008-04-10 Nec Electronics Corp クロック分配回路とテスト方法
JP2010062983A (ja) * 2008-09-05 2010-03-18 Fujitsu Ltd バス接続におけるチップ間信号の共有化方法及び回路
CN101881811B (zh) * 2009-05-08 2012-10-24 复旦大学 一种可编程逻辑器件互连资源的故障测试方法
JP2012202856A (ja) * 2011-03-25 2012-10-22 Toshiba Corp 半導体集積回路およびその設計方法
JP2019049517A (ja) * 2017-09-12 2019-03-28 株式会社東芝 集積回路、スキャンシフト制御方法、および回路設計方法
US11519963B2 (en) 2020-02-05 2022-12-06 Kioxia Corporation Semiconductor integrated circuit having scan chains sequentially supplied with a clock signal

Also Published As

Publication number Publication date
DE602004016854D1 (de) 2008-11-13
CN1806179A (zh) 2006-07-19
WO2005006004A1 (ja) 2005-01-20
EP1643257A4 (en) 2006-07-26
EP1643257B1 (en) 2008-10-01
US20060282727A1 (en) 2006-12-14
EP1643257A1 (en) 2006-04-05
EP1643257B8 (en) 2008-12-31

Similar Documents

Publication Publication Date Title
JP2005032102A (ja) スキャンテスト設計方法、スキャンテスト回路、スキャンフリップフロップ回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器
US8789004B2 (en) Automatic flow of megacell generation
EP1460569B1 (en) Semiconductor integrated circuit device with test signal repeater circuit and related design automation apparatus, method and program
EP1709454B1 (en) Test architecture and method
Bonhomme et al. Design of routing-constrained low power scan chains
US10338139B1 (en) Method and apparatus for scan chain reordering and optimization in physical implementation of digital integrated circuits with on-chip test compression
US8738978B2 (en) Efficient wrapper cell design for scan testing of integrated
US20120210286A1 (en) Adding fine grain tuning circuitry to integrated circuit design
US9098486B1 (en) Methods and apparatus for testing multiple clock domain memories
CN108226763B (zh) 用于扫描链重新排序的方法、设备和计算机程序产品
JP5297468B2 (ja) 半導体集積回路の設計方法およびソフトウエア
US7376915B1 (en) Methods for forming area-efficient scan chains in integrated circuits, and integrated circuits embodying the same
JPH08201484A (ja) 半導体集積回路装置
US20070089014A1 (en) Semiconductor integrated circuit and method of fabricating the same
JP2007072995A (ja) レイアウト装置、自動配置配線方法および半導体集積回路製造方法
KR20220164826A (ko) 멀티-다이 집적회로를 테스트하기 위한 방법 및 장치
JP7069608B2 (ja) 半導体設計支援装置、半導体設計支援方法及びプログラム
US7437635B1 (en) Testing hard-wired IP interface signals using a soft scan chain
US7752586B2 (en) Design structure of an integration circuit and test method of the integrated circuit
JP4416469B2 (ja) 半導体集積回路およびその設計方法
CN117892681B (zh) 修复电压降的方法、电子设备、存储介质和程序产品
JP2009175154A (ja) 半導体集積回路およびその設計方法
JP3548922B2 (ja) バウンダリ・スキャン・ダミーセルを用いた回路設計方法
JP2009004700A (ja) スペアセルの挿入/配置方法
JP2009253023A (ja) 半導体集積回路の設計方法