JP7069608B2 - 半導体設計支援装置、半導体設計支援方法及びプログラム - Google Patents

半導体設計支援装置、半導体設計支援方法及びプログラム Download PDF

Info

Publication number
JP7069608B2
JP7069608B2 JP2017167587A JP2017167587A JP7069608B2 JP 7069608 B2 JP7069608 B2 JP 7069608B2 JP 2017167587 A JP2017167587 A JP 2017167587A JP 2017167587 A JP2017167587 A JP 2017167587A JP 7069608 B2 JP7069608 B2 JP 7069608B2
Authority
JP
Japan
Prior art keywords
scan
clock
semiconductor integrated
test
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017167587A
Other languages
English (en)
Other versions
JP2019046087A (ja
Inventor
淳 宇佐見
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ricoh Co Ltd
Original Assignee
Ricoh Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ricoh Co Ltd filed Critical Ricoh Co Ltd
Priority to JP2017167587A priority Critical patent/JP7069608B2/ja
Publication of JP2019046087A publication Critical patent/JP2019046087A/ja
Application granted granted Critical
Publication of JP7069608B2 publication Critical patent/JP7069608B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、半導体設計支援装置、半導体設計支援方法及びプログラムに関する。
半導体設計プロセスにおいて、非同期のクロックドメイン間を、スキャンモードでは共通のスキャンクロックでスキャンテストを実行できるように、スキャンクロックスキューを最少に抑えたクロックツリーを挿入し、故障検出率を下げないスキャンテストを行う手法が既に知られている。
また、異クロック間の縮退および遷移故障テストを容易に行う目的で、スキャンクロックに、キャプチャサイクル時に任意の遅延値を設定してスキャンテストを行う技術が開示されている(例えば特許文献1)。
しかしながら、従来のスキャンクロックの共通化によるスキャンテストを行う方法では、スキャンクロックスキューを最少に抑えたクロックツリーの形成が可能であっても、半導体プロセスの微細化が進んだ場合、オンチップばらつきがますます増大する。そのため送信側と受信側とで悲観的に検証した場合、異クロック間のデータパスにおけるホールドタイミングエラーが増加してしまい、タイミングエラーをなくすためのレイアウト修正工数、バッファ追加の量、あるいはテストパターンが増大するという問題があった。
本発明は、上記の点に鑑みてなされたものであって、スキャンテストモードにおいて、半導体プロセスの微細化が進んだ場合であっても、異クロック間のデータパスにおけるホールドタイミングエラー修正の作業及びバッファの追加を不要とし、テストパターンの追加を微量に留めて、故障検出率を下げないようなスキャンテストを実行することを目的とする。
そこで上記課題を解決するため、半導体設計支援装置は、複数のクロックドメインを含む半導体集積回路の設計を支援し、前記複数のクロックドメインに共通するスキャンクロックを使用するスキャンテストによって前記半導体集積回路にタイミング解析を行い、前記タイミング解析の結果に基づいて、スキャンテストのキャプチャサイクルにおいてホールドタイミングエラーが発生しないように前記スキャンクロックを前記クロックドメインに供給又は停止する制御を可能とする、異なるレイテンシを有する前記クロックドメイン間の組み合わせを導出するテスト部と、前記組み合わせに基づいて、スキャンテスト時のホールドタイミングエラーを回避するスキャンテストパターンを生成する生成部と、前記複数のクロックドメインのそれぞれに供給されるクロックの信号線には遅延バッファを挿入し、前記スキャンクロックの信号線には遅延バッファを挿入しないで前記半導体集積回路のレイアウトを出力する配置部とを有し、前記生成部は、前記複数のクロックドメインすべてに対応する1つのテストパターン圧縮機を出力する。
スキャンテストモードにおいて、半導体プロセスの微細化が進んだ場合であっても、異クロック間のデータパスにおけるホールドタイミングエラー修正の作業及びバッファの追加を不要とし、テストパターンの追加を微量に留めて、故障検出率を下げないようなスキャンテストを実行することができる。
複数のクロックドメインのスキャンクロック供給源を1本化した回路例を示す図である。 複数のスキャンクロックを有する回路のスキャンテストのタイミングを説明するための図である。 スキャンクロックを1本化した回路のスキャンテストのタイミングについて説明するための図である。 オンチップばらつき(OCV)係数を考慮したホールドタイミング検証について説明するための図である。 本発明の実施の形態における半導体集積回路の例を示す図である。 本発明の実施の形態における半導体集積回路の設計フローについて説明するための図である。 本発明の実施の形態におけるSCANクロックを1本化したSCANテスト回路挿入後の半導体集積回路例を示す図である。 SCANクロックを1本化した半導体集積回路のCTS後の例を示す図である。 本発明の実施の形態における1本化されたSCANクロックにCTSをしない半導体集積回路の例を示す図である。 本発明の実施の形態におけるECOレイアウト後の半導体集積回路の例を示す図である。 本発明の実施の形態におけるSCANクロック制御回路の例を示す図である。 本発明の実施の形態におけるSCANクロックの制御組み合わせの例を示す図である。 本発明の実施の形態におけるSCANクロックの制御組み合わせについて説明するための図である。 本発明の実施の形態におけるSCANクロックの制御回路のタイミングを説明するための図である。 本発明の実施の形態におけるSCANクロックの制御組み合わせ数について説明するための図である。 本発明の実施の形態における複数のハードマクロを持つ半導体集積回路の例を示す図である。 本発明の実施の形態におけるSCANテストパターン圧縮機を1つにまとめた半導体集積回路の例を示す図である。 本発明の実施の形態における半導体設計支援装置の機能構成例を示す図である。
以下、図面に基づいて本発明の実施の形態を説明する。
図1は、複数のクロックドメインのスキャンクロック供給源を1本化した回路例を示す図である。本発明の実施の形態は、図1に示されるようなスキャンテスト回路を有する半導体集積回路の設計方法に関する。半導体集積回路における一般的なテストとして、SCAN/ATPG(Automatic Test Pattern Generator)テストが挙げられる。SCAN/ATPGテストとは、テストパターンを自動的に生成して、半導体集積回路に配置されたフリップフロップにテストパターンを外部から書き込み、半導体集積回路動作後に、フリップフロップから値を読み出して検証することをいう。当該フリップフロップを、スキャンフリップフロップともいう。なお、クロックドメインとは、ある特定のクロック入力により駆動される回路領域(部分回路)を指す。例えば、半導体集積回路内に複数の異なるクロックに基づいて動作するとき、それぞれのクロックで動作する部分回路は、それぞれの入力クロックに対応するクロックドメインであるという。
チップレベルで複数のクロックドメインを有する回路において、一般的なスキャンテストを実行する場合、クロックドメイン数と同数のスキャンクロックを外部から供給する専用端子又は兼用端子を設ける必要がある。
しかしながら、端子数の制限により専用端子を設けることができない場合、あるいは、既存の端子をスキャンテスト端子と兼用すると外部ACタイミングが悪化するので兼用できない場合は、図1に示されるように、複数のクロックドメインのスキャンクロック供給源を1本化、または共通化して数を少なくする方法がある。
図1に示されるように、クロックドメインAに供給されるSCANクロックのレイテンシが8nsであり、クロックドメインBに供給されるSCANクロックのレイテンシが5nsである場合、SCANクロックに3nsのスキューが生じるため、スキュー調整を行う。詳細は後述する。
図2は、複数のスキャンクロックを有する回路のスキャンテストのタイミングを説明するための図である。図2は、スキャンテストの動作タイミングを「SCANイネーブル」、「SCANクロックA」、「クロックドメインAのSCANFlipFlop」、「SCANクロックB」及び「クロックドメインBのSCANFlipFlop」の信号で示している。「SCANイネーブル」は、スキャン時、すなわちスキャンフリップフロップをシフトさせるときイネーブルとなる。「SCANクロックA」及び「SCANクロックB」は、スキャンフリップフロップに供給される動作クロックを示す。当該クロックにより、スキャンフリップフロップは、シフト又はキャプチャをおこなう。「クロックドメインAのSCANFlipFlop」及び「クロックドメインBのSCANFlipFlop」は、各クロックドメインに配置されたスキャンフリップフロップの出力信号を示す。また、シフト時には、SCANテストパターン入力及びSCANテスト結果の出力が並行して行われる。また、キャプチャ時には、テスト対象である回路からの出力をスキャンフリップフロップは受信する。
スキャンテストのキャプチャサイクルにおいて、クロックドメインごとにスキャンクロックを有する場合は、図2に示される「クロック停止」のように、シフトサイクル後のキャプチャサイクルにおいて、送信(ローンチ)側のスキャンクロックを停止することができるため、クロックドメイン間のタイミングを気にすることなく安全にスキャンデータを送受信ができる。図2に示されるように、クロックドメインAからBに送信する場合、「SCANクロックA」を停止し、クロックドメインBからAに送信する場合、「SCANクロックB」を停止する。
図3は、スキャンクロックを1本化した回路のスキャンテストのタイミングについて説明するための図である。スキャンクロックを1本化、あるいは共通化して数を少なくする場合は、クロックドメイン間の送受信クロックを個々に入力できないので、図1(B)の様なスキャンクロックのスキュー差をできるだけ小さくして、ホールドタイミングを満たすように遅延バッファ(ディレイセル)挿入等の回路修正を行う。
図1(B)においては、クロックドメインAに供給されるSCANクロックのレイテンシが8nsであり、クロックドメインBに供給されるSCANクロックのレイテンシが5nsである場合、SCANクロックに3nsのスキューが生じる。そこで例えば、SCANクロックAに対して+1nsの遅延バッファを挿入し、SCANクロックBに対して+4nsの遅延バッファを挿入して、各クロックドメインのスキャンフリップフロップまでのレイテンシを9nsに合わせるようにしてスキュー調整がおこなわれる。
またスキュー調整しきれなかった場合でも図3に示される「注2」のように、キャプチャ時に、送信側からのデータ送信をマルチサイクルにして安全に受信する等、故障検出率を下げないようにすることが重要である。
図1(B)のように遅延バッファを挿入する方法は、スキャンクロックのスキュー差を最適にして、スキャンテストのためだけのバッファ(ディレイセル)追加を最小限に抑える対策が必要である。図3に示される「注2」のようにマルチサイクルとする方法は、スキャンテストのテストパターン数を最小限に抑える対策が必要である。
また、図3に示される「注2」の手法においては、公知のブロードサイド方式やスキュードロード方式と呼ばれる技術が既に知られて広く普及しているが、ブロードサイド方式はクロック制御が比較的容易であるが、2個目のパターンとして所望のベクトルを設定することが困難であることが多く、スキュードロード方式は、2個目のパターンとして所望のベクトルを設定することが容易であることが多いが完全ではなく、SCANイネーブル信号は多数のスキャンフリップフロップを駆動しなければならないため、実時間で1から0へ遷移させることは容易ではなく、サイクル時間の長い縮退故障検出においても注意が必要であり、双方ともテストパターンの増加が問題となる。
これらの対策は現在、高機能・高速処理化が進んだ半導体集積回路の設計ツール(EDA(Electronic Design Automation)ツール)によって、許容範囲内の品質、すなわち回路規模と検出率を実現するように、設計工期・工数に抑えられてきた。
例えば、CTS(Clock Tree Synthesis:クロックツリーを形成し挿入する)ツールを用いて、タイミング違反箇所が最も少なる様にスキュー調整したスキャンクロックを形成し挿入する。
また例えば、ホールドタイミングFixツールを用いて、スキャンモード時のホールド違反がなくなるように、かつ、スキャンテストモード以外のタイミングに留意して、バッファ追加を行う。
また例えば、SCAN ATPG(自動テストパターン生成)ツールを用いて、スキャンテストモードでのタイミングSTA(Static Timing Analysis:静的タイミング解析)結果から、ホールド違反を起こしているパスのテストパターンを、図3に示される「注2」のようにマルチサイクルとして生成する。
図4は、オンチップばらつき(OCV)係数を考慮したホールドタイミング検証について説明するための図である。従来のスキャンクロックを1本化又は共通化して、スキャンテストを行う方法では、スキャンクロックスキューを最少に抑えたクロックツリーが形成できても、半導体プロセスの微細化が進んだ場合、オンチップばらつき(On Chip Variation : OCV)係数がますます増大していく。OCV係数とは、チップ内におけるパスの遅延のばらつきを示す。図4における悲観性は、送信側スキャンフリップフロップへのクロックパスは、クロックパス遅延からOCV係数分を減じて検証し、受信側スキャンフリップフロップへのクロックパスは、クロックパス遅延にOCV係数分を増やして検証することに対応する。
例えば、図4に示されるように、送信側と受信側で悲観的に検証すると、たとえレイテンシを等しくして、スキューを0に抑えることが出来ても、送信側と受信側が入れ替わった場合にレイテンシの逆転現象が発生してホールドタイミングエラーを増加させてしまい、CTSのイタレーション、ホールド対策バッファ追加及びテストパターン追加の量が増大するという問題があった。
図5は、本発明の実施の形態における半導体集積回路の例を示す図である。図5に示した半導体集積回路は、4つのハードマクロを持つ。図5から図15までの半導体集積回路に係る設計を、実施例1とする。
ハードマクロAのクロックAの出力を、ランダムロジックエリア内の小規模なクロックドメインAに配置される複数のフリップフロップのクロック端子と接続する。
ハードマクロBのクロックBの出力を、ランダムロジックエリア内の小規模なクロックドメインBに配置される複数のフリップフロップのクロック端子と接続する。なお、クロックドメインA及びクロックドメインB内の回路は、同じ論理回路で構成される。
ハードマクロCのクロックCの出力を、ランダムロジックエリア内の2番目に大きなクロックドメインCに配置される複数のフリップフロップのクロック端子と接続する。
ハードマクロDのクロックDの出力を、ランダムロジックエリア内の最も大きなクロックドメインDに配置される複数のフリップフロップのクロック端子と接続する。
次に、図5に示した半導体集積回路を設計フローに従ってLSI化する処理手順について、図6に設計フローを示して説明する。
図6は、本発明の実施の形態における半導体集積回路の設計フローについて説明するための図である。図6に示される設計フロー(1)から(6)について、それぞれ説明する。
(1)DFT回路挿入
「DFT回路挿入」は、通常の設計処理であるが、後述する「SCANクロック制御回路の挿入」の背景として述べる。DFT(Design For Testability)とは、テスト容易化設計である。「DFT回路挿入」(S100)とは、ユーザ設計回路に対して「JTAG(Joint Test Action Group)回路挿入」(S101)、「メモリBIST(Build In Self-Test:自己診断テスト)回路挿入」(S102)、「SCANテスト回路挿入」(S103)、「その他のテスト回路挿入(At Speed Test:実動作テストのための制御回路挿入又はテスト信号の接続修正等)」(S104)の処理等、各種LSIテストの回路をDFTツールによって挿入する半導体集積回路設計の処理手順である。
ステップS103におけるSCAN回路挿入時には、非スキャンタイプのフリップフロップをスキャンタイプのフリップフロップに置き換えて、それらをチェーン状にシリアル接続する処理、スキャンテストを制御する回路の挿入及びスキャンテストパターン圧縮回路の挿入等の処理を行う。
図7は、本発明の実施の形態におけるSCANクロックを1本化したSCANテスト回路挿入後の半導体集積回路例を示す図である。図7に示されるように、非スキャンタイプのフリップフロップをスキャンタイプのフリップフロップに置き換えて、クロックドメインA、クロックドメインB、クロックドメインC及びクロックドメインDに配置される当該フリップフロップにそれぞれSCANイネーブル信号が接続される。また、各クロックドメインへのクロック信号は、クロック、SCANクロックが入力されるマルチプレクサの出力であり、SCANテストモード信号によって切り替えが可能である。
図6に戻る。(2)レイアウト
「レイアウト」とは、半導体集積回路を構成するマクロセルを、プロセス(テクノロジ)制約、設計制約、タイミング制約、ユーザ指示の各ルールに従って、チップレイアウト上に配置し、それらを配線する処理である。本発明における「レイアウト」フローは、通常の設計処理であるが、後述する「SCANクロック制御回路の挿入」の背景として述べる。
ステップS201の「フロアプラン:Floor Plan」において、チップレイアウト上で、主に電源形成(VDD、GND配線)又は図5の左図に示したようなクリティカルなマクロセル(IOセル、メモリセル、ハードマクロ、その他事前に配置を固定したいセル)とランダムロジック領域との配置を指定する。
ステップS202の「配置・最適化:Place & PreCTSOpt(Preliminary CTS Optimize)」において、ランダムロジック領域のマクロセルを自動で配置し、後述する「クロックツリー挿入」前の配置回路に対して、セットアップタイミング最適化、及びクロックパスを除く未配線信号のスルーエラー修正を行う。
図8は、SCANクロックを1本化した半導体集積回路のCTS後の例を示す図である。図8に示されるように、各クロックドメインにスキュー調整のためホールド対策バッファが配置されたクロックツリーが挿入され、SCANクロックについてもホールド対策バッファが配置されたSCANクロックツリーが挿入される。
図6に戻る。ステップS203の「クロックツリー挿入:CTS(Clock Tree Synthesis)」において、従来は、図8に示されるように各クロックドメインのクロックスキューを最小に抑え、かつ最適なレイテンシのクロックツリーを形成して挿入する。すなわち、各クロックドメインのCTSを行った後、1本化したSCANクロックのCTSも行っていたが、広範囲に渡るSCANクロックスキューのスキュー調整は図4で説明したように悲観性が除去されるように共通パスを通りながら、遅延値(レイテンシ)が等しくなるようにバランスを取らなければならず、半導体プロセスの微細化に伴うOCVばらつき係数の増大、デザインの複雑化又は大規模化が増大すると、調整が困難になってきており、SCANテストモードでのホールドバッファ追加を余儀なくされてしまう問題があった。
ステップS204の「CTS後最適化:PostCTSOpt(Post CTS Optimize)」において、「クロックツリー挿入」後の配置回路に対して、再度セットアップタイミング最適化及びクロックパスを除く未配線信号のスルーエラー修正を行う。
ステップS205の「配線・最適化:Route & PostRouteOpt(Post Route Optimize)」において、未配線のすべての信号を実配線し、再度セットアップタイミング最適化クロックパスを除く実配線信号のスルーエラー修正を行う。
ステップS206の「ホールドタイミングエラー修正:Fix Hold Timing」において、ホールドタイミングエラー箇所に対し、遅延バッファ(ディレイセル)を挿入する修正を行う。
図9は、本発明の実施の形態における1本化されたSCANクロックにCTSをしない半導体集積回路の例を示す図である。図9に示されるように、1本化されたSCANクロックに対して、CTSは行われず、遅延バッファは挿入されない。
図6に戻る。従来は、例えばノーマルモードではクロックドメイン毎にホールドタイミングエラー修正を行った後に、スキューを合わせたSCANクロックでもホールドタイミング調整を行っていたが、本発明の実施の形態においては、図9に示されるように、SCANクロックでのホールドタイミング調整は不要であるので、CTSに係る(イタレーション回数等の)工数削減と実行時間の短縮に効果があり、ホールドタイミングエラー修正時のSCANテストモードでの遅延バッファ挿入を不要にすることができる。
(3)SCAN ATPG(レイアウト終了後)
ここでのSCANテストとは、縮退故障検出テスト(DC SCAN)を指す。他にSCAN手法を用いたテストには、遅延故障検出テスト(AC SCAN又はAt Speed SCANテストと呼ぶ)等がある。SCANテスト用のテストパターン自動生成をATPGという。
ステップS301において、ATPGは、回路情報のうち、マクロセル接続情報(ネットリスト)とテスト制約をロードして実行される。ステップS302において、通常のATPG実行か、SCANクロック遅延調整制御のATPGかで、処理を分岐する。通常のATPG実行の場合(S302のYes)、ステップS303に進む。SCANクロック遅延調整制御のATPGの場合(S302のNo)、ステップ」S603に進む。ステップS603以降については後述する。
DFT回路挿入後又はレイアウト終了後の半導体集積回路のネットリストについては、通常のSCAN ATPGを実行して(S303)、故障検出率及び未検出故障個所等のレポート、シミュレ―ション用テストベンチとSCANテストパターンを生成する(S304)。
実施例1では、さらに本発明の実施の形態における第1の特徴であるSCANクロックの制御ファイルを読み込んで、SCANモード時のホールドタイミングを回避する最適なテストパターンを生成する機能を備える。当該機能については、(6)SCAN ATPG(SCANテストモードSTA後、またはECOレイアウト終了後)で後述する。
(4)SCANテストモードのSTA(Static Timing Analysis:静的タイミング解析)
以下、本発明の実施の形態における実施例1の第2の特徴である、SCANクロック制御回路挿入と、第3の特徴であるSCANクロックの制御ファイル出力について説明する。初めに、レイアウト後に行うSTAについて、説明する。
ステップS401において、遅延調整回路が挿入前である場合(S401のYes)、ステップS402に進む。遅延調整回路挿入後である場合(S401のNo)、ステップS410に進む。
ステップS402において、レイアウト後の半導体集積回路の回路情報(マクロセル接続情報であるネットリストと遅延情報であるSDF(Standard Delay Format)又はSPEF(Standard Parasitic Exchange Format)等)をロードする。続いて、SCANテストモードのタイミング制約を与えて、STAを実行する(S403)。
STAの結果から、ホールドタイミングエラーとなったパスに対して、送信(ラウンチャ)側のフリップフロップのSCANクロックを停止させて受信(キャプチャ)側のフリップフロップがホールドタイミングエラーを回避するための、以下の2種類のファイルを生成する(S404、S405)。
生成される第1のファイルは、ATPGツールへロードさせるためのファイルである(S405)。第1のファイルは、SCANクロックを1本化した場合のホールドタイミングを満足しないパスにおける、送受信側双方のクロックドメインの組み合わせが定義されているファイルである。
生成される第2のファイルは、レイアウトツールへ読み込ませるためのECO(Engineering Change Order)ファイルを生成する(S404)。第2のファイルは、SCANテストモードでホールドタイミングエラーがレポートされたクロックドメインに対して、SCANクロック制御回路を挿入するためのファイルである。
最後に、通常のタイミングレポートに加え、第1のファイル及び第2のファイルに係るレポートも合わせて出力する(S406)。
一方、ECOレイアウト(SCANクロック制御回路挿入に必要な回路修正)を行った後のSTA、すなわちステップS410以降について、以下説明する。
ステップS410において、ECOレイアウト後の回路情報をロードする。続いて、SCANテストモードのタイミング制約に加え、キャプチャサイクル時にホールドタイミングエラーが発生するクロックドメイン、すなわちSCANクロック制御が必要なクロックドメインに対してはマルチサイクルパスあるいはホールド側だけFalseパス指定の制約を与えてSTA解析を行う(S411)。SCANシフト時のクロックドメイン間の境界に発生するホールドエラーは、既知の対策である、クロックドメイン間のSCANシフト接続箇所へのラッチ挿入で対策するので、SCANクロック制御回路を制御しない状態で、ホールドエラーがないことを確認するためである。最後に、タイミングレポートを出力する(S412)。タイミングレポートには、後述するSCANクロック制御の有無、すなわちラウンチャ側クロックの制御スイッチの状態も付加される。
(5)ECOレイアウト
レイアウト後に実行したSCANモードのSTA時のステップS404において出力されたECOのファイルを、レイアウトにロードして(S501)、通常のECOレイアウトを行う(S502、S503)。ECOレイアウト後の半導体集積回路構成例を、図10に示す。
図10は、本発明の実施の形態におけるECOレイアウト後の半導体集積回路の例を示す図である。図10において、ECOレイアウト後の半導体集積回路は、図9に示される半導体集積回路に対して、1本化されたSCANクロックと各クロックドメインとのMUX(マルチプレクサ)回路のSCANクロック入力側に、SCANクロックをON・OFFさせるゲート回路を挿入し、また当該ゲート回路を制御する図11に示す「SCANクロック制御回路」を、SCANクロックをON・OFFさせるゲート回路の近傍等に挿入する。
SCANクロックゲート回路及びSCANクロック制御回路挿入は、SCANテストモード時にキャプチャサイクルでのキャプチャ側フリップフロップでホールドタイミングを起こしたパスのラウンチャ側フリップフロップのSCANクロックに対して行われる。ここで、どのキャプチャ側フリップフロップに対しても、ホールドエラーを起こさないラウンチャ側SCANクロックには、SCANクロックゲート回路及びSCANクロック制御回路挿入を行わない。図10中の入力信号「制御スイッチ(A)(B)(C)(D)」を生成するSCANクロック制御回路例を図11に示す。
図11は、本発明の実施の形態におけるSCANクロック制御回路の例を示す図である。図11に示されるように、SCANクロック制御回路は、スキャンフリップフロップに、SCANイネーブル信号とSCANデータ出力信号のOR信号を制御スイッチに出力する回路を追加している。SCANクロック制御回路は、例えば、SCANシフトチェーンの最初に1つ挿入され、制御スイッチ信号をSCANクロックゲート回路に出力する。
図6に戻る。(6)SCAN ATPG(SCANテストモードSTA後、またはECOレイアウト終了後)
SCANテストモードのSTA後、あるいはECOレイアウト後に、マクロセル接続情報(ネットリスト)とテスト制約に加え、ステップS405で生成されるSCANクロックの制御ファイルを読み込んで(S603)、SCANモード時のホールドタイミングを回避する最適なテストパターンを生成するATPGを実行し(S604)、実行結果をファイルに出力する(S605)機能を備える。
図12は、本発明の実施の形態におけるSCANクロックの制御組み合わせの例を示す図である。図12は、図6に示されるステップS405で生成されるSCANクロックの制御ファイルについて説明するための図である。4つのクロックドメインのSCANクロックを1本化した場合の、制御スイッチ組み合わせとホールドエラーを回避できる送受信クロックの組み合わせ表を示す。組み合わせ表において、クロック「A」、「B」、「C」、「D」は、各クロックドメインに対応し、「受信側」はキャプチャ側フリップフロップを含み、「送信側」はラウンチャ側フリップフロップを含む。また、「スイッチ」は、図10に示されるSCANクロックゲート回路に入力される制御スイッチのON・OFFに対応する。
組み合わせ表において、黒い丸は、送受信クロックが同じクロックドメインで、送受信のフリップフロップが別々に制御できないが、SCANテストモード以外のノーマルモードでホールドタイミングを満たしている(満たさなければならない)組み合わせの場合である(例えば、AとA、BとB、CとC、DとD)ことを示す。
組み合わせ表において、白い丸は、送信側のクロックが停止、受信側のクロックが動作した場合の組み合わせであり、ホールドタイミングエラーが起こらない(回避できる)場合を示す。
組み合わせ表において、三角は、送信側のクロックと受信側のクロック両方が動作した場合の組み合わせであり、ホールドタイミングエラーとなる場合と、スキューが合っていなくてもホールドタイミングを満たす場合、例えば、受信側のクロックが送信側より早い場合又はデータ送信時間が遅い場合等がある。
組み合わせ表において、バツ(X)は、受信側のクロックが停止しているので、データ受信できない場合の組み合わせである。
STAツールで生成し、ATPGに入力するSCANクロック制御ファイルには、ホールドタイミングエラーが発生するクロックドメインの組み合わせ、各組み合わせでの、総エラー数、及び各組み合わせでの各エラーパスのStart Point(送信側インスタンスピン)及びEnd Point(受信側インスタンスピン)が記載されている。
したがって、本発明の実施の形態におけるATPGは、クロックドメイン間の故障テストパターンを生成するとき、SCANクロック制御方法を、図12に示される組み合わせから得ることができる。また、SCANクロック制御方法の効率向上を、各々の組み合わせが持つ総エラー数に基づいて判定することができる。また、SCANクロックを制御しない(制御スイッチが全ONの)場合の1サイクルキャプチャーテストで検出できないパスを、Start Point/End Pointのリストから判定できる。
そのため、本発明の実施の形態におけるATPGは、上記情報及び判定に基づいて、テストパターン数の増加を抑制しつつ、最適なテストパターンを生成する。
図13は、本発明の実施の形態におけるSCANクロックの制御組み合わせについて説明するための図である。図13は、図12のSCANクロックの制御組み合わせの動作概念図を示す。
図13(A)において、SCANクロックAのみがONになっている。このとき、送信側受信側共にAの場合、ホールドタイミングを満足する。送信側がB、C、Dであり、受信側がAの場合、ホールドタイミングエラーが回避できる。B、C、Dは、SCANクロックが停止されているため、受信側となることはできない。
図13(B)において、SCANクロックB、C、DがONになっている。このとき、送信側受信側共にB、C、Dの場合、ホールドタイミングを満足する。送信側がAであり、受信側がB、C、Dの場合、ホールドタイミングエラーが回避できる。Aは、SCANクロックが停止されているため、受信側となることはできない。
図14は、本発明の実施の形態におけるSCANクロックの制御回路のタイミングを説明するための図である。図14は、図11に示されるSCANクロック制御回路によって制御スイッチがON・OFFされる場合のタイミングチャートを示す。
図14に示される「シフト(最終)」のシフトサイクル時に、SCANクロック制御回路のフリップフロップにセットされた値と、SCANイネーブルとの組み合わせとにより、キャプチャサイクル時にのみ、制御スイッチのON/OFFを切り替えることができる。制御スイッチの切り替えにより、図10に示されるSCANクロックゲート回路が動作し、SCANクロックをON/OFFすることができる。
図14に示される「制御スイッチ(A)」は、1度目のキャプチャ時は、「シフトでONをセット」されているため、SCANクロックAは停止されない。2度目のキャプチャ時は、「シフトでOFFをセット」されているため、SCANクロックAは停止される。3度目のキャプチャ時は、「シフトでONをセット」されているため、SCANクロックAは停止されない。
図14に示される「制御スイッチ(B)」は、1度目のキャプチャ時は、「シフトでONをセット」されているため、SCANクロックBは停止されない。2度目のキャプチャ時は、「シフトでONをセット」されているため、SCANクロックBは停止されない。3度目のキャプチャ時は、「シフトでOFFをセット」されているため、SCANクロックBは停止される。
図15は、本発明の実施の形態におけるSCANクロックの制御組み合わせ数について説明するための図である。本発明の実施の形態におけるATPGが、考慮するSCANクロックの制御組み合わせ数について、以下説明する。
クロックドメインが4つである場合、クロックドメインA、B、C、Dのフリップフロップが、すべてのクロックドメインのフリップフロップと送受信するとした場合、SCANクロックの制御方法は全部で、16通りある。このうち、制御スイッチを全OFFする場合を除外すると、15通りとなる。
クロックドメインが5つである場合、クロックドメインA、B、C、D、Eのフリップフロップが、すべてのクロックドメインのフリップフロップと送受信するとした場合、SCANクロックの制御方法は全部で、32通りある。このうち、制御スイッチを全部Fする場合を除外すると、31通りとなる。
上記のように、SCANクロック制御の最大の組み合わせ数は、2のべき乗-1で求められる。すなわち、
有効な組み合わせ総数=2-1
n:SCANクロックを1本化したクロックドメインの数
全くテストできないクロック全OFFの組み合わせのひとつを引いている。
また、図15に示されるクロックドメインの数がnのとき、SCANクロックをONにする数をkとした場合の、SCANクロックの制御方法の組み合わせ数について、以下の式で求められる。
組み合わせ数=
n:SCANクロックを1本化したクロックドメインの数
k:n個の制御スイッチをONする数
上述のように、通常のスキャンテストにおいては、全てのSCANクロックのスキューをできるだけ合わせ、さらにクロックドメイン同士のキャプチャサイクルでの送受信の際にはホールドタイミングを満足するように、遅延バッファ挿入を行うと、全てのクロックドメイン間のテストをキャプチャ1サイクルでテストできる1パターンが生成可能であるが、現実的には先述のように問題がある。
一方、本発明の実施の形態における実施例1では、ATPGによってテストパターン増加を抑制して最適化されたテストパターンを生成し、さらに異なるクロックドメイン間でのキャプチャサイクルの送受信の際にはホールドタイミングエラーを回避するようにSCANクロック制御を行うため、SCANクロックに対するスキュー調整と遅延バッファ挿入が不要になる。
また、ブロードサイド方式又はスキュードロード方式等のマルチキャプチャーサイクルを用いずに、複数のSCANクロックを用いた場合のケースと同様の高い検出率のテストパターンを最適なサイクル数で短時間に導き出すことができる。
上述のようなSCANクロックON/OFFと当該SCANクロックの制御において、ホールドタイミングエラーを回避するように、段階的に制御スイッチ切り替え形態を変更すれば、複数のクロックドメインのSCANクロックを1本化した場合のスキュー差やOCV係数増大による悲観性マージン不足を来すことがなく、SCANテストモードにおけるホールドタイミングを満足させるための遅延バッファ追加の作業が不要になる等、効率的な開発工数を実現することができる。また、このような追加の遅延バッファが不要なホールドタイミングエラーの回避方法によれば、開発コスト(期間短縮)とデバイスコスト(チップサイズ縮小)を抑制でき、回路規模の低減による半導体集積回路の品質向上(歩留り、消費電力又はテストコスト)に寄与することができる。
すなわち、スキャンテストモードにおいて、半導体プロセスの微細化が進んだ場合であっても、異クロック間のデータホールドタイミングエラー修正の作業及びバッファの追加とを不要とし、テストパターンの追加を微量に留めて、故障検出率を下げないようなスキャンテストを実行することができる。
図16は、本発明の実施の形態における複数のハードマクロを持つ半導体集積回路の例を示す図である。図16又は図17に示される半導体集積回路に係る設計を、実施例2とする。図16中の太枠で示される「Top」、「A」、「B」、「D」等は、DFT回路挿入時に挿入されたSCANテストパターン圧縮器である。
半導体プロセスの微細化が進むと、アナログ回路(PLL(phase locked loop)、AD/DAコンバータ(Analog Digital/Digital Analog Converter)、DDRSDRAM(Double-Data-Rate Synchronous Dynamic Random Access Memory)、PCIExpress(登録商標)等)を持つハードマクロ、又は、ハードマクロ化されたIP(intellectual property、設計資産)を半導体集積回路に搭載するケースが増えてきた。
これは工期短縮又は開発費用を抑える(過去の設計資産を流用したり、他社からIPを購入したりする)理由の他に、半導体プロセスの微細化が進んで大規模な半導体集積回路の開発が可能になるにつれて、EDAツールを実行させた時に使用するCPUの数や占有率、メモリの消費量、ツールの実行時間が増大し、設計環境を圧迫しつつあるという問題が背景にある。
よって、最近の大規模な半導体集積回路では、機能別に半導体集積回路を分割し、ハードマクロ化して、各々のハードマクロでDFT回路挿入、レイアウト、STA、ATPGを行う場合がある。
しかしながら、デジタル回路を持ち、ATPGを個別に実施した殆どのハードマクロは、DFT回路挿入時にテストパターン圧縮器を設けている場合がある。
これは、ハードマクロ内で、SCANクロックを1本化し、さらにチップトップのランダムロジックで1本化したSCANクロックと更に1本化した場合に、実施例1のような問題が生じるためで、しかもハードマクロは一旦レイアウトまで完了しているので、バッファ追加が出来ず、パターンを大量に追加しても検出率が中々上がらないという問題が背景にある。
図17は、本発明の実施の形態におけるSCANテストパターン圧縮機を1つにまとめた半導体集積回路の例を示す図である。
図17で示されるように、本発明の実施の形態における実施例2を用いると、内部のSCANクロックを個別に動作・停止させることができるため、チップ全体で1つのテストパターン圧縮器に集約することができる。したがって、各ハードマクロにおいてホールドバッファ追加とテストパターン圧縮器が不要になり、チップ全体で1つのテストパターン圧縮器によるテストが可能になるため、従来ハードマクロごとにパターン圧縮器を配置して、個別にATPGを実施していた不便さを解消し、更なる回路規模の削減とテストパターン又はテスト時間の削減が可能である。
図18は、本発明の実施の形態における半導体設計支援装置の機能構成例を示す図である。図18に示されるように、半導体設計支援装置10は、表示部11、操作部12、DFT回路挿入部13、レイアウト部14、スキャンテスト部15及びテストパターン生成部16を有する。表示部11は、半導体設計支援装置10を使用するユーザに、設計情報を表示する。操作部12は、当該ユーザからの入出力を受け付ける。DFT回路挿入部13は、図6に示されるDFT回路挿入に係る設計フローを実行する機能部である。レイアウト部14は、図6に示されるレイアウト及びECOレイアウトに係る設計フローを実行する機能部である。スキャンテスト部15は、図6に示されるSCANテスト及びSTAに係る設計フローを実行する機能部である。テストパターン生成部16は、図6に示されるSCAN ATPGに係る設計フローを実行する機能部である。
半導体設計支援装置10のハードウェアは、汎用のコンピュータにより構成されてもよいし、半導体集積回路の設計専用端末により構成されてもよい。なお、本発明の実施の形態において、上述したような半導体集積回路の設計は、半導体設計支援装置10にインストールされたプログラムによって実行されてもよい。
なお、本発明の実施の形態において、スキャンテスト部15は、テスト部の一例である。テストパターン生成部16は、生成部の一例である。レイアウト部14は、配置部の一例である。
以上、本発明の実施形態又は実施例について詳述したが、本発明は斯かる特定の実施形態に限定されるものではなく、特許請求の範囲に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
10 半導体設計支援装置
11 表示部
12 操作部
13 DFT回路挿入部
14 レイアウト部
15 スキャンテスト部
16 テストパターン生成部
特開2015-028424号公報

Claims (6)

  1. 複数のクロックドメインを含む半導体集積回路の設計を支援する半導体設計支援装置であって、
    前記複数のクロックドメインに共通するスキャンクロックを使用するスキャンテストによって前記半導体集積回路にタイミング解析を行い、前記タイミング解析の結果に基づいて、スキャンテストのキャプチャサイクルにおいてホールドタイミングエラーが発生しないように前記スキャンクロックを前記クロックドメインに供給又は停止する制御を可能とする、異なるレイテンシを有する前記クロックドメイン間の組み合わせを導出するテスト部と、
    前記組み合わせに基づいて、スキャンテスト時のホールドタイミングエラーを回避するスキャンテストパターンを生成する生成部と
    前記複数のクロックドメインのそれぞれに供給されるクロックの信号線には遅延バッファを挿入し、前記スキャンクロックの信号線には遅延バッファを挿入しないで前記半導体集積回路のレイアウトを出力する配置部とを有し、
    前記生成部は、前記複数のクロックドメインすべてに対応する1つのテストパターン圧縮機を出力する半導体設計支援装置。
  2. 前記配置部は、第1の半導体集積回路に、スキャンクロックの制御回路をさらに含ませた第2の半導体集積回路のレイアウトを出力し、
    前記テスト部は、前記第1の半導体集積回路に対するスキャンテストのタイミング解析の結果に基づいて、前記第2の半導体集積回路に対するスキャンテストに適用する制約を決定する請求項1記載の半導体設計支援装置。
  3. 複数のクロックドメインを含む半導体集積回路の設計を支援する半導体設計支援装置が実行する半導体設計支援方法であって、
    前記複数のクロックドメインに共通するスキャンクロックを使用するスキャンテストによって前記半導体集積回路にタイミング解析を行い、前記タイミング解析の結果に基づいて、スキャンテストのキャプチャサイクルにおいてホールドタイミングエラーが発生しないように前記スキャンクロックを前記クロックドメインに供給又は停止する制御を可能とする、異なるレイテンシを有する前記クロックドメイン間の組み合わせを導出するテスト手順と、
    前記組み合わせに基づいて、スキャンテスト時のホールドタイミングエラーを回避するスキャンテストパターンを生成する生成手順と
    前記複数のクロックドメインのそれぞれに供給されるクロックの信号線には遅延バッファを挿入し、前記スキャンクロックの信号線には遅延バッファを挿入しないで前記半導体集積回路のレイアウトを出力する配置手順と、
    前記複数のクロックドメインすべてに対応する1つのテストパターン圧縮機を出力する手順とを実行する半導体設計支援方法。
  4. 前記配置手順は、第1の半導体集積回路に、スキャンクロックの制御回路をさらに含ませた第2の半導体集積回路のレイアウトを出力する手順を含み、
    前記テスト手順は、前記第1の半導体集積回路に対するスキャンテストのタイミング解析の結果に基づいて、前記第2の半導体集積回路に対するスキャンテストに適用する制約を決定する手順を含む請求項3記載の半導体設計支援方法。
  5. 複数のクロックドメインを含む半導体集積回路の設計を支援する半導体設計支援装置が実行可能なプログラムであって、
    前記複数のクロックドメインに共通するスキャンクロックを使用するスキャンテストによって前記半導体集積回路にタイミング解析を行い、前記タイミング解析の結果に基づいて、スキャンテストのキャプチャサイクルにおいてホールドタイミングエラーが発生しないように前記スキャンクロックを前記クロックドメインに供給又は停止する制御を可能とする、異なるレイテンシを有する前記クロックドメイン間の組み合わせを導出するテスト手順と、
    前記組み合わせに基づいて、スキャンテスト時のホールドタイミングエラーを回避するスキャンテストパターンを生成する生成手順と
    前記複数のクロックドメインのそれぞれに供給されるクロックの信号線には遅延バッファを挿入し、前記スキャンクロックの信号線には遅延バッファを挿入しないで前記半導体集積回路のレイアウトを出力する配置手順と、
    前記複数のクロックドメインすべてに対応する1つのテストパターン圧縮機を出力する手順とを前記半導体設計支援装置に実行させるプログラム。
  6. 前記配置手順は、第1の半導体集積回路に、スキャンクロックの制御回路をさらに含ませた第2の半導体集積回路のレイアウトを出力する手順を含み、
    前記テスト手順は、前記第1の半導体集積回路に対するスキャンテストのタイミング解析の結果に基づいて、前記第2の半導体集積回路に対するスキャンテストに適用する制約を決定する手順を含む請求項5記載のプログラム。
JP2017167587A 2017-08-31 2017-08-31 半導体設計支援装置、半導体設計支援方法及びプログラム Active JP7069608B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2017167587A JP7069608B2 (ja) 2017-08-31 2017-08-31 半導体設計支援装置、半導体設計支援方法及びプログラム

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017167587A JP7069608B2 (ja) 2017-08-31 2017-08-31 半導体設計支援装置、半導体設計支援方法及びプログラム

Publications (2)

Publication Number Publication Date
JP2019046087A JP2019046087A (ja) 2019-03-22
JP7069608B2 true JP7069608B2 (ja) 2022-05-18

Family

ID=65814429

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017167587A Active JP7069608B2 (ja) 2017-08-31 2017-08-31 半導体設計支援装置、半導体設計支援方法及びプログラム

Country Status (1)

Country Link
JP (1) JP7069608B2 (ja)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000266818A (ja) 1999-03-17 2000-09-29 Oki Electric Ind Co Ltd スキャン・テスト回路
US20090125771A1 (en) 2007-11-12 2009-05-14 Texas Instruments Incorporated Scan Based Testing of an Integrated Circuit Containing Circuit Portions Operable in Different Clock Domains during Functional Mode
JP2011102764A (ja) 2009-11-11 2011-05-26 Renesas Electronics Corp 半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計プログラム
JP2013019694A (ja) 2011-07-07 2013-01-31 Renesas Electronics Corp スキャンテスト回路およびスキャンテスト回路生成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000266818A (ja) 1999-03-17 2000-09-29 Oki Electric Ind Co Ltd スキャン・テスト回路
US20090125771A1 (en) 2007-11-12 2009-05-14 Texas Instruments Incorporated Scan Based Testing of an Integrated Circuit Containing Circuit Portions Operable in Different Clock Domains during Functional Mode
JP2011102764A (ja) 2009-11-11 2011-05-26 Renesas Electronics Corp 半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計プログラム
JP2013019694A (ja) 2011-07-07 2013-01-31 Renesas Electronics Corp スキャンテスト回路およびスキャンテスト回路生成方法

Also Published As

Publication number Publication date
JP2019046087A (ja) 2019-03-22

Similar Documents

Publication Publication Date Title
Keating et al. Reuse Methodology Manual for System-on-a-Chip Designs: For System-on-a-chip Designs
JP5410414B2 (ja) 回路エミュレーションの入力及び遅延入力のマルチプレクシング
US8196076B2 (en) Optimal flow in designing a circuit operable in multiple timing modes
US6651230B2 (en) Method for reducing design effect of wearout mechanisms on signal skew in integrated circuit design
US7944237B2 (en) Adjustable hold flip flop and method for adjusting hold requirements
JP2006343151A (ja) スキャンテスト回路及びその配置方法
US9098486B1 (en) Methods and apparatus for testing multiple clock domain memories
US9449127B1 (en) System for verifying timing constraints of IC design
JP5012890B2 (ja) 半導体集積回路の設計方法
US8413102B2 (en) Vectorless IVD analysis prior to tapeout to prevent scan test failure due to voltage drop
JP4264436B2 (ja) フリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置
KR102187640B1 (ko) 타이밍 분석기의 타이밍 정합 방법 및 그것을 이용한 집적회로 설계 방법
US20120210286A1 (en) Adding fine grain tuning circuitry to integrated circuit design
JP2014001937A (ja) スキャンテスト方法、プログラムおよびスキャンテスト回路
US8943457B2 (en) Simulating scan tests with reduced resources
JP7069608B2 (ja) 半導体設計支援装置、半導体設計支援方法及びプログラム
WO2009110615A1 (ja) 半導体集積回路の設計装置、半導体集積回路の設計方法、並びに半導体集積回路を設計するコンピュータ・プログラム
US8438518B2 (en) Apparatus for designing semiconductor integrated circuit, method of designing semiconductor integrated circuit, and program for designing semiconductor integrated circuit
EP1031995B1 (en) Built-in self-test circuit for memory
JP5625241B2 (ja) 半導体装置及びその試験方法
WO2024100875A1 (ja) 回路品質確認装置及び回路品質確認方法
JP4862680B2 (ja) クロック信号分配回路、情報処理装置及びクロック信号分配方法
Zhang Production-Level Test Issues in Delay Line Based Asynchronous Designs
US20130262893A1 (en) Power estimation device and power estimation method
Sharma POWER AWARE CLOCK DOMAIN CROSSING CHECK ON GRAPHIC BLOCK

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200604

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211101

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220405

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220418

R151 Written notification of patent or utility model registration

Ref document number: 7069608

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151