JP2006343151A - スキャンテスト回路及びその配置方法 - Google Patents

スキャンテスト回路及びその配置方法 Download PDF

Info

Publication number
JP2006343151A
JP2006343151A JP2005167278A JP2005167278A JP2006343151A JP 2006343151 A JP2006343151 A JP 2006343151A JP 2005167278 A JP2005167278 A JP 2005167278A JP 2005167278 A JP2005167278 A JP 2005167278A JP 2006343151 A JP2006343151 A JP 2006343151A
Authority
JP
Japan
Prior art keywords
scan
clock
signal
circuit
output
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005167278A
Other languages
English (en)
Inventor
Tetsuo Kamata
鉄雄 鎌田
Takashi Shimizu
敬 清水
Toru Sasaki
亨 佐々木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2005167278A priority Critical patent/JP2006343151A/ja
Priority to US11/421,885 priority patent/US7584393B2/en
Publication of JP2006343151A publication Critical patent/JP2006343151A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318572Input/Output interfaces
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Logic Circuits (AREA)

Abstract

【課題】 タイミングの調整やレイアウト設計の最適化などを容易化する。
【解決手段】 置き換えセルCELL1は、クロックバッファCB1及びクロック信号の立ち下がり時にデータをラッチするフリップフロップFF1から構成され、クロックツリーを構成する縦続接続されたクロックバッファとスキャン回路の間のスキャン回路側に隣接する最終段クロックバッファを置き換え、タイミングの調整やレイアウト設計の最適化などを容易化する。
【選択図】 図1

Description

本発明は、半導体集積回路のテスト容易化設計に係り、特にスキャンテスト法に用いられるスキャンテスト回路及びその配置方法に関する。
近年、情報機器等の高機能化、多機能化の進展に伴い、携帯情報機器やパーソナルコンピュータなどに、多数のシステム機能を同一チップ上に集積したシステムLSIや、メモリ、論理回路、及びアナログ回路を同一チップに搭載したSoC(System on a Chip)などが多用されている。大規模で、且つ高速化したシステムLSIやSoCでは、テストコスト等を抑制するために、スキャンテスト法やBIST(Built in Self Test)法などのテスト容易化設計(Design for Testability DFTと呼称される)と呼ぶ技術が用いられている。スキャンテスト法では、フリップフロップをスキャンフリップフロップに置き換える。スキャンフリップフロップを用いると、外部から値を設定し、外部入出力端子から、値を読み出すことが可能となり、ATPG(Automatic Test Pattern Generator)を用いたテストパターンの作成が容易になるなどの特徴を有する(例えば、特許文献1参照。)。
スキャンテスト法で用いられるスキャン制御信号であるスキャンシフトイネーブル(Scan Shift Enable)信号をパイプライン化する場合、スキャンシフトイネーブルを負荷分散し、同期化するために適宜フリップフロップなどを挿入していた。ところが、このような配置方法では、タイミングの調整やレイアウト設計が煩雑になるので設計時間がかかり、且つ最適化が困難になるという問題点がある。
特開2002−329784号公報(頁5、図2)
本発明は、タイミングの調整やレイアウト設計の最適化などが容易化できるスキャンテスト回路及びその配置方法を提供する。
上記目的を達成するために、本発明の一態様のスキャンテスト回路は、クロック制御回路から出力されたクロック信号をドライブするクロックバッファが縦続接続され、クロックツリーを構成するクロックバッファ部と、前記クロックバッファ部に接続され、スキャン制御回路から出力されたスキャンシフトイネーブル信号、及び前記クロックバッファから出力された前記クロック信号を入力し、前記クロック信号に同期化された前記スキャンシフトイネーブル信号、及び前記クロック信号を出力し、前記クロックバッファ部の最終段の前記クロックバッファを置き換える置き換えセルと、前記置き換えセルから出力された前記クロック信号に同期化された前記スキャンシフトイネーブル信号、前記クロック信号、及びスキャン入力信号を入力し、スキャンテストを行うための信号を出力するスキャン回路とを具備することを特徴とする。
更に、上記目的を達成するために、本発明の一態様のスキャンテスト回路の配置方法は、クロック制御回路から出力されたクロック信号をドライブするクロックバッファが縦続接続され、クロックツリーを構成するクロックバッファ部を配置配線するステップと、前記クロックバッファ部に接続され、スキャン制御回路から出力されたスキャンシフトイネーブル信号、及び前記クロックバッファから出力された前記クロック信号を入力し、前記クロック信号に同期化された前記スキャンシフトイネーブル信号、及び前記クロック信号を出力する置き換えセルに前記クロックバッファ部の最終段の前記クロックバッファを置き換えるステップと、前記クロックツリーを構成する前記クロックバッファ部と前記置き換えセル間、及び前記置き換えセルとスキャン回路間を配置配線するステップとを具備することを特徴とする。
本発明によれば、タイミングの調整やレイアウト設計の最適化などが容易化できるスキャンテスト回路及びその配置方法を提供することができる。
以下本発明の実施例について図面を参照しながら説明する。
まず、本発明の実施例1に係るスキャンテスト回路及びその配置方法について、図面を参照して説明する。図1は、タイミングの調整やレイアウト設計の最適化などを容易化するために設けられる置き換えセルを示すブロック図である。本実施例では、置き換えセルから出力された信号を入力するスキャン回路にマルチプレクスドスキャンフリップフロップを用いている。なお、マルチプレクスドスキャンフリップフロップは、マルチプレクスド走査フリップフロップとも呼称される。
図1に示すように、置き換えセルCELL1は、クロックバッファCB1及びフリップフロップFF1から構成され、クロックツリーを構成する縦続接続されたクロックバッファ(クロックバッファ部)とスキャン回路の間のスキャン回路側に隣接する最終段クロックバッファを置き換えるために設けられたものである。なお、クロックバッファの配置とスキャン回路の配置及び構成については後に詳述する。
クロックバッファCB1は、置き換える前の最終段クロックバッファと同一構造を有し、クロック信号CLKを入力(CLKI)し、クロック信号CLKをドライブしてスキャン回路、例えば、スキャンフリップフロップなどに出力(CLKO)する。フリップフロップFF1は、スキャンテスト法で用いられるスキャン制御信号であるスキャンシフトイネーブル(Scan Shift Enable)信号SSEをデータ信号としてDポートに入力(SSEI)し、クロック信号CLKの立ち下り時にスキャンシフトイネーブル信号SSEをラッチし、最新のスキャンシフトイネーブル信号SSEに記憶・更新されたスキャンシフトイネーブル信号SSEをQポートから出力(SSEO)する。このため、出力されるクロック信号CLK及びスキャンシフトイネーブル信号SSEは、同期化されることになる。
次に、半導体集積回路の設計方法について図2及び図3を参照して説明する。図2は、EDA(Electronic Design Automation)ツールを利用したシステムLSIとしての半導体集積回路の設計方法を示すフローチャート、図3は置き換えセルの配置方法を示すフローチャートである。なお、半導体集積回路は、種々の方法を用いて設計され、図2に示すフローチャート以外の設計方法を用いて設計でき、本実施例は図2に示すフローチャートに限定されるものではない。
図2に示すように、まず、システム設計された情報にもとづいて、ソフトウエアプログラムに近い動作記述から、HDL(Hardware Description Language)を用いたRTL(Register Transfer Level)記述を合成する(ステップS1)。次に、RTL記述を詳細化してゲートレベルの論理回路を合成する(ステップS2)。続いて、論理シミュレータなどを用いて論理機能が正しいかどうかの等価性検証を行う(ステップS3)。そして、論理回路を構成するマクロセルの接続関係データ(ネットリスト)のチェックを行う(ステップS4)。
次に、LSIの規模の見積もり、消費電力の見積もり、チップ面積の見積もり、及びパッケージの見積もり等(フロアプラン)を行う(ステップS5)。続いて、各マクロセルなどをLSIチップ内にタイミングの最適化を計りながら配置配線する(ステップS6)。
そして、CTS(Clock Tree Synthesis)の試行及び見積を行う(ステップS7)。具体的には、図3に示すように、まず、クロックツリーを論理的に生成してから、クロックバッファを配置配線する(ステップS71)。次に、縦続接続されたクロックバッファとスキャンフリップフロップの間のスキャンフリップフロップ側に隣接するクロックバッファ(最終段のクロックバッファ)を上述した図1の置き換えセル(CELL1)に置き換える(ステップS72)。続いて、クロックバッファと置き換えセル間の配置配線を行う(ステップS73)。そして、置き換えセルとスキャンフリップフロップ間の配置配線を行う(ステップS73)。
次に、各マクロセル、クロックツリーを構成するクロックバッファ、スキャンフリップフロップ、及び置き換えセル等を接続する配線の妥当性を確認する(ステップS8)。続いて、スタティック・タイミング・アナライザなどを用いて、タイミングが所定の範囲内に設定されているかの解析を行う。もし、タイミングが所定の範囲外の場合には、フロアプランから作業をやり直す(ステップS9)。
続いて、論理シミュレータを用いて論理機能が正しいかどうかの等価性検証を行う(ステップS10)。そして、例えば、DRC(Design Rule Checker)、LVS(Layout Versus Schematic)、Ant等のレイアウト検証ツールを用いてレイアウトデータの検証を行う(ステップS11)。次に、設計されたデータをGDS(Graphic Data System)II形式のCADレイアウトデータに置換する(ステップS12)。
次に、設計されたシステムLSIとしての半導体集積回路について図4を参照して説明する。図4は置き換えセルを配置した半導体集積回路の概略を示すブロック図、図5はスキャンフリップフロップを示すブロック図である。なお、半導体集積回路を構成する入出力回路部及びメモリ部についての図示及び説明は省略する。
図4に示すように、半導体集積回路1には、スキャン制御回路2、クロック制御回路3、論理回路部4、バッファB1、クロックバッファCB1a乃至CB1d、置き換えセルCELL1a、置き換えセルCELL1b、及びスキャンフリップフロップSFF1乃至SFF4が設けられている。
スキャン制御回路2は、スキャン制御信号であるスキャンシフトイネーブル信号SSEを生成し、その信号をバッファB1に出力する。クロック制御回路3は、クロック信号CLKを生成し、その信号をクロックバッファCB1a及びクロックバッファCB1bに出力する。
バッファB1は、スキャン制御回路2と置き換えセルCELL1a及び置き換えセルCELL1bの間に設けられ、スキャン制御回路2から出力されたスキャンシフトイネーブル信号SSEをドライブし、その信号を置き換えセルCELL1a及び置き換えセルCELL1bに出力する。ここで、スキャン制御回路2と置き換えセルCELL1a及び置き換えセルCELL1bの間にバッファを1個設けているが、複数段設けたり、或いは省略したりしてもよい。
クロックバッファCB1a乃至CB1d(クロックバッファ部を構成)は、クロック制御回路3と置き換えセルCELL1a及び置き換えセルCELL1bの間に設けられ、クロックツリー5を構成している。1段目のクロックバッファCB1a及びCB1bは、クロック制御回路3から出力されたクロック信号CLKを入力し、その信号をドライブする。2段目のクロックバッファCB1c及びCB1dは、クロックバッファCB1bから出力されたクロック信号CLKを入力し、その信号をドライブして置き換えセルCELL1a及び置き換えセルCELL1bに出力する。ここで、クロックツリーは2段構成であるが、半導体集積回路1の要求される特性に応じて適宜変更されるので、例えば、3段構成以上になる場合がある。
置き換えセルCELL1aは、バッファB1及びクロックツリー5とスキャンフリップフロップSFF1乃至SFF3の間に設けられ、スキャンシフトイネーブル信号SSEをSSEIポート、クロック信号CLKをCLKIポートにそれぞれ入力し、クロック信号CLKをCLKOポート、クロック信号CLKに同期化されたスキャンシフトイネーブル信号SSEをSSEOポートからそれぞれ出力する。
置き換えセルCELL1bは、バッファB1及びクロックツリー5とスキャンフリップフロップSFF4の間に設けられ、スキャンシフトイネーブル信号SSEをSSEIポート、クロック信号CLKをCLKIポートにそれぞれ入力し、クロック信号CLKをCLKOポート、クロック信号CLKに同期化されたスキャンシフトイネーブル信号SSEをSSEOポートからそれぞれ出力する。
スキャンフリップフロップSFF1乃至SFF3は、置き換えセルCELL1aと論理回路部4の間に設けられ、置き換えセルCELL1aから出力されたクロック信号CLK、及びポートSSEaにクロック信号CLKに同期化されたスキャンシフトイネーブル信号SSEをそれぞれ入力し、信号処理された出力信号をQポート或いはSOポートから出力する。
論理回路部4は、スキャンフリップフロップSFF1乃至SFF3とスキャンフリップフロップSFF4の間に設けられ、システムLSIに必要な各種論理回路、プロセッサなどの各種コア、及びスキャンレジスタ等を備え、スキャンフリップフロップSFF1乃至SFF3のQポートから出力された出力信号等を入力し、通常動作時にシステム動作のための各種論理動作し、スキャンテスト時にスキャン動作する。そして、各種論理動作信号及びスキャン動作信号を出力する。ここで、論理回路部4の回路規模が大規模でスキャンテスト法だけではデータ量が増え、LSIのテスターのメモリ容量を超える場合、論理BISTを設けるのが好ましい。
スキャンフリップフロップSFF4は、論理回路部4から出力された信号をDポート、置き換えセルCELL1bから出力されたクロック信号CLK、及びポートSSEaにクロック信号CLKに同期化されたスキャンシフトイネーブル信号SSEをそれぞれ入力し、信号処理された出力信号をQポート或いはSOポートから出力する。
ここで、スキャンシフトイネーブルを負荷分散するために、適宜フリップフロップを挿入して同期化する方法と比較し、クロックツリーの最終段部に置き換えセルを配置した場合にはクロックツリーの配置された位置及び形状に依存せずに適切に負荷分散することができる。
図5に示すように、スキャン回路であるスキャンフリップフロップSFF1乃至SFF4の内部構成は、マルチプレクサMUX1及びフリップフロップFF2から構成され、マルチプレクサで制御されるマルチプレクスドスキャンフリップフロップである。
マルチプレクサMUX1は、データ信号(D)としてのファンクショナル入力信号Functional Input及びスキャン信号であるスキャン入力信号Scan Input(SI)を入力して、スキャンシフトイネーブル信号SSEの信号レベルにより、ファンクショナル入力信号Functional Input或いはスキャン入力信号Scan Inputを選択してその信号をフリップフロップFF2に出力する。
フリップフロップFF2は、マルチプレクサMUX1から出力された信号をDポートに入力し、クロック信号CLKの立ち上がり時にマルチプレクサMUX1から出力された信号をラッチして、最新の信号に記憶・更新してその信号を出力信号Outとして出力する。
このため、マルチプレクサMUX1でファンクショナル入力信号Functional Inputが選択された場合、スキャンフリップフロップから記憶・更新されたデータ信号としてのファンクショナル信号が出力信号Outとして出力され、マルチプレクサMUX1でスキャン入力信号Scan Inputが選択された場合、スキャンフリップフロップから記憶・更新されたスキャン信号が出力信号Outとして出力される。
次に、スキャン動作について図6を参照して説明する。図6は、スキャン動作を示すタイミングチャートである。ここで、ランチフリップフロップ(Launch Flip Flop)としてスキャンフリップフロップSFF1、キャプチャーフリップフロップ(Capture Flip Flop)としてスキャンフリップフロップSFF4がそれぞれ選択され場合で、論理回路部の遅延故障(Delay Fault)検出のスキャンテストを行った場合のタイミングチャートである。
図6に示すように、置き換えセルCELL1aのSSEIポートに入力されるスキャンシフトイネーブル信号SSE(SSEIで表示)は、クロック信号CLKのラストシフトランチクロック(Last Shift Launch Clock)の1つ手前のサイクルで“High”レベルから“Low”レベルに遷移する。置き換えセルCELL1aのSSEOポートから出力されるスキャンシフトイネーブル信号SSEは、ラストシフトランチクロック(Last Shift Launch Clock)が立ち下るまで“High”レベルを維持する。このとき、置き換えセルCELL1aのSSEOポートから出力されるスキャンシフトイネーブル信号SSE(SSEOで表示)が入力されるスキャンフリップフロップSFF1及びスキャンフリップフロップSFF4のSSEaポートは、“High”レベルであるスキャンシフト状態にある。
ラストシフトランチクロック(Last Shift Launch Clock)が入力されると、置き換えセルCELL1aのSSEOポートから出力されるスキャンシフトイネーブル信号SSE(SSEOで表示)は、ラストシフトランチクロック(Last Shift Launch Clock)が立ち下るときに“Low”レベルに遷移する。このとき、置き換えセルCELL1aのSSEOポートから出力されるスキャンシフトイネーブル信号SSE(SSEOで表示)が入力されるスキャンフリップフロップSFF1及びスキャンフリップフロップSFF4のSSEaポートは、“Low”レベルとなる。
論理回路部4の遅延故障検出のスキャンテストの動作は、まず、スキャンフリップフロップSFF1に、ラストシフトランチクロック(Last Shift Launch Clock)が入力され、置き換えセルCELL1aのSSEOポートから出力されるスキャンシフトイネーブル信号SSE(SSEOで表示)が“High”レベルのときに、スキャンフリップフロップSFF1はSIポートから入力されるラストシフトデータ(Last Shift Data)をランチデータ(Launch Data)としてQポートから出力する。ここで、ランチデータは、スキャンテストに用いられるスキャンインデータ(Scan in Data)である。
次に、論理回路部4は、スキャンフリップフロップSFF1から出力されたラストシフトランチデータ(Last Shift Launch Data)を入力し、この信号にもとづいて内蔵されたスキャンレジスタによりスキャンテストが行われる。続いて、スキャンフリップフロップSFF4は、キャプチャークロック(Capture Clock)が到達する前に“Low”レベルに遷移している置き換えセルCELL1bのSSEOポートから出力されるスキャンシフトイネーブル信号SSE(SSEOで表示)により選択され、論理回路部4から出力された論理回路部4のスキャンテスト情報であるキャプチャーデータ(Capture Data)を入力し、SOポートからこの信号を出力する。
上述したように、本実施例の半導体集積回路のスキャンテスト回路及びその配置方法では、クロックツリー5を構成する縦続接続されたクロックバッファと、クロックツリー5とスキャン回路の間のスキャン回路側に隣接する最終段クロックバッファを置き換える置き換えセルCELL1a及び置き換えセルCELL1bが設けられている。そして、クロックバッファCB1から出力されるクロック信号CLKとフリップフロップFF1から出力されるスキャンシフトイネーブル信号SSEは、同期化されている。
このため、スキャンシフトイネーブル信号SSEをパイプライン化する場合、スキャンシフトイネーブルを負荷分散するために、従来の同期化するために適宜フリップフロップを挿入したりする方法と比較して、煩雑な作業を行う必要がないのでレイアウト設計の自由度が向上し、タイミング調整やレイアウト設計の最適化を容易にすることができる。特に、高速動作し、回路規模が大きなシステムLSIやSoCでは最適なタイミング調整を図ることができる。
なお、本実施例では、クロック制御回路3から出力されたロック信号を用いてスキャンテストしているが、クロック信号よりも高速な、例えば、半導体集積回路1内の高速PLL(Phase Locked Loop)回路で生成され、半導体集積回路1の制御用の高速なシステムクロック信号を用い、スキャンフリップフロップなどのスキャン回路のデータ書き込みや読み出し時にはクロック信号を使用し、遅延故障テスト時には高速なシステムクロック信号を使用してもよい。この場合、クロック信号と高速なシステムクロック信号はセレクタなどを用いて信号選択する。
次に、本発明の実施例2に係るスキャンテスト回路について、図面を参照して説明する。図7は、置き換えセル示すブロック図である。本実施例では、置き換えセルを構成するフリップフロップをラッチ回路に変更している。
以下、実施例1と同一構成部分には、同一符号を付してその部分の説明を省略し、異なる部分のみ説明する。
図7に示すように、置き換えセルCELL2は、クロックバッファCB1及びラッチ回路LATCH1から構成され、クロックツリーを構成する縦続接続されたクロックバッファとスキャン回路の間のスキャン回路側に隣接する最終段クロックバッファを置き換えるために設けられたものである。
ラッチ回路LATCH1は、例えば、マスタースレーブ方式のフリップフロップよりも簡素な構成で、スキャンテスト法で用いられるスキャン制御信号であるスキャンシフトイネーブル(Scan Shift Enable)信号SSEをデータ信号としてDポートに入力(SSEI)し、クロック信号CLKの立ち下り時にスキャンシフトイネーブル信号SSEをラッチし、最新のスキャンシフトイネーブル信号SSEに記憶・更新されたスキャンシフトイネーブル信号SSEをスキャン回路にQポートから出力(SSEO)する。このため、出力されるクロック信号CLKと出力されるスキャンシフトイネーブル信号SSEは、同期化されることになる。
上述したように、本実施例のスキャンテスト回路では、クロックツリー5を構成する縦続接続されたクロックバッファと、クロックツリー5とスキャン回路の間のスキャン回路側に隣接する最終段クロックバッファを置き換えるラッチ回路LATCH1及びクロックバッファCB1から構成される置き換えセルCELL2が設けられている。そして、クロックバッファCB1から出力されるクロック信号CLKとラッチ回路LATCH1から出力されるスキャンシフトイネーブル信号SSEは、同期化されている。
このため、スキャンシフトイネーブル信号SSEをパイプライン化する場合、スキャンシフトイネーブルを負荷分散するために、従来の同期化するために適宜フリップフロップを挿入したりする方法と比較して、煩雑な作業を行う必要がないのでレイアウト設計の自由度が向上し、タイミング調整やレイアウト設計の最適化を容易にすることができる。また、実施例1よりも置き換えセルの構成が簡略化されているので半導体集積回路の回路規模を抑制することができる。
次に、本発明の実施例3に係るスキャンテスト回路について、図面を参照して説明する。図8は、置き換えセル示すブロック図である。本実施例では、置き換えセルを構成するフリップフロップの動作に変更している。
以下、実施例1と同一構成部分には、同一符号を付してその部分の説明を省略し、異なる部分のみ説明する。
図8に示すように、置き換えセルCELL3は、クロックバッファCB1及びフリップフロップFF3から構成され、クロックツリーを構成する縦続接続されたクロックバッファとスキャン回路の間のスキャン回路側に隣接する最終段クロックバッファを置き換えるために設けられたものである。
フリップフロップFF3は、スキャンテスト法で用いられるスキャン制御信号であるスキャンシフトイネーブル(Scan Shift Enable)信号SSEをデータ信号としてDポートに入力(SSEI)し、クロック信号CLKの立ち上り時にスキャンシフトイネーブル信号SSEをラッチし、最新のスキャンシフトイネーブル信号SSEに記憶・更新されたスキャンシフトイネーブル信号SSEをスキャン回路にQポートから出力(SSEO)する。このため、出力されるクロック信号CLKと出力されるスキャンシフトイネーブル信号SSEは、同期化されることになる。
上述したように、本実施例のスキャンテスト回路では、クロックツリー5を構成する縦続接続されたクロックバッファと、クロックツリー5とスキャン回路の間のスキャン回路側に隣接する最終段クロックバッファを置き換える、クロック信号の立ち上がり時にデータ信号をラッチするフリップフロップFF3及びクロックバッファCB1から構成される置き換えセルCELL3が設けられている。そして、クロックバッファCB1から出力されるクロック信号CLKとフリップフロップFF3から出力されるスキャンシフトイネーブル信号SSEは、同期化されている。
このため、スキャンシフトイネーブル信号SSEをパイプライン化する場合、スキャンシフトイネーブルを負荷分散するために、従来の同期化するために適宜フリップフロップを挿入したりする方法と比較して、煩雑な作業を行う必要がないのでレイアウト設計の自由度が向上し、タイミング調整やレイアウト設計の最適化を容易にすることができる。
本発明は、上記実施例に限定されるものではなく、発明の趣旨を逸脱しない範囲で、種々、変更してもよい。
例えば、実施例では、システムLSIに置き換えセルを適用したがメモリ、論理回路、アナログ回路などを同一チップに搭載したSoC(System on a Chip)に適用してもよい。
本発明の実施例1に係る置き換えセルを示すブロック図。 本発明の実施例1に係るシステムLSIとしての半導体集積回路の設計方法を示すフローチャート。 本発明の実施例1に係る置き換えセルの配置方法を示すフローチャート。 本発明の実施例1に係る置き換えセルを配置した半導体集積回路の概略を示すブロック図。 本発明の実施例1に係るスキャンフリップフロップを示すブロック図。 本発明の実施例1に係るスキャン動作を示すタイミングチャート。 本発明の実施例2に係る置き換えセルを示すブロック図。 本発明の実施例3に係る置き換えセルを示すブロック図。
符号の説明
1 半導体集積回路
2 スキャン制御回路
3 クロック制御回路
4 論理回路部
5 クロックツリー
CB1、CB1a〜d クロックバッファ
CELL1、CELL1a、CELL1b、CELL2、CELL3 置き換えセル
CLK クロック信号
FF1、FF2、FF3 フリップフロップ
Functional Input ファンクショナル入力信号
LATCH1 ラッチ回路
MUX1 マルチプレクサ
Out 出力信号
Scan Input スキャン入力信号
SSE スキャンシフトイネーブル信号
SFF1〜4 スキャンフリップフロップ

Claims (5)

  1. クロック制御回路から出力されたクロック信号をドライブするクロックバッファが縦続接続され、クロックツリーを構成するクロックバッファ部と、
    前記クロックバッファ部に接続され、スキャン制御回路から出力されたスキャンシフトイネーブル信号、及び前記クロックバッファから出力された前記クロック信号を入力し、前記クロック信号に同期化された前記スキャンシフトイネーブル信号、及び前記クロック信号を出力し、前記クロックバッファ部の最終段の前記クロックバッファを置き換える置き換えセルと、
    前記置き換えセルから出力された前記クロック信号に同期化された前記スキャンシフトイネーブル信号、前記クロック信号、及びスキャン入力信号を入力し、スキャンテストを行うための信号を出力するスキャン回路と、
    を具備することを特徴とするスキャンテスト回路。
  2. 前記置き換えセルは、前記クロック信号を入力し、前記クロック信号をドライブして前記スキャン回路に出力するクロックバッファと、前記スキャンシフトイネーブル信号をデータ信号として入力し、前記クロック信号の立ち下り時、前記スキャンシフトイネーブル信号をラッチし、記憶・更新された前記スキャンシフトイネーブル信号を前記スキャン回路に出力するフリップフロップ又はラッチ回路とから構成されていることを特徴とする請求項1に記載のスキャンテスト回路。
  3. 前記置き換えセルは、前記クロック信号を入力し、前記クロック信号をドライブして前記スキャン回路に出力するクロックバッファと、前記スキャンシフトイネーブル信号をデータ信号として入力し、前記クロック信号の立ち上り時、前記スキャンシフトイネーブル信号をラッチし、記憶・更新された前記スキャンシフトイネーブル信号を前記スキャン回路に出力するフリップフロップとから構成されていることを特徴とする請求項1に記載のスキャンテスト回路。
  4. クロック制御回路から出力されたクロック信号をドライブするクロックバッファが縦続接続され、クロックツリーを構成するクロックバッファ部と、
    前記クロックバッファ部に接続され、スキャン制御回路から出力されたスキャンシフトイネーブル信号、及び前記クロックバッファから出力された前記クロック信号を入力し、前記クロック信号に同期化された前記スキャンシフトイネーブル信号、及び前記クロック信号を出力し、前記クロックバッファ部の最終段の第1のクロックバッファを置き換える第1の置き換えセルと、
    前記クロックバッファ部に接続され、前記スキャン制御回路から出力されたスキャンシフトイネーブル信号、及び前記クロックバッファから出力された前記クロック信号を入力し、前記クロック信号に同期化された前記スキャンシフトイネーブル信号、及び前記クロック信号を出力し、前記クロックバッファ部の最終段の第2のクロックバッファを置き換える第2の置き換えセルと、
    前記第1の置き換えセルから出力された、前記クロック信号に同期化された前記スキャンシフトイネーブル信号、前記クロック信号、及びスキャン入力信号を入力し、スキャンテストを行うための信号を出力する第1のスキャン回路と、
    前記第1のスキャン回路から出力された前記スキャン入力信号を入力し、前記スキャン入力信号にもとづいてスキャンテストを行う被試験回路としての論理回路部と、
    前記第2の置き換えセルから出力された、前記クロック信号に同期化された前記スキャンシフトイネーブル信号、前記クロック信号、及び前記論理回路部から出力されたスキャンテスト情報を入力し、前記スキャンテスト情報を出力する第2のスキャン回路と、
    を具備することを特徴とするスキャンテスト回路。
  5. クロック制御回路から出力されたクロック信号をドライブするクロックバッファが縦続接続され、クロックツリーを構成するクロックバッファ部を配置配線するステップと、
    前記クロックバッファ部に接続され、スキャン制御回路から出力されたスキャンシフトイネーブル信号、及び前記クロックバッファから出力された前記クロック信号を入力し、前記クロック信号に同期化された前記スキャンシフトイネーブル信号、及び前記クロック信号を出力する置き換えセルに前記クロックバッファ部の最終段の前記クロックバッファを置き換えるステップと、
    前記クロックツリーを構成する前記クロックバッファ部と前記置き換えセル間、及び前記置き換えセルとスキャン回路間を配置配線するステップと、
    を具備することを特徴とするスキャンテスト回路の配置方法。
JP2005167278A 2005-06-07 2005-06-07 スキャンテスト回路及びその配置方法 Pending JP2006343151A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005167278A JP2006343151A (ja) 2005-06-07 2005-06-07 スキャンテスト回路及びその配置方法
US11/421,885 US7584393B2 (en) 2005-06-07 2006-06-02 Scan test circuit and method of arranging the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005167278A JP2006343151A (ja) 2005-06-07 2005-06-07 スキャンテスト回路及びその配置方法

Publications (1)

Publication Number Publication Date
JP2006343151A true JP2006343151A (ja) 2006-12-21

Family

ID=37619617

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005167278A Pending JP2006343151A (ja) 2005-06-07 2005-06-07 スキャンテスト回路及びその配置方法

Country Status (2)

Country Link
US (1) US7584393B2 (ja)
JP (1) JP2006343151A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008275479A (ja) * 2007-04-27 2008-11-13 Nec Electronics Corp スキャンテスト回路、及びスキャンテスト制御方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007263790A (ja) * 2006-03-29 2007-10-11 Nec Electronics Corp 半導体集積回路装置、及び、遅延故障試験方法
JP5256840B2 (ja) * 2008-04-30 2013-08-07 富士通セミコンダクター株式会社 論理回路
US10721269B1 (en) 2009-11-06 2020-07-21 F5 Networks, Inc. Methods and system for returning requests with javascript for clients before passing a request to a server
US11140178B1 (en) 2009-11-23 2021-10-05 F5 Networks, Inc. Methods and system for client side analysis of responses for server purposes
US10296653B2 (en) 2010-09-07 2019-05-21 F5 Networks, Inc. Systems and methods for accelerating web page loading
US9080714B2 (en) 2012-11-20 2015-07-14 Kenu, Inc. Adjustable portable device holder
US10476992B1 (en) 2015-07-06 2019-11-12 F5 Networks, Inc. Methods for providing MPTCP proxy options and devices thereof

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04169879A (ja) * 1990-10-11 1992-06-17 Hokuriku Nippon Denki Software Kk 実装lsi試験方法
JPH04172267A (ja) * 1990-11-05 1992-06-19 Nec Corp スキャンパス回路の最少遅延補償方式
JPH07192043A (ja) * 1993-08-06 1995-07-28 Matsushita Electric Ind Co Ltd スキャンテスト回路の配置配線方法及びその配置配線装置並びに半導体集積回路
JPH10267994A (ja) * 1997-03-24 1998-10-09 Oki Electric Ind Co Ltd 集積回路
JP2003513286A (ja) * 1999-10-29 2003-04-08 ロジックヴィジョン インコーポレイテッド 複数のクロックを備える回路をテストするための方法および装置
JP2003150658A (ja) * 2001-11-14 2003-05-23 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法およびスキャンテスト方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09203767A (ja) * 1996-01-24 1997-08-05 Sony Corp スキャン記憶装置およびスキャンパス回路
US5850150A (en) * 1996-05-01 1998-12-15 Sun Microsystems, Inc. Final stage clock buffer in a clock distribution network
JP2002329784A (ja) 2001-05-07 2002-11-15 Matsushita Electric Ind Co Ltd 半導体回路
US20050240846A1 (en) * 2004-04-23 2005-10-27 Texas Instruments Incorporated Accurate Generation of Scan Enable Signal when Testing Integrated Circuits Using Sequential Scanning Techniques

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04169879A (ja) * 1990-10-11 1992-06-17 Hokuriku Nippon Denki Software Kk 実装lsi試験方法
JPH04172267A (ja) * 1990-11-05 1992-06-19 Nec Corp スキャンパス回路の最少遅延補償方式
JPH07192043A (ja) * 1993-08-06 1995-07-28 Matsushita Electric Ind Co Ltd スキャンテスト回路の配置配線方法及びその配置配線装置並びに半導体集積回路
JPH10267994A (ja) * 1997-03-24 1998-10-09 Oki Electric Ind Co Ltd 集積回路
JP2003513286A (ja) * 1999-10-29 2003-04-08 ロジックヴィジョン インコーポレイテッド 複数のクロックを備える回路をテストするための方法および装置
JP2003150658A (ja) * 2001-11-14 2003-05-23 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法およびスキャンテスト方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008275479A (ja) * 2007-04-27 2008-11-13 Nec Electronics Corp スキャンテスト回路、及びスキャンテスト制御方法

Also Published As

Publication number Publication date
US7584393B2 (en) 2009-09-01
US20070011524A1 (en) 2007-01-11

Similar Documents

Publication Publication Date Title
US8196076B2 (en) Optimal flow in designing a circuit operable in multiple timing modes
JP2006343151A (ja) スキャンテスト回路及びその配置方法
US7962872B2 (en) Timing analysis when integrating multiple circuit blocks while balancing resource requirements and accuracy
JP5401119B2 (ja) 半導体集積回路の設計方法、設計プログラム、及び半導体集積回路
US6990642B2 (en) Design method for integrated circuit having scan function
JP2001357090A (ja) 論理合成方法及び論理合成装置
JP4512934B2 (ja) 論理設計された集積回路に対するレイアウト設計及びタイミング調整の方法及び装置並びにこの方法を実行するためのプログラム及びこのプログラムが記録されたコンピュータ読み取り可能な記録媒体
US6973631B2 (en) Scan insertion with bypass login in an IC design
JP5444985B2 (ja) 情報処理装置
US6654939B2 (en) Method of designing logic circuit, and computer product
US8028255B2 (en) Semiconductor integrated circuit, semiconductor integrated circuit design support device, and semiconductor integrated circuit manufacturing method
US20230005562A1 (en) Scan chain compression for testing memory of a system on a chip
JP2007072995A (ja) レイアウト装置、自動配置配線方法および半導体集積回路製造方法
Engel et al. Design methodology for IBM ASIC products
US7315997B2 (en) Method and apparatus for supporting designing of LSI, and computer product
US7155687B2 (en) Methods and apparatus for scan insertion
JP7069608B2 (ja) 半導体設計支援装置、半導体設計支援方法及びプログラム
JP4886559B2 (ja) 半導体設計支援装置、半導体設計支援方法および半導体設計支援プログラム
JP5450973B2 (ja) 回路検証装置および回路検証方法
JP2774396B2 (ja) データ生成装置
JP5531479B2 (ja) 回路設計方法、及び回路設計プログラム
JP2006049638A (ja) 半導体装置の設計方法および半導体装置
JP2005302062A (ja) 大規模回路のレイアウト方法
JP2000305965A (ja) クロックツリーシンセシス方法及び装置
JP2004335589A (ja) 半導体集積回路及びそのレイアウト設計方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080522

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100610

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100615

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101015