JPH10104320A - 走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路 - Google Patents

走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路

Info

Publication number
JPH10104320A
JPH10104320A JP9130108A JP13010897A JPH10104320A JP H10104320 A JPH10104320 A JP H10104320A JP 9130108 A JP9130108 A JP 9130108A JP 13010897 A JP13010897 A JP 13010897A JP H10104320 A JPH10104320 A JP H10104320A
Authority
JP
Japan
Prior art keywords
clock signal
clock
scan
sequential circuit
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9130108A
Other languages
English (en)
Inventor
Andres Teene
テーネ アンドレス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LSI Logic FSI Corp
Original Assignee
Symbios Logic Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Symbios Logic Inc filed Critical Symbios Logic Inc
Publication of JPH10104320A publication Critical patent/JPH10104320A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31725Timing aspects, e.g. clock distribution, skew, propagation delay
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

(57)【要約】 【課題】 走査チェーンの再配置を行っても、集積回路
の設計および製造の時間およびコストの増大をしなくて
もすむようにする方法を提供する。 【解決手段】 走査チェーンの走査保持時間エラーを除
去する方法。この方法は、集積回路全体にクロック信号
を分配した結果得られた情報を使用する。特に、走査チ
ェーンは、クロック信号の分配の結果に従って再配置さ
れる。クロック信号を分配すると、シーケンシャル回路
素子の各グループができ、この各グループが走査チェー
ンを形成する。この方法は、また少なくとも一つのグル
ープでクロック信号のクロック・スキューに従って、少
なくとも一つのグループでシーケンシャル回路素子を再
配列するステップを含む。この方法は、さらに各グルー
プ間のクロック信号のクロック・スキューに従って、グ
ループを再配列するステップを含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、複雑な回路設計を
行う方法、特に一連の走査の保持時間エラーを除去し、
走査チェーン走査に必要なチップ面積のオーバーヘッド
を最も少なくするために、クロック信号の分配の結果に
基づいて走査チェーンを再配置する方法に関する。
【0002】
【従来の技術、及び、発明が解決しようとする課題】集
積回路は、内蔵する接続(ゲート)およびシーケンシャ
ル(ラッチ、フリップ・フロップ)回路のタイミングを
制御するのにクロック信号を使用する。理想的には、上
記クロック信号は、集積回路全体に物理的に配置されて
いる種々の素子に同時に供給されなければならない。い
くつかの素子がクロック信号源から種々の距離にある場
所に設置されている場合には、クロック信号は、クロッ
ク信号源と上記素子を相互に接続している金属のリード
線を通して、これらの素子に別々の時間に到着する。こ
れがクロック・スキューと呼ばれる現象が起こる一つの
原因である。クロック・スキューは、またクロック信号
が種々の素子に到着するまでの間に存在する抵抗および
容量によっても起こる場合がある。クロック・スキュー
を起こす上記原因を補償する技術としては、すべてのク
ロック分岐の容量を等しくするために、上記金属リード
線の長さを同じにし、ある金属リード線に容量負荷が掛
かるように設計する方法等がある。
【0003】クロック・スキューを最も少なくする他の
方法としては、クロック信号が遭遇する抵抗および容量
を駆動するために複数のバッファを使用する方法があ
る。しかし、これらバッファを使用すると、クロック信
号の伝播に遅延を生じ、同様にクロック・スキューも起
こす。
【0004】集積回路でもっと高い動作周波数を使用す
る場合には、金属リード線による容量性負荷およびクロ
ック信号の緩衝の両方を制御することによって、クロッ
ク信号を正確に制御する必要がある。さらに、集積回路
製造方法の進歩により、集積回路の装置および金属リー
ド線のサイズが小さくなるので、クロック・スキューに
対する抵抗の影響はさらに顕著になる。
【0005】集積回路においては、接続回路素子を試験
する場合と比較すると、集積回路のロジック内のシーケ
ンシャル回路素子を試験するのは、多くの場合困難であ
る。その結果、試験を可能にする方法について多くの設
計が行われてきた。その中の一つの方法は、シーケンシ
ャル回路素子に試験ベクトルを直接使用することができ
る走査パス方法である。シーケンシャル回路素子は、直
列に相互に接続して走査パスを形成している。試験ベク
トルは、入力ピンから走査パスの最初のシーケンシャル
回路素子に供給される。クロック(イネーブル)信号
は、試験ベクトルがシーケンシャル回路素子を通って伝
播する時に、上記試験ベクトルの数値を記憶する。本質
的には、シーケンシャル回路素子は、シフト・レジスタ
として機能する。最後のシーケンシャル回路素子からの
出力は、期待値と比較される。走査パス入力ベクトルの
シフト動作を実行するために、隣接シーケンシャル回路
素子のクロック信号は同時にアクティブになってはなら
ない。
【0006】従来の走査パス方法の場合には、クロック
・スキューにより試験ベクトルの出力に誤差が生じると
いうような問題があった。クロック・スキューは、シフ
ト・レジスタ、クロック信号の不十分な駆動能力を補償
するために挿入した、バッファ等の各シーケンシャル回
路素子に対する、金属リード線の不均等なレイアウトに
よって起こる。それ故、シーケンシャル回路素子は、異
なる時点で入力ベクトルを読み、その結果、シフト・レ
ジスタとして正しく動作しなくなる。
【0007】通常、その設計に走査パスを追加するため
に生じるチップ面積のオーバーヘッドを最も少なくする
ために、レイアウト・プロセス中に走査パスの再配置が
行われる。上記再配置のいくつかの例が、「全走査回路
に対する自動的位置およびルート・レイアウトを最適化
するための方法」という名称のルス他の米国特許第5,
307,286号、および「ロジック回路の幾何学的配
置による、フリップ・フロップの再配置による走査パス
の形成」という名称の矢部他の米国特許第5,212,
651号に開示されている。
【0008】米国特許第5,307,286号(’28
6特許)は、フリップ・フロップ回路を含み、これらフ
リップ・フロップに信号を供給するために、横方向に並
列に配置されているバッファを持つ集積回路を開示して
いる。この集積回路のレイアウトが行われている間に、
走査イネーブル信号タ−ミナル、クロック・ラインおよ
び他の広域信号ラインが、突き合わせにより隣接フリッ
プ・フロップ間で接続されるように、類似のラッチとバ
ッファと共に横列にグループ別に分割される。その後、
フリップ・フロップと横列に挿入する正しいバッファを
選択するために、バッファ値が計算される。
【0009】’286特許に開示されているように、横
列にフリップ・フロップを配列するといくつかの欠点が
生じる。第一の欠点は、フリップ・フロップを整合させ
ると、チップ面積の走査パス接続のオーバーヘッドは少
なくなるが、フリップ・フロップと接続ロジックとの間
の接続に対する接続オーバーヘッドを増大させる場合が
あるということである。例えば、フリップ・フロップが
他のフリップ・フロップと整合していると、接続素子と
隣接フリップ・フロップとの間の接続の長さが増大する
場合がある。
【0010】第二の欠点は、一緒に接続しているフリッ
プ・フロップの横列の間にクロック・スキューが起こる
場合があるということである。最初に、クロック信号が
分配される前に横列が形成される。その後で、フリップ
・フロップの横列を一緒に接続して、走査パスを形成す
ることができる。走査パスは、あるシーケンシャル回路
素子から他のシーケンシャル回路素子に直接接続してい
る。走査パスの素子は、クロック・スキューにより保持
時間エラーを起こし易い。何故なら、走査素子間の直接
接続の長さが違うからである。さらに、一本のフリップ
・フロップの横列のバッファに供給されるクロック信号
は、直接接続しているフリップ・フロップの横列のバッ
ファに供給されるクロック信号に対してずれている場合
がある。この場合、例えば、クロック信号は、クロック
分岐の異なるクロック・バッファから供給される。
【0011】米国特許第5,212,651号(’65
1特許)は、走査パスを形成する方法を開示している。
この方法の場合には、走査パスでのフリップ・フロップ
の最初の接続方法に従い、シーケンスを表す最初の走査
パスデータに基づいて、フリップ・フロップを二次元の
平面に配列する。その後、上記フリップ・フロップは、
ロジック回路の幾何学的設計要件に適合するシーケンス
に接続される。
【0012】’651特許に従って走査パスのフリップ
・フロップを再配置しても、単に走査パス相互接続の長
さが短くなるだけである。フリップ・フロップ間のクロ
ック・スキューは、’615特許には記載されていな
い。さらに、新しいシーケンスのフリップ・フロップ
が、同じバッファを通ったクロック信号によってクロッ
ク同期されていない場合には、フリップ・フロップ間の
クロック・スキューが、’651特許に開示されている
再配列によりさらに悪化する場合もある。
【0013】スキューの程度の少ないクロックを分布す
るには、通常バランスのとれたクロック・ツリーが使用
される。しかし、スキューの少ないクロックを分配して
も、走査パスに保持時間エラーが起こる。二つの走査素
子間のクロック・スキューが、伝播の遅延から走査素子
の保持時間を差し引いたものより長い場合には、保持時
間エラーが起こる。この保持時間エラーは、集積回路試
験を行う場合に重大な問題になってきている。何故な
ら、サブミクロン技術の進歩により装置の伝播遅延が短
くなり、RCによりクロック・スキューが長くなってき
ているからである。それ故、集積回路設計の際に走査パ
スを使用することができるかできないかが、重要な問題
となっている。
【0014】「シーケンシャル回路からの試験多重位相
クロックに対する走査パス回路」という名称の中村の米
国特許第5,459,736号、および「スキューを避
けるためにクロック信号のフィ−ドバックを行う走査パ
ス回路」という名称の尾崎の米国特許第5,337,3
21号が、走査パスに対するクロック・スキューについ
て記載している。
【0015】米国特許第5,459,736号(’73
6特許)は、クロック・スキューによる走査試験中の動
作不良を避けるために、少なくとも二つの試験クロック
信号と一つの追加信号を使用する走査パス回路を開示し
ている。’736特許に開示されている装置の明らかな
欠点は、上記追加信号および複数のクロック信号によ
り、走査試験の実行が複雑になることである。二つの試
験クロック信号の追加ロジックおよび配線により、集積
回路上の必要なチップ面積が増大する。また、集積回路
の製造がさらに複雑になる。何故なら、追加ロジックお
よび複数の試験クロック信号の配線により、もっと複雑
なマスクが必要になり、また製造の際に追加ステップが
必要になる場合があるからである。
【0016】米国特許第5,337,321号(’32
1特許)は、反データ方向クロック信号によって制御さ
れる一連の走査パスのフリップ・フロップを開示してい
る。走査試験クロック信号が、走査パスの最後のフリッ
プ・フロップの選択装置に供給される。上記選択装置
は、遅延した走査試験クロック信号を出力し、この出力
は最後のフリップ・フロップおよび走査パスの前にある
フリップ・フロップの他の選択装置に送られる。他の選
択装置は、さらに遅延した走査試験クロック信号を出力
し、この出力は先行フリップ・フロップおよび走査パス
の次のフリップ・フロップのさらに他の選択装置に送ら
れる。走査試験クロック信号のこのような遅延は、走査
パスの残りの各フリップ・フロップに対しても起こる。
【0017】’321特許に開示されている方法のハッ
キリとした欠点は、選択装置による遅延を、各走査パス
のフリップ・フロップの数およびクロック信号の周波数
について決定しなければならないことである。この決定
を行うためには、回路レイアウトの段階で特別なステッ
プが必要になる。さらに、選択装置のロジックは、集積
回路上の必要なチップ面積を増大させ、追加ロジックの
タイミング制御をさらに複雑にする。
【0018】それ故、クロックの分配および走査チェー
ン素子間の距離に関連するクロック・スキューを補償す
る走査チェーンを、レイアウトの段階で再配置する必要
がある。さらに、上記再配置をしても、追加ロジック、
クロック信号等のためにチップ面積の増大をしなくても
すみ、また走査チェーン素子のタイミングをさらに複雑
にしなくてもすむような方法も必要である。上記再配置
を行っても、集積回路の設計および製造の時間およびコ
ストの増大をしなくてもすむようにする方法も必要であ
る。本発明は、このような要求を満足させる。
【0019】
【課題を解決するための手段】本発明は、走査チェーン
の走査保持時間エラーを除去する方法を含む。この方法
は、集積回路全体にクロック信号を分配した結果得られ
た情報を使用する。特に、走査チェーンは、クロック信
号の分配の結果に従って再配置される。クロック信号を
分配すると、シーケンシャル回路素子の個々のグループ
ができ、このグループが走査チェーンを形成する。本発
明のクロック信号の一つの分配方法は、クロック・バラ
ンス方法である。
【0020】シーケンシャル素子が各独立グループに分
割されると、これらのグループ内およびグループ間で接
続が行われる。そうすることにより、各走査チェーンに
対するクロック信号スキューが除去される。また、そう
することにより、各グループ内の接続の長さを短くする
ことができる。その結果、回路での走査チェーンの集積
に対するチップ面積がそれに応じて減少する。
【0021】本発明は、さらに物理的位置に従って、あ
るグループ内のシーケンシャル素子を再配置する方法を
提供する。その後、シーケンシャル素子は、保持時間の
問題を解決し、シーケンシャル素子間のクロック・スキ
ューを補償するために再配置される。
【0022】本発明は、またクロック信号の分配により
決まった各グループに従って、再配置されたシーケンシ
ャル回路素子の走査パスを持つクロック信号を受信する
ために接続している、シーケンシャルな接続回路素子を
含む集積回路を提供する。
【0023】本発明の多くの他の利点および特徴は、本
発明およびその実施形態の下記の詳細な説明、および本
明細書の一部として完全に開示された本発明の詳細な内
容が記載されている特許請求の範囲および添付の図面を
読めば容易に理解できる。
【0024】
【発明の実施の形態】本発明は多くの異なる方法で実行
することができるが、その特定のいくつかの実施形態を
図面に表示し、本明細書に詳細に説明する。しかし、こ
の開示は本発明の原理の単なる例示的なものにすぎず、
本発明はこの特定の実施形態により制限されるものでは
ないことを理解されたい。
【0025】通常の走査チェーン技術の場合には、シー
ケンシャル回路素子は、例えば、走査イネーブル信号が
存在していない場合、集積回路の全体の設計の一部とし
て機能するように設計されている。走査イネーブル信号
が供給されると、シーケンシャル回路素子は接続して、
走査チェーンを形成するが、この場合、シーケンシャル
素子はリンクして拡張シフト・レジスタを形成する。こ
れらシーケンシャル素子は、発生した試験ベクトルを受
信するために直列にクロック制御される。試験ベクトル
は走査イネーブル信号と一緒に供給され、その後、走査
イネーブル信号は除去され、集積回路は少なくとも一つ
のクロック・パルスに対して機能的に動作する。その
後、走査イネーブル信号が再び供給され、試験ベクトル
が拡張シフト・レジスタに供給され、期待パターンとの
比較が行われる。本発明は、このタイプの試験を含む。
【0026】図1について説明すると、この図は設計者
の元の走査チェーン接続12に従って配置された、従来
の走査チェーンのフリップ・フロップのようなシーケン
シャル回路素子10を含む走査チェーン8である。隣接
のシーケンシャル回路素子10の近くに接続部分12が
設置されていることに留意されたい。接続部12は、長
さに対して最適化されていない、その結果、走査チェー
ン接続部12に対するチップ領域オーバーヘッドが増大
する。接続部14は、通常、入力試験ベクトルを受信す
るために接続している入力パッドに接続している。接続
部分16は、通常、走査チェーン8の出力を供給するた
めに接続している出力パッドに接続している。
【0027】(図を見易くするために接続部分12を図
示してない)図2について説明すると、クロック・バッ
ファ22およびクロック接続部24を含むクロック・ツ
リー20は、従来のクロック・バランス技術に従って配
置されている。図2は、当業者にとっては周知のバラン
スのとれたクロック・ツリーである。クロックを分配す
る他の方法の例としては、これも当業者にとっては周知
であり、本発明に含まれるメッシュとHツリーがある。
シーケンシャル回路素子10が同じクロック信号を使用
していることに留意されたい。クロック・バランス・プ
ロセスは、シーケンシャル回路素子10を、関連クロッ
ク・バッファ22を持つグループ30に分類している。
上記各グループ30のシーケンシャル回路素子10は相
互に非常に接近しているので、関連クロック・バッファ
22から供給されたクロック信号のクロック接続部24
上でのスキューは、通常非常に少ない。しかし、保持時
間問題を起こすスキューが存在する場合には、この問題
を解決するために、シーケンシャル回路素子10と接続
している走査チェーンを再配置することができる。より
詳細に説明すると、グループの後続の各シーケンシャル
回路素子10が、先行するシーケンシャル回路素子10
に対する信号より、スキューが少ないクロック信号受信
するように、シーケンシャル回路素子10に接続してい
る走査チェーンを配置することができる。この再配置方
法の場合には、各グループで起こる可能性のあるすべて
の保持時間エラーを除去するために、シーケンシャル回
路素子10を接続する。
【0028】図3について説明すると、この図において
は、クロック・ツリー20のバランスにより決まったグ
ループ30は、シーケンシャル回路素子10を再配置す
るために使用される。この方法で再配置を行うと、グル
ープ分けしたシーケンシャル回路素子10間のクロック
信号のスキューの量が低減する。グループ30のクロッ
ク信号のスキューは、全走査チェーンのクロック信号ス
キューより小さい。さらに、走査チェーン接続部12’
は最適化され、その結果、集積回路に走査パスを導入し
たことによるチップ面積のオーバーヘッドが減少する。
接続部26は、通常、入力試験ベクトルを受信するため
に接続している入力パッドに接続している。接続部28
は、通常、走査チェーン8’の出力を供給するために接
続している出力パッドに接続している。
【0029】グループ30間の接続は、グループ30間
の相対的クロック信号スキューによって決定することが
できる。例えば、グループ30は、走査チェーンの後続
のクロック・グループ30が、先行グループ30へのク
ロック信号のスキューより少ないスキューを持つクロッ
ク信号を受信するように配置しなければならない。それ
故、この再配置方法の場合には、起こる可能性のある保
持時間エラーを除去するために、シーケンシャル回路素
子10の走査チェーンを接続する。
【0030】図4A−図4Cに本発明の他の実施形態を
示す。図4Aに示すように、走査チェーン118は、設
計者の最初の走査チェーン接続112に従って配置され
ているシーケンシャル回路素子110を含む。接続部1
14は、通常、入力試験ベクトルを受信するために接続
している入力パッドに接続している。接続部116は、
通常、走査チェーン118’の出力を供給するために接
続している出力パッドに接続している。
【0031】図4Bは、接続部112’を持つシーケン
シャル回路素子110の物理的配置に従って再配置され
た走査チェーン118’である。この再配置により、走
査チェーン118’が占めるチャネル空間の大きさは最
も小さくなっているが、クロック・スキューは考慮され
ていない。接続部114’は、通常、入力試験ベクトル
を受信するために接続している入力パッドに接続してい
る。接続部116’は、通常、走査チェーン118’の
出力を供給するために接続している出力パッドに接続し
ている。
【0032】図4Bに示すように配置した場合、シーケ
ンシャル回路素子110の間にクロック・スキューが存
在する場合には、保持時間の問題のために集積回路でそ
れを効果的に使用できなくなる。例えば、各シーケンシ
ャル回路素子110を、異なるクロック・バッファ(図
示せず)に接続することができる。こうすることによ
り、この接続自体がシーケンシャル回路素子110間に
クロック・スキューを生じる場合がある。
【0033】上記クロック・スキューを除去するため
に、シーケンシャル回路素子110はその間の相対的ス
キューに従って再配置される。より詳細に説明すると、
シーケンシャル回路素子110は、グループの後続の各
シーケンシャル回路素子110が、先行シーケンシャル
回路素子110のクロック信号のスキューより少ないス
キューを持つクロック信号を受信するように、再配置す
ることができる。この再配置方法の場合には、各グルー
プの起こる可能性のあるすべての保持時間エラーを除去
するために、シーケンシャル回路素子110を接続す
る。
【0034】特に、図4Cについて説明すると、この図
においては、シーケンシャル回路素子110aが走査チ
ェーンをスタートする。何故なら、上記シーケンシャル
回路素子は、シーケンシャル回路素子110dに対して
最も多くのスキューを持つクロック信号(図示せず)を
受信するからである。シーケンシャル回路素子110a
は、接続部112”によりシーケンシャル回路素子11
0bに接続している。シーケンシャル回路素子110b
は、シーケンシャル回路素子110aに供給されるクロ
ック信号より少ないスキューを持ち、シーケンシャル回
路素子110dに供給されるクロック信号より大きなス
キューを持つクロック信号(図示せず)を受信する。
【0035】シーケンシャル回路素子110bは、接続
部112”によりシーケンシャル回路素子110cに接
続している。シーケンシャル回路素子110cは、シー
ケンシャル回路素子110aに供給されるクロック信号
より少ないスキューを持ち、シーケンシャル回路素子1
10dに供給されるクロック信号より大きなスキューを
持つクロック信号(図示せず)を受信する。クロック・
スキューに従ってシーケンシャル素子110を再配置す
れば、各グループの走査チェーンの対応する保持時間問
題を除去することができる。
【0036】接続部114”は、通常、入力試験ベクト
ルを受信するために接続している入力パッドに接続して
いる。接続部116”は、通常、走査チェーン118”
の出力を供給するために接続している出力パッドに接続
している。
【0037】本発明の精神および範囲から逸脱しない
で、上記実施形態に対して多くの変更を修正を行うこと
ができる。例えば、本発明の方法は、クロック・ツリー
・バランス(発生)プロセスと一緒に使用することもで
きるし、クロック・ツリーの作成の結果を使用して、個
々に独立して実行することもできる。その結果により走
査チェーンを形成するグループが決まる。
【0038】本発明が、本明細書に図示した特定の装置
により制限されるものではないことを理解されたい。も
ちろん、本発明は、添付の特許請求の範囲によってのみ
制限されるものであり、すべての上記実施形態は本発明
の範囲に含まれる。
【図面の簡単な説明】
【図1】 本来の走査チェーン接続による、シーケンシ
ャル回路素子を含む走査チェーンのブロック図である。
【図2】 図1のシーケンシャル素子に接続している、
バランスのとれたクロック・ツリーのブロック図であ
る。
【図3】 本発明に従って再配置された、図1のシーケ
ンシャル回路素子を含む走査チェーンのブロック図であ
る。
【図4】 本発明の再配置の他の方法を示すブロック図
である。

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】 クロック信号を分配するステップと、 クロック信号の分配に従って走査チェーンを配置するス
    テップとを含む走査チェーンの走査保持時間エラー除去
    方法。
  2. 【請求項2】 クロック信号を分配するステップが、ク
    ロック信号を供給するためにクロック・ツリーのバラン
    スをとる請求項1に記載の方法。
  3. 【請求項3】 クロック信号を分配するステップが、走
    査チェーンのシーケンシャル回路素子の各グループを供
    給する請求項1に記載の方法。
  4. 【請求項4】 走査チェーンを配置するステップが、シ
    ーケンシャル回路素子の各グループを使用する請求項3
    に記載の方法。
  5. 【請求項5】 少なくとも一つのグループでクロック信
    号のクロック・スキューに従って、少なくとも一つのグ
    ループでシーケンシャル回路素子を再配置するステップ
    をさらに含む請求項3に記載の方法。
  6. 【請求項6】 グループ間のクロック信号のクロック・
    スキューに従って、グループを再配置するステップをさ
    らに含む請求項3に記載の方法。
  7. 【請求項7】 走査チェーンを配置するステップと、 走査チェーンに接続しているクロック・ツリーのバラン
    スをとるステップと、 バランスを取ったクロック・ツリーに従って走査チェー
    ンを再配置するステップとを含む走査チェーンの走査保
    持時間エラー除去方法。
  8. 【請求項8】 走査チェーンのシーケンシャル素子にク
    ロック信号が供給される場合に、クロック信号の分配に
    より決まる各グループに従って、走査チェーンを再配置
    するステップを含む走査チェーンの再配置方法。
  9. 【請求項9】 シーケンシャル回路素子の各グループを
    含む走査チェーンを配列するステップと、 物理的位置に従って、シーケンシャル回路素子を再配列
    するステップと、 少なくとも一つのグループのシーケンシャル回路素子間
    のクロック・スキューに従って、少なくとも一つのグル
    ープのシーケンシャル回路素子を再配列するステップと
    を含む走査チェーンの走査保持時間エラーを除去する方
    法。
  10. 【請求項10】 クロック信号の分配によって決まる各
    グループに従って、再配置されるシーケンシャル回路素
    子を持つ走査パスを含む、クロック信号を受信するため
    のシーケンシャル回路素子および接続回路素子を含む集
    積回路。
  11. 【請求項11】 さらに、少なくとも一つのグループの
    クロック信号のクロック・スキューに従って、少なくと
    も一つのグループで再配置されるシーケンシャル回路素
    子を含む請求項10に記載の集積回路。
  12. 【請求項12】 さらに、グループ間のクロック信号の
    クロック・スキューに従って配置されたグループを含む
    請求項10に記載の集積回路。
JP9130108A 1996-05-22 1997-05-20 走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路 Pending JPH10104320A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/650,248 US6539509B1 (en) 1996-05-22 1996-05-22 Clock skew insensitive scan chain reordering
US08/650,248 1996-05-22

Publications (1)

Publication Number Publication Date
JPH10104320A true JPH10104320A (ja) 1998-04-24

Family

ID=24608110

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9130108A Pending JPH10104320A (ja) 1996-05-22 1997-05-20 走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路

Country Status (5)

Country Link
US (1) US6539509B1 (ja)
EP (1) EP0809199B1 (ja)
JP (1) JPH10104320A (ja)
KR (1) KR970076176A (ja)
DE (1) DE69723771T2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005006004A1 (ja) * 2003-07-09 2005-01-20 Matsushita Electric Industrial Co., Ltd. スキャンテスト設計方法、スキャンテスト回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器
JP2005214981A (ja) * 2004-01-31 2005-08-11 Samsung Electronics Co Ltd スキャンベースatpgテスト回路、テスト方法及びスキャンチェーン再配置方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002071411A1 (en) * 2001-03-06 2002-09-12 Koninklijke Philips Electronics N.V. Clock-skew resistant chain of sequential cells
US7000163B1 (en) * 2002-02-25 2006-02-14 Lsi Logic Corporation Optimized buffering for JTAG boundary scan nets
US7392495B1 (en) * 2002-08-13 2008-06-24 Cypress Semiconductor Corporation Method and system for providing hybrid clock distribution
US7162673B2 (en) * 2003-11-14 2007-01-09 Integrated Device Technology, Inc. Scan chain registers that utilize feedback paths within latch units to support toggling of latch unit outputs during enhanced delay fault testing
US7421610B2 (en) * 2005-07-21 2008-09-02 Freescale Semiconductor, Inc. Clock generation circuit
US7987400B2 (en) * 2008-02-22 2011-07-26 International Business Machines Corporation Method for optimizing scan chains in an integrated circuit that has multiple levels of hierarchy
US8443326B1 (en) 2012-04-10 2013-05-14 Freescale Semiconductor, Inc. Scan chain re-ordering in electronic circuit design based on region congestion in layout plan
JP5856542B2 (ja) * 2012-06-11 2016-02-09 株式会社日立製作所 半導体集積回路装置
US8839061B2 (en) 2013-02-07 2014-09-16 Freescale Semiconductor, Inc. System and method for scan chain re-ordering
GB2540741B (en) * 2015-07-14 2018-05-09 Advanced Risc Mach Ltd Clock signal distribution and signal value storage
US9627012B1 (en) 2016-06-29 2017-04-18 International Business Machines Corporation Shift register with opposite shift data and shift clock directions
TWI712947B (zh) 2019-06-06 2020-12-11 瑞昱半導體股份有限公司 積體電路設計方法與其非暫態電腦可讀取媒體

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4879718A (en) 1987-11-30 1989-11-07 Tandem Computers Incorporated Scan data path coupling
US5239215A (en) * 1988-05-16 1993-08-24 Matsushita Electric Industrial Co., Ltd. Large scale integrated circuit configured to eliminate clock signal skew effects
JPH02236779A (ja) 1989-03-10 1990-09-19 Nec Corp スキャンパス接続方式
US5305451A (en) * 1990-09-05 1994-04-19 International Business Machines Corporation Single phase clock distribution circuit for providing clock signals to multiple chip integrated circuit systems
JP2638281B2 (ja) * 1990-10-08 1997-08-06 日本電気株式会社 スキャンパス回路
US5208764A (en) 1990-10-29 1993-05-04 Sun Microsystems, Inc. Method for optimizing automatic place and route layout for full scan circuits
US5109168A (en) 1991-02-27 1992-04-28 Sun Microsystems, Inc. Method and apparatus for the design and optimization of a balanced tree for clock distribution in computer integrated circuits
JP2695078B2 (ja) 1991-06-10 1997-12-24 株式会社東芝 データ処理装置クロック信号の分配方法
JPH0582611A (ja) 1991-09-02 1993-04-02 Rohm Co Ltd 論理回路のレイアウトパターン検証方法
US5475830A (en) 1992-01-31 1995-12-12 Quickturn Design Systems, Inc. Structure and method for providing a reconfigurable emulation circuit without hold time violations
JP2871291B2 (ja) * 1992-05-20 1999-03-17 日本電気株式会社 論理集積回路
JP3013332B2 (ja) * 1993-08-06 2000-02-28 松下電器産業株式会社 スキャンテスト回路の配置配線方法及びその配置配線装置並びに半導体集積回路装置
US5481209A (en) * 1993-09-20 1996-01-02 Lsi Logic Corporation Clock distribution and control in an integrated circuit
KR950022079A (ko) * 1993-12-01 1995-07-26 이헌조 클럭 스큐에 의한 불안정현상 방지회로
GB9405804D0 (en) * 1994-03-24 1994-05-11 Discovision Ass Scannable latch and method of using the same
US5502731A (en) * 1994-08-18 1996-03-26 International Business Machines Corporation Delay test coverage without additional dummy latches in a scan-based test design
KR0157880B1 (ko) * 1995-03-29 1998-12-15 문정환 클럭 스큐 제거장치
JPH08320893A (ja) * 1995-05-24 1996-12-03 Mitsubishi Electric Corp 論理合成装置、論理合成方法及び半導体集積回路
US5596585A (en) * 1995-06-07 1997-01-21 Advanced Micro Devices, Inc. Performance driven BIST technique
KR970002691A (ko) * 1995-06-07 1997-01-28 고속 시스템에 있어서, 클럭 스큐를 최소화하고 리타임 마진을 극대화 하기 위한 장치
CA2187466A1 (en) * 1995-10-19 1997-04-20 Kwang-Ting Cheng Method for inserting test points for full- and partial-scan built-in self-testing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005006004A1 (ja) * 2003-07-09 2005-01-20 Matsushita Electric Industrial Co., Ltd. スキャンテスト設計方法、スキャンテスト回路、スキャンテスト回路挿入用cadプログラム、大規模集積回路及び携帯デジタル機器
JP2005214981A (ja) * 2004-01-31 2005-08-11 Samsung Electronics Co Ltd スキャンベースatpgテスト回路、テスト方法及びスキャンチェーン再配置方法

Also Published As

Publication number Publication date
EP0809199A3 (en) 2000-07-19
EP0809199B1 (en) 2003-07-30
KR970076176A (ko) 1997-12-12
EP0809199A2 (en) 1997-11-26
DE69723771T2 (de) 2004-04-22
US6539509B1 (en) 2003-03-25
DE69723771D1 (de) 2003-09-04

Similar Documents

Publication Publication Date Title
US5717700A (en) Method for creating a high speed scan-interconnected set of flip-flop elements in an integrated circuit to enable faster scan-based testing
US7039891B2 (en) Method of clock driven cell placement and clock tree synthesis for integrated circuit design
US5719878A (en) Scannable storage cell and method of operation
US7017132B2 (en) Methodology to optimize hierarchical clock skew by clock delay compensation
US7747973B2 (en) Clustering circuit paths in electronic circuit design
US5140184A (en) Clock feeding circuit and clock wiring system
JPH10104320A (ja) 走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路
US20070286323A1 (en) Clock distribution circuit, semiconductor integrated circuit and method of designing clock distribution circuit
US6532574B1 (en) Post-manufacture signal delay adjustment to solve noise-induced delay variations
US6434731B1 (en) Automated placement of signal distribution to diminish skew among same capacitance targets in integrated circuits
WO2003052644A1 (en) Gated clock tree synthesis
US20080129362A1 (en) Semiconductor device and method of designing semiconductor device
US7382170B2 (en) Programmable delay circuit having reduced insertion delay
CN112684327B (zh) 扫描链及其设计方法和基于扫描链的串行扫描复位方法
JP4748896B2 (ja) 同期型データ転送処理装置
US7078928B2 (en) Semiconductor integrated circuit device
JP2002083000A (ja) 論理回路設計方法及び論理回路
US6405356B1 (en) Method of automatic placement for an arrayed-element device
US7117472B2 (en) Placement of a clock signal supply network during design of integrated circuits
JP3198999B2 (ja) スキャンパス回路のクロックツリー形成方法
JPH05233092A (ja) クロック信号分配方法および分配回路
US7155687B2 (en) Methods and apparatus for scan insertion
US7765448B2 (en) Clock signal distributing circuit, information processing device and clock signal distributing method
JP3982927B2 (ja) スキャンチェイン設計システム及びその設計方法
JP5696407B2 (ja) 半導体集積回路の自動配置配線方法、レイアウト装置、自動配置配線プログラム、及び半導体集積回路