JP2005214981A - スキャンベースatpgテスト回路、テスト方法及びスキャンチェーン再配置方法 - Google Patents
スキャンベースatpgテスト回路、テスト方法及びスキャンチェーン再配置方法 Download PDFInfo
- Publication number
- JP2005214981A JP2005214981A JP2005023827A JP2005023827A JP2005214981A JP 2005214981 A JP2005214981 A JP 2005214981A JP 2005023827 A JP2005023827 A JP 2005023827A JP 2005023827 A JP2005023827 A JP 2005023827A JP 2005214981 A JP2005214981 A JP 2005214981A
- Authority
- JP
- Japan
- Prior art keywords
- scan
- test
- output
- scan chain
- mode
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318544—Scanning methods, algorithms and patterns
- G01R31/318547—Data generators or compressors
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318558—Addressing or selecting of subparts of the device under test
- G01R31/318563—Multiple simultaneous testing of subparts
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11C—STATIC STORES
- G11C29/00—Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
- G11C29/04—Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
- G11C29/08—Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
- G11C29/12—Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
- G11C29/38—Response verification devices
- G11C29/40—Response verification devices using compression techniques
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11C—STATIC STORES
- G11C29/00—Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
- G11C29/56—External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor
Landscapes
- Engineering & Computer Science (AREA)
- General Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Tests Of Electronic Circuits (AREA)
- Semiconductor Integrated Circuits (AREA)
Abstract
【解決手段】スキャンベースATPGテスト回路は、複数個のスキャンチェーン401,403,405,407についてのテスト及び診断が可能なように、これらを連結したスキャンチェーングループ400、及びスキャンチェーンに存在する未知の値がテスト結果に影響を与えないように処理するスキャンテストポイントグループ410を含む。この構成により、複数個のスキャンチェーンについてのテスト及び診断が可能にし、スキャンチェーンに存在する未知の値がテスト結果に影響を与えないように処理することができる。又、スキャンチェーン再配置方法により、複数個のスキャンチェーンについてのテスト及び診断が可能にし、スキャンチェーンに存在する未知の値がテスト結果に影響を与えないようにすることができる。
【選択図】図4
Description
図1を参照すると、従来のATPG構造は、4個のスキャンチェーンScan Chain#1ないしScan Chain#4を使用し、4個のスキャン入力ピンScan−in及び4個のスキャン出力ピンScan−outなど8個のスキャンピンを使用する。一つのスキャンチェーンは、それぞれ一つのスキャン入力ピンScan−inと、一つのスキャン出力ピンScan−outとに連結されている。3番目及び4番目のスキャンチェーンScan Chain#3及びScan Chain#4でのXは、スキャンチェーンに含まれた論理値が確定されていない未知の値を意味する。
図2は、従来のイリノイスキャンを示すダイヤグラムである。
図3を参照すると、スキャンベースATPGは、一つのスキャン入力ピンScan−in、4個のスキャンチェーンScan Chain#1ないしScan Chain#4、3個のXOR XOR#1ないしXOR#3、及び一つのスキャン出力ピンScan−outを備える。
第1は、根本的な解決方法であって、X値が発生するソースを遮断するものである。しかし、この方法は、デザイン実行オーバーヘッドが発生してデザインが修正されると、数回に渡る実行と検証とが追加で必要になるという短所がある。
第2は、X値が次のステージに伝えられることを防止するものである。
本発明が解決しようとする第2課題は、複数個のスキャンチェーンについてのテスト及び診断を可能にし、スキャンチェーンに存在する未知の値がテスト結果に影響を与えないように処理するスキャンベースATPGテスト方法を提供することにある。
本発明が解決しようとする第3課題は、複数個のスキャンチェーンについてのテスト及び診断を可能にし、スキャンチェーンに存在する未知の値がテスト結果に影響を与えないように処理するスキャンチェーン再配置方法を提供することにある。
前記第1課題を解決するために、本発明の第2面によるテスト回路は、スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、第1スキャンチェーングループ、第2スキャンチェーングループ、デコーダロジック回路、スキャンテストポイントグループ及びテストデータ圧縮器を備える。
前記第1課題を解決するために、本発明の第3面によるテスト回路は、スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、モード選択信号、スキャンチェーングループ、デコーダロジック回路、スキャンテストポイントグループ、テストデータ圧縮器、及び第1マルチプレクサを備える。
以下、添付された図面を参照して本発明の望ましい実施例を説明することにより、本発明を詳細に説明する。各図面に付された同じ参照符号は同一部材を示す。
図4を参照すれば、スキャンベースATPGテスト回路は、スキャン入力ピンScan−in、スキャンチェーングループ400、スキャンテストポイントグループ410、デコーダロジック回路420、テストデータ圧縮器430、及びスキャン出力ピンScan−outを備える。
スキャンチェーングループ400は、4個のスキャンチェーンScan Chain#1ないしScan Chain#4、401ないし407を備える。それぞれのスキャンチェーン401ないし407は、一定の規則によって連結したテスト試料のチェーンである。
スキャンテストポイントグループ410は、スキャンチェーンの個数だけのスキャンテストポイント回路411ないし417を有し、対応するスキャンチェーンの出力信号をデコーダロジック回路420の出力信号によって出力する。
1.X値が存在するスキャンチェーンの番号
2.スキャンチェーンでのX値が存在する位置
テストデータ圧縮器430は、3個のXOR回路431ないし435を備え、スキャンテストポイントグループ410の出力を一つの出力信号として圧縮する。
例えば、3番目のスキャンチェーンScan Chain#3の3番目サイクルにX値が存在する場合、それらについての情報を有するスキャンテストポイントモード信号STP_Mode 0ないしSTP_Mode Nを生成してデコーダロジック回路420に伝達する。デコーダロジック回路420は、スキャンテストポイントモード信号STP_Mode 0ないしSTP_Mode Nに応答して、3番目スキャンチェーン405の出力と連結されるスキャンテストポイント回路415を制御して、3番目スキャンチェーン405のX値が最終スキャン出力Scan−outの動作に影響を及ぼさないようにする。
図5Aを参照すれば、スキャンテストポイント回路(411ないし417のうち一つ)は、スキャンフリップフロップ520及びマルチプレクサ510を利用して実現できる。スキャンチェーンの出力が正常な場合には、デコーダロジック回路420の出力信号によって、スキャンチェーンの出力をスキャンフリップフロップ520に保存しつつ出力し、現在のスキャンチェーンの出力にX値が含まれた場合には、以前クロック(図示せず)で受信されてスキャンフリップフロップ520に保存されたデータを出力する。そのために、スキャンチェーンの出力及びスキャンフリップフロップ520の出力を受信して、デコーダロジック回路の出力信号によって伝達するマルチプレクサ510を利用する。
図6を参照すれば、スキャンベースATPGテスト回路は、スキャン入力ピンScan−in、モード選択信号STD_Mode、スキャンチェーングループ600、デコーダロジック回路620、テストデータ圧縮器630、及び第1マルチプレクサ647を備える。
モード選択信号STD_Modeは、スキャンテストモードまたはスキャン診断モードを指示する。
スキャンチェーングループ600は、テストする試料を複数個直列連結したスキャンチェーン(Scan Chain#1ないしScan Chain#4)611ないし617を複数個備え、スキャン入力ピンScan−inからテストデータを受信してモード選択信号STD_Modeに応答する。
スキャンチェーングループ600は、第1スキャンチェーン601ないし第4スキャンチェーン607、第2マルチプレクサ641ないし第4マルチプレクサ645を備える。
第1スキャンチェーン601は、スキャン入力ピンScan−inからテストデータを受信する。
第3マルチプレクサ643は、スキャン入力ピンScan−in及び第2スキャンチェーン603の出力を、モード選択信号STD_Modeに応答して選択的に出力する。第3スキャンチェーン605は、第3マルチプレクサ643の出力を受信する。
第4マルチプレクサ645は、スキャン入力ピンScan−in及び第3スキャンチェーン605の出力をモード選択信号STD_Modeに応答して選択的に出力する。第4スキャンチェーン607は、第4マルチプレクサ645の出力を受信する。
それぞれのスキャンテストポイント回路611ないし617は、デコーダロジック回路620の出力信号に対応して受信したスキャンチェーンの出力をバイパスさせるか、または一クロック以前に受信したスキャンチェーンの出力信号を保存して出力させる。スキャンテストポイント回路は、図5A及び図5Bに示す回路を使用することが望ましい。
デコーダロジック回路620は、複数個のスキャンテストポイントモード信号STP_Mode 0ないしSTP_Mode Nをデコーディングする。
第1マルチプレクサ647は、テストデータ圧縮器630の出力、及びスキャンチェーン607の出力信号をモード選択信号STD_Modeによって選択的に出力する。
図7を参照すると、テスト回路は、スキャン入力ピンScan_in、モード選択信号STD_Mode、第1スキャンチェーングループ700−1、第2スキャンチェーングループ700−2、デコーダロジック回路620、テストデータ圧縮器630、及び第1マルチプレクサ647を備える。
モード選択信号STD_Modeは、スキャンテストモードまたはスキャン診断モードを指示する。
第1スキャンチェーングループ700−1は、スキャン入力ピンScan−inからテストデータを受信し、モード選択信号STD_Modeに応答し、論理状態が確定的な試料が含まれた複数個のスキャンチェーン701及び703を含む。
第1マルチプレクサ747は、テストデータ圧縮器730の出力及びスキャンチェーン707の出力信号をモード選択信号STD_Modeによって選択的に出力する。
図8を参照すると、3番目スキャンチェーン(Scan Chain#3)813の4番目サイクルで未知の値Xを有し、同時に4番目スキャンチェーン(Scan Chain#4)814の2番目サイクルで未知の値Xを有する。
上述したように、より高いレベルのテストデータ圧縮比率を得るためには、次の条件を満足しなければならない。
上述した第1の条件は、上述した4個の実施例でいずれも満足するが、第2の条件を満足するために、下の再配置方法を提案する。
図9を参照すると、X値が存在するフリップフロップが複数個のスキャンチェーンに存在しても、それが一つのスキャンチェーンに存在するかのように使用するレコーディング方法を示している。
図10を参照すると、同じスキャンサイクルにX値が存在するスキャンチェーンが同時に2個存在する時、これを解決する再配置方法を示している。
図11を参照すると、このテスト方法は、ATPG実行段階1110、スキャンモード信号を受信して判断する段階1120、スキャンテスト段階1130、及びスキャン診断段階1140を備える。
ATPG実行段階1110では、ATPGを行ってテストパターンを発生させる。
スキャンモードを判断する段階1120は、スキャンモード信号を受信してスキャンテストモードSTM、またはスキャン診断モードSTDのうちどちらを指示するかを判断する。
スキャン診断段階1140は、複数個のスキャンチェーンを一つのスキャンチェーンに互いに結合する段階1141、及び一つのスキャンチェーンの電気的及び機能的特性をテストしてチェーンスキャンの何の部分にエラーが発生したかを判断するテスト段階を備える。
スキャンテストモードは、スキャンチェーンの出力値がテストデータ圧縮器を介してデータの圧縮が行われるようにすることにより、スキャンベーステストを行うようにし、エラーの発生の可否を検出する。スキャン診断モードは、あらゆるスキャンチェーンを一つのチェーンに直列に連結し、スキャンチェーンの最終出力を利用してエラーが発生したスキャンチェーンの番号及びスキャンサイクルを検出する。
上述した説明では、スキャンチェーンを4個と仮定したが、実際に本発明によるテストデータ圧縮器を使用するスキャンベースATPGは、4個以上の複数個のスキャンチェーンを含むように発明を確定することが可能である。
401 Scan Chain#1
403 Scan Chain#2
405 Scan Chain#3
407 Scan Chain#4
410 スキャンテストポイントグループ
411,413,415,417 スキャンテストポイント回路
420 デコーダロジック回路
430 テストデータ圧縮器
431 XOR#1
433 XOR#2
435 XOR#3
Claims (25)
- スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、
テストする試料を複数個直列連結したスキャンチェーンを複数個備え、所定のスキャン入力ピンからテストデータを受信するスキャンチェーングループと、
複数個のスキャンテストポイントモード信号をデコーディングするデコーダロジック回路と、
前記デコーダロジック回路の出力に応答して、受信した前記スキャンチェーングループの出力値を調節するスキャンテストポイントグループと、
前記スキャンテストポイントグループの複数個の出力信号を一つの出力信号として圧縮するテストデータ圧縮器と、を備え、
前記複数個のスキャンテストポイントモード信号は、
論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号であることを特徴とするテスト回路。 - 前記スキャンテストポイントグループは、
前記スキャンチェーンと同数のスキャンテストポイント回路を備え、
前記それぞれのスキャンテストポイント回路は、
対応するスキャンチェーンの出力及び前記デコーダロジック回路の出力信号を受信し、前記デコーダロジック回路の出力信号に応答して受信したスキャンチェーンの出力をバイパスさせるか、または一クロック以前に受信したスキャンチェーンの出力信号を保存して出力させることを特徴とする請求項1に記載のテスト回路。 - 前記それぞれのスキャンテストポイント回路は、
前記スキャンチェーンの出力及び所定のフリップフロップの出力信号を前記デコーダロジック回路の出力信号に応答して伝達するマルチプレクサと、
前記マルチプレクサの出力信号を受信し、それを保存して出力する前記フリップフロップと、を備えることを特徴とする請求項2に記載のテスト回路。 - 前記それぞれのスキャンテストポイント回路は、
前記デコーダロジック回路の出力信号に応答して、前記スキャンチェーンの出力を伝達するか、または一クロック以前に受信してラッチしていたデータを出力するラッチ回路であることを特徴とする請求項2に記載のテスト回路。 - 前記テスト回路は、
ATPGデータを受信して、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である、複数個のスキャンテストモード信号を発生させるスキャンテストモード信号発生装置をさらに備えることを特徴とする請求項1に記載のテスト回路。 - スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、
スキャン入力ピンからテストデータを受信し、論理状態が確定的な試料が含まれた複数個のスキャンチェーンを含む第1スキャンチェーングループと、
所定のスキャン入力ピンからテストデータを受信し、論理状態が確定されていない未知の値を有する試料が含まれた複数個のスキャンチェーンを含む第2スキャンチェーングループと、
複数個のスキャンテストポイントモード信号をデコーディングするデコーダロジック回路と、
前記デコーダロジック回路の出力に応答して、受信した前記第2スキャンチェーングループの出力値を調節するスキャンテストポイントグループと、
前記第1スキャンチェーングループの出力信号、及び前記スキャンテストポイントグループの複数個の出力信号を受信して一つの出力信号として圧縮するテストデータ圧縮器と、を備え、
前記複数個のスキャンテストポイントモード信号は、
論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号であることを特徴とするテスト回路。 - 前記スキャンテストポイントグループは、
前記第2スキャンチェーングループを構成するスキャンチェーンと同数のスキャンテストポイント回路を備え、
前記それぞれのスキャンテストポイント回路は、
対応するスキャンチェーンの出力及び前記デコーダロジック回路の出力信号を受信し、前記デコーダロジック回路の出力信号に応答して受信したスキャンチェーンの出力をバイパスさせるか、または一クロック以前に受信したスキャンチェーンの出力信号を保存して出力させることを特徴とする請求項6に記載のテスト回路。 - 前記スキャンテストポイント回路は、
前記スキャンチェーンの出力及びフリップフロップの出力信号を前記デコーダロジック回路の出力信号に応答して伝達するマルチプレクサと、
前記マルチプレクサの出力信号を受信し、保存して出力するフリップフロップと、を備えることを特徴とする請求項7に記載のテスト回路。 - 前記スキャンテストポイント回路は、
前記デコーダロジック回路の出力信号に応答して前記スキャンチェーンの出力を伝達するか、または一クロック以前に受信してラッチしていたデータを出力するラッチ回路であることを特徴とする請求項7に記載のテスト回路。 - 前記テスト回路は、
ATPGデータを受信し、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である、複数個のスキャンテストモード信号を発生させるスキャンテストモード信号発生装置をさらに備えることを特徴とする請求項6に記載のテスト回路。 - スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、
スキャンテストモードまたはスキャン診断モードを指示するモード選択信号と、
テストする試料を複数個直列連結したスキャンチェーンを複数個備え、所定のスキャン入力ピンからテストデータを受信して前記モード選択信号に応答するスキャンチェーングループと、
複数個のスキャンテストポイントモード信号をデコーディングするデコーダロジック回路と、
前記デコーダロジック回路の出力に応答して受信した前記スキャンチェーングループの出力値を調節するスキャンテストポイントグループと、
前記スキャンテストポイントグループの複数個の出力信号を一つの出力信号として圧縮するテストデータ圧縮器と、
前記テストデータ圧縮器の出力、及び前記スキャンチェーングループの出力信号のうち一つの信号を前記モード選択信号によって選択的に出力する第1マルチプレクサと、備え、
前記スキャンチェーングループは、
前記モード選択信号がテストモードである場合には、複数個のスキャンチェーンの出力を外部にそれぞれ出力させるが、前記モード選択信号が診断モードである場合には、複数個のスキャンチェーンの出力を互いに直列連結させて、最後に連結されたスキャンチェーンの出力を外部に出力させ、
前記複数個のスキャンテストポイントモード信号は、
論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号であることを特徴とするテスト回路。 - 前記スキャンチェーングループは、
前記テストデータを受信する第1スキャンチェーンと、
前記テストデータ及び前記第1スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第2マルチプレクサと、
前記第2マルチプレクサの出力を受信する第2スキャンチェーンと、
前記テストデータ及び前記第2スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第3マルチプレクサと、
前記第3マルチプレクサの出力を受信する第3スキャンチェーンと、
前記テストデータ及び前記第3スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第4マルチプレクサと、
前記第4マルチプレクサの出力を受信する第4スキャンチェーンと、を備えることを特徴とする請求項11に記載のテスト回路。 - 前記スキャンテストポイントグループは、
前記スキャンチェーンと同数のスキャンテストポイント回路を備え、
前記それぞれのスキャンテストポイント回路は、
前記デコーダロジック回路の出力信号に対応して受信したスキャンチェーンの出力をバイパスさせるか、または一クロック以前に受信したスキャンチェーンの出力信号をそのまま出力させることを特徴とする請求項11に記載のテスト回路。 - 前記スキャンテストポイント回路は、
前記スキャンチェーンの出力、及び所定のフリップフロップの出力信号を前記デコーダロジック回路の出力信号に応答して伝達する第5マルチプレクサと、
前記第5マルチプレクサの出力信号を受信し、保存して出力する前記フリップフロップと、を備えることを特徴とする請求項13に記載のテスト回路。 - 前記スキャンテストポイント回路は、
前記デコーダロジック回路の出力信号に応答して前記スキャンチェーンの出力を伝達するか、または一クロック以前に受信してラッチしていたデータを出力するラッチ回路であることを特徴とする請求項13に記載のテスト回路。 - 前記テスト回路は、
ATPGデータを受信し、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である複数個のスキャンテストモード信号を発生させるスキャンテストモード信号発生装置をさらに備えることを特徴とする請求項11に記載のテスト回路。 - スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、
スキャンテストモードまたはスキャン診断モードを指示するモード選択信号と、
所定のスキャン入力ピンからテストデータを受信し、前記モード選択信号に応答し、論理状態が確定的な試料が含まれた複数個のスキャンチェーンを含む第1スキャンチェーングループと、
前記スキャン入力ピンからテストデータを受信し、前記モード選択信号に応答し、論理状態が確定されていない未知の値を有する試料が含まれた複数個のスキャンチェーンを含む第2スキャンチェーングループと、
複数個のスキャンテストポイントモード信号をデコーディングするデコーダロジック回路と、
前記デコーダロジック回路の出力に応答して受信した前記第2スキャンチェーングループの出力値を調節して出力するスキャンテストポイントグループと、
前記第1スキャンチェーングループの出力信号、及び前記スキャンテストポイントグループの複数個の出力信号を受信して一つの出力信号として圧縮するテストデータ圧縮器と、
前記テストデータ圧縮器の出力、及び前記スキャンチェーングループの出力信号のうち一つの信号を前記モード選択信号によって選択的に出力する第1マルチプレクサと、を備え、
前記スキャンチェーングループは、
前記モード選択信号がテストモードである場合には、複数個のスキャンチェーンの出力を外部にそれぞれ出力させるが、前記モード選択信号が診断モードである場合には、複数個のスキャンチェーンの出力を互いに直列連結させて最後に連結されたスキャンチェーンの出力を外部に出力させ、
前記複数個のスキャンテストポイントモード信号は、
論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号であることを特徴とするテスト回路。 - 前記第1スキャンチェーングループは、
前記テストデータを受信する第1スキャンチェーンと、
前記テストデータ及び前記第1スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第2マルチプレクサと、
前記第2マルチプレクサの出力を受信する第2スキャンチェーンと、を備え、
前記第2スキャンチェーングループは、
前記テストデータ及び前記第2スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第3マルチプレクサと、
前記第3マルチプレクサの出力を受信する第3スキャンチェーンと、
前記テストデータ及び前記第3スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第4マルチプレクサと、
前記第4マルチプレクサの出力を受信する第4スキャンチェーンと、を備えることを特徴とする請求項17に記載のテスト回路。 - 前記スキャンテストポイントグループは、
前記第2スキャンチェーングループのスキャンチェーンと同数のテストポイント回路を備え、
前記それぞれのテストポイント回路は、
前記対応するスキャンチェーンの出力及び前記デコーダロジック回路の出力信号を受信し、前記デコーダロジック回路の出力信号に応答して、受信したスキャンチェーンの出力をバイパスさせるか、または一クロック以前に受信したスキャンチェーンの出力信号をそのまま出力させることを特徴とする請求項17に記載のテスト回路。 - 前記スキャンテストポイント回路は、
前記スキャンチェーンの出力、及びフリップフロップの出力信号を前記デコーダロジック回路の出力信号に応答して伝達する第5マルチプレクサと、
前記第5マルチプレクサの出力信号を受信し、保存して出力するフリップフロップと、を備えることを特徴とする請求項19に記載のテスト回路。 - 前記スキャンテストポイント回路は、
前記デコーダロジック回路の出力信号に応答して前記スキャンチェーンの出力を伝達するか、または一クロック以前に受信してラッチしていたデータを出力するラッチ回路であることを特徴とする請求項19に記載のテスト回路。 - スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するテスト方法において、
ATPGを利用してテストパターンを発生させる段階と、
スキャンテストモードまたはスキャン診断モードを指示するスキャンモード信号を受信して判断する段階と、
前記受信されたスキャンモード信号がスキャンテストモード信号である場合、それぞれのスキャンチェーンの電気的及び機能的特性をテストするスキャンテスト段階と、 前記受信されたスキャンモード信号がスキャン診断モード信号である場合、スキャンチェーンを構成するテスト試料のうちエラーが発生したところを診断するスキャン診断段階と、を備えることを特徴とするテスト方法。 - 前記スキャンテスト段階は、
前記発生したテストパターンを利用して、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映されたスキャンテストポイントモード信号を発生させる段階と、
スキャンテストポイントモード信号をデコーディングしてスキャンテストポイント制御信号を生成させる段階と、
前記スキャンテストポイント制御信号によって、スキャンチェーンの出力信号をバイパスさせるか、または既に保存された一クロック以前に受信されて保存されたスキャンチェーンの出力値を出力させる段階と、を備え、
前記スキャン診断段階は、
前記受信されたスキャンモード信号がスキャン診断モード信号である場合、スキャンチェーンを一つのスキャンチェーンとして互いに結合する段階と、
前記一つのスキャンチェーンの電気的及び機能的特性をテストして、スキャンチェーンのどの部分にエラーが発生したかを判断するテスト段階と、を備えることを特徴とする請求項22に記載のテスト方法。 - スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するテスト回路を使用するスキャンチェーン再配置方法において、
論理状態が確定されていない未知の値を有するスキャンチェーンを配置して、第1スキャンチェーングループに定義する段階と、
論理状態がいずれも確定された値を有するスキャンチェーンを配置して、第2スキャンチェーングループに定義する段階と、を備えることを特徴とするスキャンチェーン再配置方法。 - 前記スキャンチェーン再配置方法は、
前記第1スキャンチェーングループにおいて、
同じサイクルに未知の値を有するスキャンチェーンが2個存在する場合、
前記第1スキャンチェーングループに属するスキャンチェーンのうち何れか一つのスキャンチェーンを構成するテスト試料のチェーンの順序を変更し、前記2個のスキャンチェーンの出力が相異なるサイクルに未知の値が存在するように配置することを特徴とする請求項24に記載のスキャンチェーン再配置方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR2004-006463 | 2004-01-31 | ||
KR1020040006463A KR20050078704A (ko) | 2004-01-31 | 2004-01-31 | 스캔 베이스 atpg 테스트회로, 테스트방법 및 스캔체인 재배열방법 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2005214981A true JP2005214981A (ja) | 2005-08-11 |
JP5252769B2 JP5252769B2 (ja) | 2013-07-31 |
Family
ID=34806064
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2005023827A Active JP5252769B2 (ja) | 2004-01-31 | 2005-01-31 | スキャンベースatpgテスト回路、テスト方法及びスキャンチェーン再配置方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US7343536B2 (ja) |
JP (1) | JP5252769B2 (ja) |
KR (1) | KR20050078704A (ja) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008089545A (ja) * | 2006-10-05 | 2008-04-17 | Matsushita Electric Ind Co Ltd | 解析装置 |
CN103792486A (zh) * | 2014-02-27 | 2014-05-14 | 北京航空航天大学 | 基于fmea中故障影响数据的电路板测试设计与相关性矩阵建立方法 |
CN113533936A (zh) * | 2021-07-13 | 2021-10-22 | 上海矽昌微电子有限公司 | 一种芯片扫描链测试方法和系统 |
KR20220053870A (ko) * | 2020-10-23 | 2022-05-02 | 연세대학교 산학협력단 | 스캔 셀 재배치 방법 및 스캔 셀 재배치 장치 |
Families Citing this family (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007003423A (ja) * | 2005-06-24 | 2007-01-11 | Toshiba Corp | 半導体集積回路およびその制御方法 |
FR2888014B1 (fr) * | 2005-07-01 | 2007-09-21 | Atmel Corp | Procede et dispositif pour determiner l'emplacement de defauts de collage dans des chaines de cellules utilisant des chaines de test |
JP4802139B2 (ja) * | 2007-05-15 | 2011-10-26 | 株式会社東芝 | 半導体集積回路モジュール |
US7644329B2 (en) * | 2007-09-11 | 2010-01-05 | Ali Corporation | Integrated circuit testing method and related circuit thereof |
KR101226963B1 (ko) * | 2009-07-30 | 2013-01-28 | 에스케이하이닉스 주식회사 | Rfid 장치, 이를 포함하는 테스트 시스템 및 그 테스트 방법 |
US20110066906A1 (en) * | 2009-09-14 | 2011-03-17 | LSI Corporate | Pulse Triggered Latches with Scan Functionality |
KR101709071B1 (ko) * | 2010-05-19 | 2017-02-22 | 삼성전자주식회사 | 컴프레션 모드 스캔 테스트를 위한 집적 회로 |
US8407541B1 (en) | 2010-06-18 | 2013-03-26 | Altera Corporation | Dynamic test signal routing controller |
US20130117618A1 (en) * | 2011-11-03 | 2013-05-09 | Freescale Semiconductor, Inc | Scan testing of integrated circuit with clock gating cells |
US20130173978A1 (en) * | 2012-01-01 | 2013-07-04 | Hiroyuki Sasaya | Multiple input and/or output data for boundary scan nodes |
CN103376405B (zh) | 2012-04-27 | 2015-09-09 | 国际商业机器公司 | 用于扫描链诊断的方法和装置 |
CN103487747B (zh) * | 2013-09-30 | 2016-08-17 | 桂林电子科技大学 | 符合边界扫描标准的扫描子链型测试结构及测试方法 |
CN105137320B (zh) * | 2015-08-12 | 2017-12-12 | 安庆师范学院 | 基于测试模式重排序的分组测试向量之间的兼容性压缩方法 |
CN105137322B (zh) * | 2015-08-12 | 2017-12-12 | 安庆师范学院 | 一种基于最大近似相容的分组测试向量的编码压缩方法 |
CN107689247B (zh) * | 2016-12-23 | 2020-10-13 | 北京国睿中数科技股份有限公司 | 机台测试中扫描路径上的保持时间违例处理方法及系统 |
US11740284B1 (en) | 2021-07-02 | 2023-08-29 | Cadence Design Systems, Inc. | Diagnosing multicycle faults and/or defects with single cycle ATPG test patterns |
US11893336B1 (en) | 2021-10-12 | 2024-02-06 | Cadence Design Systems, Inc. | Utilizing transition ATPG test patterns to detect multicycle faults and/or defects in an IC chip |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH03252569A (ja) * | 1990-02-26 | 1991-11-11 | Advanced Micro Devicds Inc | スキャンパス用レジスタ回路 |
JPH10104320A (ja) * | 1996-05-22 | 1998-04-24 | Symbios Logic Inc | 走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路 |
JPH11352188A (ja) * | 1998-06-11 | 1999-12-24 | Mitsubishi Electric Corp | 半導体装置 |
JP2000258500A (ja) * | 1999-03-09 | 2000-09-22 | Hitachi Ltd | 半導体集積回路及び記憶媒体 |
JP2002243805A (ja) * | 2000-11-14 | 2002-08-28 | Agilent Technol Inc | デジタル回路試験装置 |
JP2002267719A (ja) * | 2001-03-07 | 2002-09-18 | Toshiba Corp | 半導体集積回路及びそのテスト方法 |
JP2004012420A (ja) * | 2002-06-11 | 2004-01-15 | Fujitsu Ltd | 集積回路の診断装置および診断方法並びに集積回路 |
Family Cites Families (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2001033238A1 (en) | 1999-10-29 | 2001-05-10 | Philips Semiconductors, Inc. | A scan test point observation system and method |
US6557129B1 (en) | 1999-11-23 | 2003-04-29 | Janusz Rajski | Method and apparatus for selectively compacting test responses |
US6877119B2 (en) | 2001-09-14 | 2005-04-05 | Stmicroelectronics Limited | Circuit scan output arrangement |
JP3594582B2 (ja) | 2001-12-25 | 2004-12-02 | Necマイクロシステム株式会社 | 半導体装置 |
US6986090B2 (en) * | 2002-02-20 | 2006-01-10 | International Business Machines Corporation | Method for reducing switching activity during a scan operation with limited impact on the test coverage of an integrated circuit |
US7225376B2 (en) * | 2002-07-30 | 2007-05-29 | International Business Machines Corporation | Method and system for coding test pattern for scan design |
US7032148B2 (en) * | 2003-07-07 | 2006-04-18 | Syntest Technologies, Inc. | Mask network design for scan-based integrated circuits |
US7222277B2 (en) * | 2004-11-10 | 2007-05-22 | Nec Laboratories America, Inc. | Test output compaction using response shaper |
-
2004
- 2004-01-31 KR KR1020040006463A patent/KR20050078704A/ko not_active Application Discontinuation
-
2005
- 2005-01-31 US US11/045,207 patent/US7343536B2/en active Active
- 2005-01-31 JP JP2005023827A patent/JP5252769B2/ja active Active
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH03252569A (ja) * | 1990-02-26 | 1991-11-11 | Advanced Micro Devicds Inc | スキャンパス用レジスタ回路 |
JPH10104320A (ja) * | 1996-05-22 | 1998-04-24 | Symbios Logic Inc | 走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路 |
JPH11352188A (ja) * | 1998-06-11 | 1999-12-24 | Mitsubishi Electric Corp | 半導体装置 |
JP2000258500A (ja) * | 1999-03-09 | 2000-09-22 | Hitachi Ltd | 半導体集積回路及び記憶媒体 |
JP2002243805A (ja) * | 2000-11-14 | 2002-08-28 | Agilent Technol Inc | デジタル回路試験装置 |
JP2002267719A (ja) * | 2001-03-07 | 2002-09-18 | Toshiba Corp | 半導体集積回路及びそのテスト方法 |
JP2004012420A (ja) * | 2002-06-11 | 2004-01-15 | Fujitsu Ltd | 集積回路の診断装置および診断方法並びに集積回路 |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008089545A (ja) * | 2006-10-05 | 2008-04-17 | Matsushita Electric Ind Co Ltd | 解析装置 |
CN103792486A (zh) * | 2014-02-27 | 2014-05-14 | 北京航空航天大学 | 基于fmea中故障影响数据的电路板测试设计与相关性矩阵建立方法 |
KR20220053870A (ko) * | 2020-10-23 | 2022-05-02 | 연세대학교 산학협력단 | 스캔 셀 재배치 방법 및 스캔 셀 재배치 장치 |
KR102412816B1 (ko) | 2020-10-23 | 2022-06-23 | 연세대학교 산학협력단 | 스캔 셀 재배치 방법 및 스캔 셀 재배치 장치 |
CN113533936A (zh) * | 2021-07-13 | 2021-10-22 | 上海矽昌微电子有限公司 | 一种芯片扫描链测试方法和系统 |
Also Published As
Publication number | Publication date |
---|---|
KR20050078704A (ko) | 2005-08-08 |
US20050172192A1 (en) | 2005-08-04 |
JP5252769B2 (ja) | 2013-07-31 |
US7343536B2 (en) | 2008-03-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5252769B2 (ja) | スキャンベースatpgテスト回路、テスト方法及びスキャンチェーン再配置方法 | |
CN101911491B (zh) | 用于分析扫描链和确定扫描链中的保持时间故障的数目或位置的方法 | |
CN105631077B (zh) | 具有增大的故障覆盖率的集成电路 | |
US20070101216A1 (en) | Method to locate logic errors and defects in digital circuits | |
US7308626B2 (en) | Method and structure for picosecond-imaging-circuit-analysis based built-in-self-test diagnostic | |
JP3749541B2 (ja) | 集積回路試験装置及び試験法 | |
US6961885B2 (en) | System and method for testing video devices using a test fixture | |
US7146584B2 (en) | Scan diagnosis system and method | |
JP2010107516A (ja) | スキャンベースの集積回路でスキャンパターンをブロードキャストする方法および装置 | |
US6721923B2 (en) | System and method for generating integrated circuit boundary register description data | |
KR100790428B1 (ko) | 비동기 리셋회로 시험 | |
US20050235184A1 (en) | Semiconductor integrated circuit device and test method thereof | |
US20100115337A1 (en) | Verification of array built-in self-test (abist) design-for-test/design-for-diagnostics (dft/dfd) | |
US20050172188A1 (en) | Diagnostic method for detection of multiple defects in a Level Sensitive Scan Design (LSSD) | |
US6058255A (en) | JTAG instruction decode test register and method | |
US7240263B2 (en) | Apparatus for performing stuck fault testings within an integrated circuit | |
CN114667455A (zh) | 用于测试电路的通用压缩器架构 | |
US7607057B2 (en) | Test wrapper including integrated scan chain for testing embedded hard macro in an integrated circuit chip | |
US7461307B2 (en) | System and method for improving transition delay fault coverage in delay fault tests through use of an enhanced scan flip-flop | |
US8775882B2 (en) | Testing circuits | |
US20080244345A1 (en) | Failure diagnostic apparatus, failure diagnostic system, and failure diagnostic method | |
US6920597B2 (en) | Uniform testing of tristate nets in logic BIST | |
US7188288B2 (en) | Semiconductor LSI circuit with scan circuit, scan circuit system, scanning test system and method | |
Sonawane et al. | Hybrid Performance Modeling for Optimization of In-System-Structural-Test (ISST) Latency | |
JP2005017067A (ja) | 自己テスト回路内蔵の半導体集積回路およびその故障診断方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20071213 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20100902 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100907 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20101207 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20110823 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20130416 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 Ref document number: 5252769 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20160426 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |