JP2005214981A - スキャンベースatpgテスト回路、テスト方法及びスキャンチェーン再配置方法 - Google Patents

スキャンベースatpgテスト回路、テスト方法及びスキャンチェーン再配置方法 Download PDF

Info

Publication number
JP2005214981A
JP2005214981A JP2005023827A JP2005023827A JP2005214981A JP 2005214981 A JP2005214981 A JP 2005214981A JP 2005023827 A JP2005023827 A JP 2005023827A JP 2005023827 A JP2005023827 A JP 2005023827A JP 2005214981 A JP2005214981 A JP 2005214981A
Authority
JP
Japan
Prior art keywords
scan
test
output
scan chain
mode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005023827A
Other languages
English (en)
Other versions
JP5252769B2 (ja
Inventor
Dong-Kwan Han
東 觀 韓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2005214981A publication Critical patent/JP2005214981A/ja
Application granted granted Critical
Publication of JP5252769B2 publication Critical patent/JP5252769B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318558Addressing or selecting of subparts of the device under test
    • G01R31/318563Multiple simultaneous testing of subparts
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/38Response verification devices
    • G11C29/40Response verification devices using compression techniques
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/56External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】スキャンベースATPGテスト回路、テスト方法及びスキャンチェーン再配置方法を提供する。
【解決手段】スキャンベースATPGテスト回路は、複数個のスキャンチェーン401,403,405,407についてのテスト及び診断が可能なように、これらを連結したスキャンチェーングループ400、及びスキャンチェーンに存在する未知の値がテスト結果に影響を与えないように処理するスキャンテストポイントグループ410を含む。この構成により、複数個のスキャンチェーンについてのテスト及び診断が可能にし、スキャンチェーンに存在する未知の値がテスト結果に影響を与えないように処理することができる。又、スキャンチェーン再配置方法により、複数個のスキャンチェーンについてのテスト及び診断が可能にし、スキャンチェーンに存在する未知の値がテスト結果に影響を与えないようにすることができる。
【選択図】図4

Description

本発明は、半導体チップのテストに係り、特にSOC(System On Chip)の電気的及び機能的特性を検証するために実施するスキャンテスト方法に使われるテスト回路に関する。
スキャンに基づいた自動テストパターン発生(Automatic Test Pattern Generation;ATPG)方法を利用したテストは、実現しようとする本来の回路に、前記の方法によって生成されたテスト回路を予め挿入し、それをスキャンテストすることにより行われる。テストは、製品の開発段階では設計の欠点を検証するようにし、販売段階では電気的及び機能的特性が仕様を満足するもののみを選択して出荷できるようにする。前記テスト方法は、EDA(Electronic Design Automation)ツールを利用して自動化できるので、ほぼあらゆるSOC設計で採用されている。
スキャンベーステストに要求されるコストを決定する主な要素は、スキャンチェーンの個数、スキャンピンの個数、スキャンの長さ及びスキャンテストデータの量である。スキャンチェーンの構成要素は定められているので、スキャンチェーンの個数が増えるということは、スキャンの長さが減少することを意味する。これらの要素を考慮する時、テストコストを減らすための最善の方法は、最小限の数のスキャンピンを利用しつつも、最大限のスキャンチェーンを使用できるようにすることにより、スキャンの長さを減らすことである。これにより、結局テストデータの量及びテストにかかる時間を短縮することになる。
例えば、SOCデバイスが1000個のフリップフロップを使用すると仮定する。ATE(Automatic Test Equipment)がスキャンチェーンを3個まで支援すれば、1つのスキャンチェーンの長さn(nは整数)は、少なくとも334(1000/スキャンチェーンの数)以上としなければならない。したがって、1つのパターンセットオペレーションを行うためには、少なくとも335(334+1)個のクロックを印加しなければならない。ここで、334個のクロックはシフト用に使われ、1つのクロックはキャプチャ用に使われることを意味する。フォールトカバレッジを95%以上にするためには、若し、1000個のテストパターンセットが必要であり、テストクロックの時間幅が10ns(nano seconds)とすれば、数1の通り総計で3,350,000ナノ(10−9)秒がかかる。
Figure 2005214981
上述したように、従来の方法によるテストは、所要時間が非常に長いという短所がある。
図1は、従来のスキャンベースATPG構造の一例を示すダイヤグラムである。
図1を参照すると、従来のATPG構造は、4個のスキャンチェーンScan Chain#1ないしScan Chain#4を使用し、4個のスキャン入力ピンScan−in及び4個のスキャン出力ピンScan−outなど8個のスキャンピンを使用する。一つのスキャンチェーンは、それぞれ一つのスキャン入力ピンScan−inと、一つのスキャン出力ピンScan−outとに連結されている。3番目及び4番目のスキャンチェーンScan Chain#3及びScan Chain#4でのXは、スキャンチェーンに含まれた論理値が確定されていない未知の値を意味する。
図1に示した従来のATPGを使用する場合、SOCのような集積度が高い装置をテストするためには、普通の装置をテストする場合に比べてテストデータの量が幾何級数的に増えるようになり、ATEのメモリの限界のため、テストコストが増加する。
図2は、従来のイリノイスキャンを示すダイヤグラムである。
図2を参照すると、イリノイスキャンは図1に示したATPGを改善したものであって、4個のスキャンチェーンScan chain#1ないしScan chain#4、一つのスキャン入力ピンScan−in及び4個のスキャン出力ピンScan−outを備える。4個のスキャンチェーンScan chain#1ないしScan chain#4は、一つのスキャン入力ピンScan−inを共通に使用し、それぞれ一つのスキャン出力ピンScan−outと連結されている。3番目及び4番目のスキャンチェーンScan Chain#3及びScan Chain#4でのXは、スキャンチェーンに含まれた論理値が確定されていない未知の値を意味する。
イリノイスキャンは、一つのスキャン入力ピンScan−inをあらゆるスキャンチェーンが共有するので、テストデータ入力量を減らすことができるが、スキャン出力データは従来の方法と同一である。
図3は、図2に示された従来のイリノイスキャンを改善したスキャンベースATPGを示すダイヤグラムである。
図3を参照すると、スキャンベースATPGは、一つのスキャン入力ピンScan−in、4個のスキャンチェーンScan Chain#1ないしScan Chain#4、3個のXOR XOR#1ないしXOR#3、及び一つのスキャン出力ピンScan−outを備える。
3個のXOR XOR#1ないしXOR#3は、4個のスキャンチェーンScanChain#1ないしScan Chain#4の出力データを一つのスキャン出力ピンScan−outに伝達するために使われ、実質的に4個のスキャンチェーンScan Chain#1ないしScan Chain#4の出力データを圧縮するといえる。
第1 XOR XOR#1は、2個のスキャンチェーンScan Chain#1及びScan Chain#2の出力を受信し、第2 XOR XOR#2は、残りの2個のスキャンチェーンScan Chain#3及びScan Chain#4の出力を受信する。第3 XOR XOR#3は、第1 XOR XOR#1及び第2 XOR XOR#2の出力を受信する。ここで、XORは Exclusive OR ゲートを意味し、論理的に2個の入力がいずれも同じ状態である時のみ、出力がロジックハイ状態を出力する特性を有する。
従来のスキャンベースATPGの場合、若し、スキャンチェーン#2 Scan Chain#2の2番目サイクルにエラーがあれば、スキャン出力ピンScan−outに検出されたエラーを反映する所定の約束された信号を出力する。この信号に基づいてエラーの有無を判断し、この事実をフィードバックさせて設計を正しく修正できるようにする。
しかし、スキャンチェーン#2 Scan Chain#2の2番目サイクルにエラーが発生すると同時に、スキャンチェーン#3 Scan Chain#3の2番目サイクルにも、論理状態が定められていない未知の状態値Xを有する場合が発生しうる。このような場合、2番目サイクルでのXORの出力が常にX状態であるので、スキャン出力ピンScan−outで二番目サイクルの値は、常にX状態となる。したがって、2番目サイクルではエラーが発生した事実について検証できなくなる。この未知の状態値を発生させるソースには、セットまたはリセットで初期化されていないフリップフロップが存在するか、またはシミュレーションモデルがブラックボックスである場合、及びバスでデータが互いに衝突するバスコンテンションが発生した場合などがある。
上述したように、図3に示された改善されたスキャンベースATPGを利用しても、初期化されていないフリップフロップの存在、ブラックボックスのシミュレーション及びバスコンテンションが発生した場合のように、X値を誘発させる場合が存在する場合にはエラーを検出できない。
上述した問題点を補完するために、2つの解決方法がある。
第1は、根本的な解決方法であって、X値が発生するソースを遮断するものである。しかし、この方法は、デザイン実行オーバーヘッドが発生してデザインが修正されると、数回に渡る実行と検証とが追加で必要になるという短所がある。
第2は、X値が次のステージに伝えられることを防止するものである。
本発明が解決しようとする第1課題は、複数個のスキャンチェーンについてのテスト及び診断を可能にし、スキャンチェーンに存在する未知の値がテスト結果に影響を与えないように処理するスキャンベースATPGテスト回路を提供することにある。
本発明が解決しようとする第2課題は、複数個のスキャンチェーンについてのテスト及び診断を可能にし、スキャンチェーンに存在する未知の値がテスト結果に影響を与えないように処理するスキャンベースATPGテスト方法を提供することにある。
本発明が解決しようとする第3課題は、複数個のスキャンチェーンについてのテスト及び診断を可能にし、スキャンチェーンに存在する未知の値がテスト結果に影響を与えないように処理するスキャンチェーン再配置方法を提供することにある。
前記第1課題を解決するために、本発明の第1面によるテスト回路は、スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、スキャンチェーングループ、デコーダロジック回路、スキャンテストポイントグループ、及びテストデータ圧縮器を備える。
前記スキャンチェーングループは、テストする試料を複数個直列連結したスキャンチェーンを複数個備え、所定のスキャン入力ピンからテストデータを受信する。前記デコーダロジック回路は、複数個のスキャンテストポイントモード信号をデコーディングする。前記スキャンテストポイントグループは、前記デコーダロジック回路の出力に応答して、受信した前記スキャンチェーングループの出力値を調節して出力する。前記テストデータ圧縮器は、前記スキャンテストポイントグループの複数個の出力信号を一つの出力信号として圧縮する。
前記複数個のスキャンテストポイントモード信号は、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である。
前記第1課題を解決するために、本発明の第2面によるテスト回路は、スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、第1スキャンチェーングループ、第2スキャンチェーングループ、デコーダロジック回路、スキャンテストポイントグループ及びテストデータ圧縮器を備える。
前記第1スキャンチェーングループは、所定のスキャン入力ピンからテストデータを受信し、論理状態が確定的な試料が含まれた複数個のスキャンチェーンを含む。前記第2スキャンチェーングループは、前記スキャン入力ピンからテストデータを受信し、論理状態が確定されていない未知の値を有する試料が含まれた複数個のスキャンチェーンを含む。前記デコーダロジック回路は、複数個のスキャンテストポイントモード信号をデコーディングする。前記スキャンテストポイントグループは、前記デコーダロジック回路の出力に応答して、受信した前記第2スキャンチェーングループの出力値を調節して出力する。前記テストデータ圧縮器は、前記第1スキャンチェーングループの出力信号、及び前記スキャンテストポイントグループの複数個の出力信号を受信して一つの出力信号として圧縮する。
前記複数個のスキャンテストポイントモード信号は、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である。
前記第1課題を解決するために、本発明の第3面によるテスト回路は、スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、モード選択信号、スキャンチェーングループ、デコーダロジック回路、スキャンテストポイントグループ、テストデータ圧縮器、及び第1マルチプレクサを備える。
前記モード選択信号は、スキャンテストモードまたはスキャン診断モードを指示する。前記スキャンチェーングループは、テストする試料を複数個直列連結したスキャンチェーンを複数個備え、所定のスキャン入力ピンからテストデータを受信して前記モード選択信号に応答する。前記デコーダロジック回路は、複数個のスキャンテストポイントモード信号をデコーディングする。前記スキャンテストポイントグループは、前記デコーダロジック回路の出力に応答して、受信した前記スキャンチェーングループの出力値を調節して出力する。前記テストデータ圧縮器は、前記スキャンテストポイントグループの複数個の出力信号を一つの出力信号として圧縮する。前記第1マルチプレクサは、前記テストデータ圧縮器の出力、及び前記スキャンチェーングループの出力信号のうち一つの信号を前記モード選択信号によって選択的に出力する。
前記スキャンチェーングループは、前記モード選択信号がテストモードである場合には、複数個のスキャンチェーンの出力を外部にそれぞれ出力させるが、前記モード選択信号が診断モードである場合には、複数個のスキャンチェーンの出力を互いに直列連結させて最後に連結されたスキャンチェーンの出力を外部に出力させ、前記複数個のスキャンテストポイントモード信号は、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である。
前記第1課題を解決するために、本発明の第4面によるテスト回路は、スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、モード選択信号、第1スキャンチェーングループ、第2スキャンチェーングループ、デコーダロジック回路、スキャンテストポイントグループ、テストデータ圧縮器、及び第1マルチプレクサを備える。
前記モード選択信号は、スキャンテストモードまたはスキャン診断モードを指示する。前記第1スキャンチェーングループは、所定のスキャン入力ピンからテストデータを受信し、前記モード選択信号に応答し、論理状態が確定的な試料が含まれた複数個のスキャンチェーンを含む。前記第2スキャンチェーングループは、前記スキャン入力ピンからテストデータを受信し、前記モード選択信号に応答し、論理状態が確定されていない未知の値を含む試料が含まれた複数個のスキャンチェーンを含む。前記デコーダロジック回路は、複数個のスキャンテストポイントモード信号をデコーディングする。前記スキャンテストポイントグループは、前記デコーダロジック回路の出力に応答して受信した前記第2スキャンチェーングループの出力値を調節して出力する。前記テストデータ圧縮器は、前記第1スキャンチェーングループの出力信号、及び前記スキャンテストポイントグループの複数個の出力信号を受信して一つの出力信号として圧縮する。前記第1マルチプレクサは、前記テストデータ圧縮器の出力、及び前記スキャンチェーングループの出力信号のうち一つの信号を前記モード選択信号によって選択的に出力する。
前記スキャンチェーングループは、前記モード選択信号がテストモードである場合には、複数個のスキャンチェーンの出力を外部にそれぞれ出力させるが、前記モード選択信号が診断モードである場合には、複数個のスキャンチェーンの出力を互いに直列連結させて最後に連結されたスキャンチェーンの出力を外部に出力させ、前記複数個のスキャンテストポイントモード信号は、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である。
前記第2課題を解決するために、本発明によるテスト方法は、スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するテスト方法において、ATPGを利用してテストパターンを発生させる段階と、スキャンテストモードまたはスキャン診断モードを指示するスキャンモード信号を受信して判断する段階と、前記受信されたスキャンモード信号がスキャンテストモード信号である場合、それぞれのスキャンチェーンの電気的及び機能的特性をテストするスキャンテスト段階と、前記受信されたスキャンモード信号がスキャン診断モード信号である場合、スキャンチェーンを構成するテスト試料のうちエラーが発生したところを診断するスキャン診断段階と、を備える。
前記第3課題を解決するために、本発明の一面によるスキャンチェーン再配置方法は、スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するテスト回路を使用するスキャンチェーン再配置方法において、論理状態が確定されていない未知の値を有するスキャンチェーンを配置して第1スキャンチェーングループに定義する段階と、論理状態がいずれも確定された値を有するスキャンチェーンを配置して、第2スキャンチェーングループに定義する段階と、を備える。
前記第3課題を解決するために、本発明の他の一面によるスキャンチェーン再配置方法は、前記第1スキャンチェーングループにおいて、同じサイクルに未知の値Xを有するスキャンチェーンが2個存在する場合、前記第1スキャンチェーングループに属するスキャンチェーンのうち何れか一つのスキャンチェーンを構成するテスト試料のチェーンの順序を変更し、前記2個のスキャンチェーンの出力が相異なるサイクルに未知の値Xが存在するように配置する。
本発明によるテスト回路及びスキャンチェーン再配置方法は、テストデータの量、及びテストチャンネルを画期的に減らすことができる。既存のスキャンベースATPGベースのテストコストを10倍以上に減少させることができ、少ないデザインオーバーヘッドで正常動作に影響を及ぼさない長所がある。
本発明と、本発明の動作上の利点及び本発明の実施によって達成される目的を十分に理解するためには、本発明の望ましい実施例を例示する添付図面及び添付図面に記載された内容を参照しなければならない。
以下、添付された図面を参照して本発明の望ましい実施例を説明することにより、本発明を詳細に説明する。各図面に付された同じ参照符号は同一部材を示す。
図4は、本発明の第1実施例によるスキャンベースATPGテスト回路を示すダイヤグラムである。
図4を参照すれば、スキャンベースATPGテスト回路は、スキャン入力ピンScan−in、スキャンチェーングループ400、スキャンテストポイントグループ410、デコーダロジック回路420、テストデータ圧縮器430、及びスキャン出力ピンScan−outを備える。
スキャン入力ピンScan−inを介して、ATPGによるテストデータを受信する。
スキャンチェーングループ400は、4個のスキャンチェーンScan Chain#1ないしScan Chain#4、401ないし407を備える。それぞれのスキャンチェーン401ないし407は、一定の規則によって連結したテスト試料のチェーンである。
スキャンテストポイントグループ410は、スキャンチェーンの個数だけのスキャンテストポイント回路411ないし417を有し、対応するスキャンチェーンの出力信号をデコーダロジック回路420の出力信号によって出力する。
デコーダロジック回路420は、複数個のスキャンテストポイントモード信号STP_Mode 0ないしSTP_Mode Nをデコーディングして出力する。デコーディングされた信号は、スキャンテストポイント回路411ないし417に伝達され、スキャンチェーンに存在する未知の状態の値Xが、テストデータ圧縮器430に伝達されることを防止する役割を担当する。
スキャンチェーンについて、スキャンベースATPGを行えば、それぞれのスキャンチェーンについてスキャン出力値を得ることができる。この時、このATPGパターンを分析すれば、次の通り2つの事項を確認できる。
1.X値が存在するスキャンチェーンの番号
2.スキャンチェーンでのX値が存在する位置
これらの情報を分析すれば、任意のスキャンチェーンに存在するX値がテストデータ圧縮器430に伝達されることを防止可能にするスキャンテストポイントモードSTP_Mode 0ないしSTP_Mode N値をセッティングすることができる。
テストデータ圧縮器430は、3個のXOR回路431ないし435を備え、スキャンテストポイントグループ410の出力を一つの出力信号として圧縮する。
図4を参照して、本発明の第1実施例によるスキャンベースATPGテスト回路の動作を説明する。
例えば、3番目のスキャンチェーンScan Chain#3の3番目サイクルにX値が存在する場合、それらについての情報を有するスキャンテストポイントモード信号STP_Mode 0ないしSTP_Mode Nを生成してデコーダロジック回路420に伝達する。デコーダロジック回路420は、スキャンテストポイントモード信号STP_Mode 0ないしSTP_Mode Nに応答して、3番目スキャンチェーン405の出力と連結されるスキャンテストポイント回路415を制御して、3番目スキャンチェーン405のX値が最終スキャン出力Scan−outの動作に影響を及ぼさないようにする。
図5A及び図5Bは、図4に示すテストポイント回路の実施例を示す回路図である。
図5Aを参照すれば、スキャンテストポイント回路(411ないし417のうち一つ)は、スキャンフリップフロップ520及びマルチプレクサ510を利用して実現できる。スキャンチェーンの出力が正常な場合には、デコーダロジック回路420の出力信号によって、スキャンチェーンの出力をスキャンフリップフロップ520に保存しつつ出力し、現在のスキャンチェーンの出力にX値が含まれた場合には、以前クロック(図示せず)で受信されてスキャンフリップフロップ520に保存されたデータを出力する。そのために、スキャンチェーンの出力及びスキャンフリップフロップ520の出力を受信して、デコーダロジック回路の出力信号によって伝達するマルチプレクサ510を利用する。
図5Bを参照すると、スキャンテストポイント回路(411ないし417のうち一つ)は、ラッチ回路530を利用して実現できる。スキャンチェーンの出力が正常な場合には、デコーダロジック回路420の出力信号によってスキャンチェーンの出力をラッチ回路530にラッチしつつ出力し、現在のスキャンチェーンの出力にX値が含まれた場合には、以前クロック(図示せず)で受信されてラッチ回路530にラッチされたデータを出力する。
上述した内容を要約すれば、図5Aまたは図5Bのスキャンテストポイント回路を、それぞれのスキャンチェーン、あるいはX値を有するスキャンチェーンの出力とテストデータ圧縮器430との間に挿入して、テスト応答データのうち望まないX値がテストデータ圧縮器430に伝達されることを防止できる。
本発明の第2実施例によるスキャンベースATPGテスト回路は、図4及び図7を結合させたものである。図4のスキャンテストポイントグループに図7のスキャンテストポイントグループを構成する方法を適用したものである。以下、図7についての説明と上述した図4についての内容とを結合することによって、本発明の第2実施例によるスキャンベースATPGテスト回路を容易に実現することができる。
図6は、本発明の第3実施例によるスキャンベースATPGテスト回路を示すダイヤグラムである。
図6を参照すれば、スキャンベースATPGテスト回路は、スキャン入力ピンScan−in、モード選択信号STD_Mode、スキャンチェーングループ600、デコーダロジック回路620、テストデータ圧縮器630、及び第1マルチプレクサ647を備える。
スキャン入力ピンScan_inは、ATPGによって生成されたテストデータを受信する。
モード選択信号STD_Modeは、スキャンテストモードまたはスキャン診断モードを指示する。
スキャンチェーングループ600は、テストする試料を複数個直列連結したスキャンチェーン(Scan Chain#1ないしScan Chain#4)611ないし617を複数個備え、スキャン入力ピンScan−inからテストデータを受信してモード選択信号STD_Modeに応答する。
スキャンチェーングループ600は、第1スキャンチェーン601ないし第4スキャンチェーン607、第2マルチプレクサ641ないし第4マルチプレクサ645を備える。
第1スキャンチェーン601は、スキャン入力ピンScan−inからテストデータを受信する。
第2マルチプレクサ641は、スキャン入力ピンScan−in及び第1スキャンチェーン601の出力を、モード選択信号STD_Modeに応答して選択的に出力する。第2スキャンチェーン603は、第2マルチプレクサ641の出力を受信する。
第3マルチプレクサ643は、スキャン入力ピンScan−in及び第2スキャンチェーン603の出力を、モード選択信号STD_Modeに応答して選択的に出力する。第3スキャンチェーン605は、第3マルチプレクサ643の出力を受信する。
第4マルチプレクサ645は、スキャン入力ピンScan−in及び第3スキャンチェーン605の出力をモード選択信号STD_Modeに応答して選択的に出力する。第4スキャンチェーン607は、第4マルチプレクサ645の出力を受信する。
スキャンチェーングループ600は、複数個のスキャンチェーン601ないし607の出力をモード選択信号STD_Modeによって出力する。即ち、モード選択信号STD_Modeがテストモードを指定した場合には、複数個のスキャンチェーン601ないし607の出力をそれぞれ外部に並列出力させる。モード選択信号STD_Modeが診断モードを指定した場合には、複数個のスキャンチェーン601ないし607の出力を、複数個のスキャンチェーンを互いに直列連結させて最後に連結されたスキャンチェーン607を介して出力させる。出力された信号を分析すれば、エラーが発生したスキャンチェーンの番号及び位置を把握できる。
スキャンテストポイントグループ610は、スキャンチェーン610ないし607と同数のテストポイント回路611ないし617を備え、デコーダロジック回路620の出力に応答して受信したスキャンチェーングループ610の出力値を調節して出力する。
それぞれのスキャンテストポイント回路611ないし617は、デコーダロジック回路620の出力信号に対応して受信したスキャンチェーンの出力をバイパスさせるか、または一クロック以前に受信したスキャンチェーンの出力信号を保存して出力させる。スキャンテストポイント回路は、図5A及び図5Bに示す回路を使用することが望ましい。
デコーダロジック回路620は、複数個のスキャンテストポイントモード信号STP_Mode 0ないしSTP_Mode Nをデコーディングする。
複数個のスキャンテストポイントモード信号STP_Mode 0ないしSTP_Mode Nは、論理状態が確定されていない未知の値Xを有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である。これを生成させるために、スキャンテストモード信号発生装置(図示せず)をさらに備えることも可能である。
テストデータ圧縮器630は、テストポイントグループ610の複数個の出力信号を一つの出力信号として圧縮する機能を行う。これは従来使用中の一般的な回路と同一である。
第1マルチプレクサ647は、テストデータ圧縮器630の出力、及びスキャンチェーン607の出力信号をモード選択信号STD_Modeによって選択的に出力する。
図7は、本発明の第4実施例によるスキャンベースATPGテスト回路を示すダイヤグラムである。
図7を参照すると、テスト回路は、スキャン入力ピンScan_in、モード選択信号STD_Mode、第1スキャンチェーングループ700−1、第2スキャンチェーングループ700−2、デコーダロジック回路620、テストデータ圧縮器630、及び第1マルチプレクサ647を備える。
スキャン入力ピンScan−inは、ATPGによって生成されたテストデータを受信する。
モード選択信号STD_Modeは、スキャンテストモードまたはスキャン診断モードを指示する。
第1スキャンチェーングループ700−1は、スキャン入力ピンScan−inからテストデータを受信し、モード選択信号STD_Modeに応答し、論理状態が確定的な試料が含まれた複数個のスキャンチェーン701及び703を含む。
第1スキャンチェーングループ700−1は、スキャン入力ピンScan−inからテストデータを受信する第1スキャンチェーン701、スキャン入力ピンScan−in及び第1スキャンチェーン701の出力を、モード選択信号STD_Modeに応答して選択的に出力する第2マルチプレクサ741、及び第2マルチプレクサ741の出力を受信する第2スキャンチェーン703を備える。
第2スキャンチェーングループ700−2は、スキャン入力ピンScan−inからテストデータを受信し、モード選択信号STD_Modeに応答し、論理状態が確定されていない未知の値を有する試料が含まれた複数個のスキャンチェーン705及び707を含む。
第2スキャンチェーングループ700−2は、スキャン入力ピンScan−in及び第2スキャンチェーン703の出力を、モード選択信号STD_Modeに応答して選択的に出力する第3マルチプレクサ743、第3マルチプレクサ743の出力を受信する第3スキャンチェーン705、スキャン入力ピンScan−in及び第3スキャンチェーン705の出力をモード選択信号STD_Modeに応答して選択的に出力する第4マルチプレクサ745、及び第4マルチプレクサ745の出力を受信する第4スキャンチェーン707を備える。
スキャンチェーングループ700−1及び700−2は、モード選択信号STD_Modeがテストモードである場合には、複数個のスキャンチェーンの出力を外部にそれぞれ出力させるが、モード選択信号STD_Modeが診断モードである場合には、複数個のスキャンチェーンの出力を互いに直列連結させて最後に連結されたスキャンチェーン707の出力を外部に出力させる。
デコーダロジック回路720は、複数個のスキャンテストポイントモード信号STP_Mode 0ないしSTP_Mode Nをデコーディングする。複数個のスキャンテストポイントモード信号STP_Mode 0ないしSTP_Mode Nは、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及びスキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である。
スキャンテストポイントグループ710は、受信した第2スキャンチェーングループ700−2の出力値、または以前に受信して保存している第2スキャンチェーングループ700−2の出力値を、デコーダロジック回路720の出力に応答して出力する。スキャンテストポイントグループ710は、第2スキャンチェーングループ700−2のスキャンチェーンと同数のスキャンテストポイント回路を備え、それぞれのスキャンテストポイント回路711及び713は、デコーダロジック回路720の出力信号に対応して受信したスキャンチェーンの出力をバイパスさせるか、または一クロック以前に受信したスキャンチェーンの出力信号をそのまま出力させる機能を行う。
テストデータ圧縮器730は、第1スキャンチェーングループ700−1の出力信号、及びテストポイント回路711及び713の出力信号を受信して一つの出力信号として圧縮する。
第1マルチプレクサ747は、テストデータ圧縮器730の出力及びスキャンチェーン707の出力信号をモード選択信号STD_Modeによって選択的に出力する。
図7を参照すると、第4実施例によるスキャンベースATPGテスト回路は、図4に示された本発明の一実施例によるスキャンベースATPGテスト回路と比較すると、2個のテストポイント回路が減少したことが分かる。上述したように、X値のソースが存在すると強く予想される部分をスキャンチェーン#3 705及びスキャンチェーン#4 707に集中的に含ませ、スキャンチェーン#3 705及びスキャンチェーン#4 707の出力のみにテストポイントを連結すれば、より高いレベルのテストデータ圧縮比率を得ることができる。
図8は、スキャンチェーンの出力によって決定されるスキャンテストポイントモード信号STP_Modeの値を示すダイヤグラムである。
図8を参照すると、3番目スキャンチェーン(Scan Chain#3)813の4番目サイクルで未知の値Xを有し、同時に4番目スキャンチェーン(Scan Chain#4)814の2番目サイクルで未知の値Xを有する。
第1スキャンチェーン811の固有番号を“00”とし、連続して第4スキャンチェーン814の固有番号を“11”とすれば、第3スキャンチェーン813の固有番号が“10”となる。未知の値Xを含むスキャンチェーン、及び前記スキャンチェーン内部の位置を示すために、スキャンテストポイントモード信号(STP_Mode 0及びSTP_Mode 1)821及び822の2番目サイクルでは“11”が指定され、4番目サイクルでは“10”が指定されることによって、出力(Scan_out)817にX値が伝えられないようにできる。
即ち、2番目及び4番目サイクル時の該当スキャンチェーンの値としてX値の代りに、既に以前に保存して分かっていた一定の論理値を出力して、スキャンチェーンのX値がスキャン出力Scan outに影響を及ぼさないようにする。
上述したように、より高いレベルのテストデータ圧縮比率を得るためには、次の条件を満足しなければならない。
第1に、X値が存在するスキャンチェーンと存在していないスキャンチェーンとに分類しなければならない。第2に、第1と同様に、分類されたスキャンサイクル内で、X値が同時に複数個のスキャンチェーンから発生してはならない。
上述した第1の条件は、上述した4個の実施例でいずれも満足するが、第2の条件を満足するために、下の再配置方法を提案する。
図9は、本発明の一実施例によるスキャンチェーン間の再配置方法を示すブロックダイアグラムである。
図9を参照すると、X値が存在するフリップフロップが複数個のスキャンチェーンに存在しても、それが一つのスキャンチェーンに存在するかのように使用するレコーディング方法を示している。
ATPGによって生成されたテストデータによって、スキャンチェーン#1の第2フリップフロップ913にX値が存在し、スキャンチェーン#2の第2フリップフロップ914にX値が存在する場合、人為的な操作によってスキャンチェーン#1の第2フリップフロップ913の出力値をスキャンチェーン#2の出力922値に再配置すれば、前述の第1の条件を満足する。即ち、X値が存在するスキャンチェーン#1のフリップフロップ913と、X値が存在していないスキャンチェーン#2のフリップフロップ914とは、互いに席を交換することにより、スキャンチェーン#1の出力921には、X値が存在しなくなり、スキャンチェーン#2の出力922にX値が再配置される。
図10は、本発明の他の一実施例によるスキャンチェーン間の再配置方法を示すブロックダイアグラムである。
図10を参照すると、同じスキャンサイクルにX値が存在するスキャンチェーンが同時に2個存在する時、これを解決する再配置方法を示している。
スキャンチェーン#1及びスキャンチェーン#2の2番目サイクルに同時にX値を有する場合、外部的な操作によってスキャンチェーン#1の第2フリップフロップ1013の出力値と同じサイクルに存在するスキャンチェーン#2のフリップフロップ1014の出力値が同時に出力されないようにすることができる。即ち、スキャンチェーン#2のフリップフロップ1014と、スキャンチェーン#2のフリップフロップ1015との席を互いに交換することにより、X値がスキャンチェーン#1の出力1021と、スキャンチェーン#2の出力1022とで相異なるサイクルに存在させる。
図11は、本発明の一実施例によるスキャンベースSTPGテスト方法を示す信号フローチャートである。
図11を参照すると、このテスト方法は、ATPG実行段階1110、スキャンモード信号を受信して判断する段階1120、スキャンテスト段階1130、及びスキャン診断段階1140を備える。
このテスト方法は、スキャンベースATPG方法を利用して製品の電気的及び機能的特性を検証するものである。
ATPG実行段階1110では、ATPGを行ってテストパターンを発生させる。
スキャンモードを判断する段階1120は、スキャンモード信号を受信してスキャンテストモードSTM、またはスキャン診断モードSTDのうちどちらを指示するかを判断する。
スキャンモード信号がスキャンテストモードSTMである場合に動作するスキャンテスト段階1130は、スキャンテストポイントモード信号を発生させる段階1131、スキャンテストポイント制御信号を生成させる段階1133、及びバイパスまたは保存されたデータを出力する段階1135を備える。
スキャンテストポイントモード信号を発生させる段階1131は、発生したテストパターンを利用して論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映されたスキャンテストポイントモード信号を発生させる。スキャンテストポイント制御信号を生成させる段階1133は、スキャンテストポイントモード信号をデコーディングしてスキャンテストポイント制御信号を生成させる。バイパスまたは保存されたデータを出力する段階1135は、スキャンテストポイント制御信号によって、スキャンチェーンの出力信号をバイパスさせるか、または既に保存された一クロック以前に受信されて保存されたスキャンチェーンの出力値を出力させる。
スキャンモード信号がスキャンテストモードSTDである場合に動作するスキャン診断段階1140は、スキャンチェーンを構成するテスト試料のうちエラーが発生したところを診断する。
スキャン診断段階1140は、複数個のスキャンチェーンを一つのスキャンチェーンに互いに結合する段階1141、及び一つのスキャンチェーンの電気的及び機能的特性をテストしてチェーンスキャンの何の部分にエラーが発生したかを判断するテスト段階を備える。
本発明では、連続されたスキャンチェーン方法を使用して、エラーが発生したスキャンチェーンの番号及びスキャンサイクルを検出する。連続されたスキャンチェーン方法は、スキャンテストモードとスキャン診断モードとに区分される。
スキャンテストモードは、スキャンチェーンの出力値がテストデータ圧縮器を介してデータの圧縮が行われるようにすることにより、スキャンベーステストを行うようにし、エラーの発生の可否を検出する。スキャン診断モードは、あらゆるスキャンチェーンを一つのチェーンに直列に連結し、スキャンチェーンの最終出力を利用してエラーが発生したスキャンチェーンの番号及びスキャンサイクルを検出する。
このスキャンテストポイントグループを使用する場合、テストデータの量をほぼ指数関数形態で減少させることができる。上述した場合は、テストポイント回路の数と、スキャンチェーンの数とが同一であるが、一定の場合、テストポイント回路の数を減らして使用できる。一般的に、回路設計及びシステムの特性上X値のソースが存在する部分は、ほぼ一定であるといえる。X値のソースが存在する部分、即ちX値について脆弱な部分を出来れば少ない数のスキャンチェーンに含まれるようにし、スキャンチェーンの出力のみにテストポイント回路を設置すれば、スキャンテストポイントモードで使用する制御信号STP_Modeの数を減少させることができる。
表1は、本発明によるスキャンベースATPGテスト回路、及びスキャンチェーン再配置方法を使用する時の長所を示す。
Figure 2005214981
表1を参照すると、スキャンテストポイントモード信号STP_Modeを7個使用すれば、2個のスキャンチェーンを選択できる。また、2個を3個のXORツリーを使用してテストデータを圧縮すれば、テスト所要時間を約12分の1(X12、128/10)に減少させ得ることが分かる。
上述した説明では、スキャンチェーンを4個と仮定したが、実際に本発明によるテストデータ圧縮器を使用するスキャンベースATPGは、4個以上の複数個のスキャンチェーンを含むように発明を確定することが可能である。
以上のように、図面と明細書で最適実施例が開示された。ここで、特定の用語が使われたが、これは、本発明を説明するための目的で使われたものであり、意味限定や特許請求範囲に記載された本発明の範囲を制限するために使われたものではない。したがって、当業者であれば、これから多様な変形及び均等な他の実施例が可能であるという点を理解できるであろう。従って、本発明の真の技術的な保護範囲は、特許請求範囲の技術的思想により決まらねばならない。
本発明の一実施例によるテスト回路は、半導体チップのテストに使用される回路であり、特に、SOCの電気的及び機能的特性を検証するために実施するスキャンテスト方法に使用される。
従来のスキャンベースATPG構造の一例を示すダイヤグラムである。 従来のイリノイスキャンを示すダイヤグラムである。 図2に示されたイリノイスキャンを改善したスキャンベースATPGを示すダイヤグラムである。 本発明の第1実施例によるスキャンベースATPGテスト回路を示すダイヤグラムである。 図4に示すテストポイント回路の実施例を示す回路図。(その1) 図4に示すテストポイント回路の実施例を示す回路図(その2)である。 本発明の第3実施例によるスキャンベースATPGテスト回路を示すダイヤグラムである。 本発明の第4実施例によるスキャンベースATPGテスト回路を示すダイヤグラムである。 スキャンチェーンの出力によって決定されるスキャンテストポイントモード信号STP_Modeの値を示すダイヤグラムである。 本発明の一実施例によるスキャンチェーン間の再配置方法を示すブロックダイアグラムである。 本発明の他の一実施例によるスキャンチェーン間の再配置方法を示すブロックダイアグラムである。 本発明の一実施例によるスキャンベースSTPGテスト方法を示す信号フローチャートである。
符号の説明
400 スキャンチェーングループ
401 Scan Chain#1
403 Scan Chain#2
405 Scan Chain#3
407 Scan Chain#4
410 スキャンテストポイントグループ
411,413,415,417 スキャンテストポイント回路
420 デコーダロジック回路
430 テストデータ圧縮器
431 XOR#1
433 XOR#2
435 XOR#3

Claims (25)

  1. スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、
    テストする試料を複数個直列連結したスキャンチェーンを複数個備え、所定のスキャン入力ピンからテストデータを受信するスキャンチェーングループと、
    複数個のスキャンテストポイントモード信号をデコーディングするデコーダロジック回路と、
    前記デコーダロジック回路の出力に応答して、受信した前記スキャンチェーングループの出力値を調節するスキャンテストポイントグループと、
    前記スキャンテストポイントグループの複数個の出力信号を一つの出力信号として圧縮するテストデータ圧縮器と、を備え、
    前記複数個のスキャンテストポイントモード信号は、
    論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号であることを特徴とするテスト回路。
  2. 前記スキャンテストポイントグループは、
    前記スキャンチェーンと同数のスキャンテストポイント回路を備え、
    前記それぞれのスキャンテストポイント回路は、
    対応するスキャンチェーンの出力及び前記デコーダロジック回路の出力信号を受信し、前記デコーダロジック回路の出力信号に応答して受信したスキャンチェーンの出力をバイパスさせるか、または一クロック以前に受信したスキャンチェーンの出力信号を保存して出力させることを特徴とする請求項1に記載のテスト回路。
  3. 前記それぞれのスキャンテストポイント回路は、
    前記スキャンチェーンの出力及び所定のフリップフロップの出力信号を前記デコーダロジック回路の出力信号に応答して伝達するマルチプレクサと、
    前記マルチプレクサの出力信号を受信し、それを保存して出力する前記フリップフロップと、を備えることを特徴とする請求項2に記載のテスト回路。
  4. 前記それぞれのスキャンテストポイント回路は、
    前記デコーダロジック回路の出力信号に応答して、前記スキャンチェーンの出力を伝達するか、または一クロック以前に受信してラッチしていたデータを出力するラッチ回路であることを特徴とする請求項2に記載のテスト回路。
  5. 前記テスト回路は、
    ATPGデータを受信して、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である、複数個のスキャンテストモード信号を発生させるスキャンテストモード信号発生装置をさらに備えることを特徴とする請求項1に記載のテスト回路。
  6. スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、
    スキャン入力ピンからテストデータを受信し、論理状態が確定的な試料が含まれた複数個のスキャンチェーンを含む第1スキャンチェーングループと、
    所定のスキャン入力ピンからテストデータを受信し、論理状態が確定されていない未知の値を有する試料が含まれた複数個のスキャンチェーンを含む第2スキャンチェーングループと、
    複数個のスキャンテストポイントモード信号をデコーディングするデコーダロジック回路と、
    前記デコーダロジック回路の出力に応答して、受信した前記第2スキャンチェーングループの出力値を調節するスキャンテストポイントグループと、
    前記第1スキャンチェーングループの出力信号、及び前記スキャンテストポイントグループの複数個の出力信号を受信して一つの出力信号として圧縮するテストデータ圧縮器と、を備え、
    前記複数個のスキャンテストポイントモード信号は、
    論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号であることを特徴とするテスト回路。
  7. 前記スキャンテストポイントグループは、
    前記第2スキャンチェーングループを構成するスキャンチェーンと同数のスキャンテストポイント回路を備え、
    前記それぞれのスキャンテストポイント回路は、
    対応するスキャンチェーンの出力及び前記デコーダロジック回路の出力信号を受信し、前記デコーダロジック回路の出力信号に応答して受信したスキャンチェーンの出力をバイパスさせるか、または一クロック以前に受信したスキャンチェーンの出力信号を保存して出力させることを特徴とする請求項6に記載のテスト回路。
  8. 前記スキャンテストポイント回路は、
    前記スキャンチェーンの出力及びフリップフロップの出力信号を前記デコーダロジック回路の出力信号に応答して伝達するマルチプレクサと、
    前記マルチプレクサの出力信号を受信し、保存して出力するフリップフロップと、を備えることを特徴とする請求項7に記載のテスト回路。
  9. 前記スキャンテストポイント回路は、
    前記デコーダロジック回路の出力信号に応答して前記スキャンチェーンの出力を伝達するか、または一クロック以前に受信してラッチしていたデータを出力するラッチ回路であることを特徴とする請求項7に記載のテスト回路。
  10. 前記テスト回路は、
    ATPGデータを受信し、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である、複数個のスキャンテストモード信号を発生させるスキャンテストモード信号発生装置をさらに備えることを特徴とする請求項6に記載のテスト回路。
  11. スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、
    スキャンテストモードまたはスキャン診断モードを指示するモード選択信号と、
    テストする試料を複数個直列連結したスキャンチェーンを複数個備え、所定のスキャン入力ピンからテストデータを受信して前記モード選択信号に応答するスキャンチェーングループと、
    複数個のスキャンテストポイントモード信号をデコーディングするデコーダロジック回路と、
    前記デコーダロジック回路の出力に応答して受信した前記スキャンチェーングループの出力値を調節するスキャンテストポイントグループと、
    前記スキャンテストポイントグループの複数個の出力信号を一つの出力信号として圧縮するテストデータ圧縮器と、
    前記テストデータ圧縮器の出力、及び前記スキャンチェーングループの出力信号のうち一つの信号を前記モード選択信号によって選択的に出力する第1マルチプレクサと、備え、
    前記スキャンチェーングループは、
    前記モード選択信号がテストモードである場合には、複数個のスキャンチェーンの出力を外部にそれぞれ出力させるが、前記モード選択信号が診断モードである場合には、複数個のスキャンチェーンの出力を互いに直列連結させて、最後に連結されたスキャンチェーンの出力を外部に出力させ、
    前記複数個のスキャンテストポイントモード信号は、
    論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号であることを特徴とするテスト回路。
  12. 前記スキャンチェーングループは、
    前記テストデータを受信する第1スキャンチェーンと、
    前記テストデータ及び前記第1スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第2マルチプレクサと、
    前記第2マルチプレクサの出力を受信する第2スキャンチェーンと、
    前記テストデータ及び前記第2スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第3マルチプレクサと、
    前記第3マルチプレクサの出力を受信する第3スキャンチェーンと、
    前記テストデータ及び前記第3スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第4マルチプレクサと、
    前記第4マルチプレクサの出力を受信する第4スキャンチェーンと、を備えることを特徴とする請求項11に記載のテスト回路。
  13. 前記スキャンテストポイントグループは、
    前記スキャンチェーンと同数のスキャンテストポイント回路を備え、
    前記それぞれのスキャンテストポイント回路は、
    前記デコーダロジック回路の出力信号に対応して受信したスキャンチェーンの出力をバイパスさせるか、または一クロック以前に受信したスキャンチェーンの出力信号をそのまま出力させることを特徴とする請求項11に記載のテスト回路。
  14. 前記スキャンテストポイント回路は、
    前記スキャンチェーンの出力、及び所定のフリップフロップの出力信号を前記デコーダロジック回路の出力信号に応答して伝達する第5マルチプレクサと、
    前記第5マルチプレクサの出力信号を受信し、保存して出力する前記フリップフロップと、を備えることを特徴とする請求項13に記載のテスト回路。
  15. 前記スキャンテストポイント回路は、
    前記デコーダロジック回路の出力信号に応答して前記スキャンチェーンの出力を伝達するか、または一クロック以前に受信してラッチしていたデータを出力するラッチ回路であることを特徴とする請求項13に記載のテスト回路。
  16. 前記テスト回路は、
    ATPGデータを受信し、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号である複数個のスキャンテストモード信号を発生させるスキャンテストモード信号発生装置をさらに備えることを特徴とする請求項11に記載のテスト回路。
  17. スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するのに使用するテスト回路において、
    スキャンテストモードまたはスキャン診断モードを指示するモード選択信号と、
    所定のスキャン入力ピンからテストデータを受信し、前記モード選択信号に応答し、論理状態が確定的な試料が含まれた複数個のスキャンチェーンを含む第1スキャンチェーングループと、
    前記スキャン入力ピンからテストデータを受信し、前記モード選択信号に応答し、論理状態が確定されていない未知の値を有する試料が含まれた複数個のスキャンチェーンを含む第2スキャンチェーングループと、
    複数個のスキャンテストポイントモード信号をデコーディングするデコーダロジック回路と、
    前記デコーダロジック回路の出力に応答して受信した前記第2スキャンチェーングループの出力値を調節して出力するスキャンテストポイントグループと、
    前記第1スキャンチェーングループの出力信号、及び前記スキャンテストポイントグループの複数個の出力信号を受信して一つの出力信号として圧縮するテストデータ圧縮器と、
    前記テストデータ圧縮器の出力、及び前記スキャンチェーングループの出力信号のうち一つの信号を前記モード選択信号によって選択的に出力する第1マルチプレクサと、を備え、
    前記スキャンチェーングループは、
    前記モード選択信号がテストモードである場合には、複数個のスキャンチェーンの出力を外部にそれぞれ出力させるが、前記モード選択信号が診断モードである場合には、複数個のスキャンチェーンの出力を互いに直列連結させて最後に連結されたスキャンチェーンの出力を外部に出力させ、
    前記複数個のスキャンテストポイントモード信号は、
    論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映された信号であることを特徴とするテスト回路。
  18. 前記第1スキャンチェーングループは、
    前記テストデータを受信する第1スキャンチェーンと、
    前記テストデータ及び前記第1スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第2マルチプレクサと、
    前記第2マルチプレクサの出力を受信する第2スキャンチェーンと、を備え、
    前記第2スキャンチェーングループは、
    前記テストデータ及び前記第2スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第3マルチプレクサと、
    前記第3マルチプレクサの出力を受信する第3スキャンチェーンと、
    前記テストデータ及び前記第3スキャンチェーンの出力を前記モード選択信号に応答して選択的に出力する第4マルチプレクサと、
    前記第4マルチプレクサの出力を受信する第4スキャンチェーンと、を備えることを特徴とする請求項17に記載のテスト回路。
  19. 前記スキャンテストポイントグループは、
    前記第2スキャンチェーングループのスキャンチェーンと同数のテストポイント回路を備え、
    前記それぞれのテストポイント回路は、
    前記対応するスキャンチェーンの出力及び前記デコーダロジック回路の出力信号を受信し、前記デコーダロジック回路の出力信号に応答して、受信したスキャンチェーンの出力をバイパスさせるか、または一クロック以前に受信したスキャンチェーンの出力信号をそのまま出力させることを特徴とする請求項17に記載のテスト回路。
  20. 前記スキャンテストポイント回路は、
    前記スキャンチェーンの出力、及びフリップフロップの出力信号を前記デコーダロジック回路の出力信号に応答して伝達する第5マルチプレクサと、
    前記第5マルチプレクサの出力信号を受信し、保存して出力するフリップフロップと、を備えることを特徴とする請求項19に記載のテスト回路。
  21. 前記スキャンテストポイント回路は、
    前記デコーダロジック回路の出力信号に応答して前記スキャンチェーンの出力を伝達するか、または一クロック以前に受信してラッチしていたデータを出力するラッチ回路であることを特徴とする請求項19に記載のテスト回路。
  22. スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するテスト方法において、
    ATPGを利用してテストパターンを発生させる段階と、
    スキャンテストモードまたはスキャン診断モードを指示するスキャンモード信号を受信して判断する段階と、
    前記受信されたスキャンモード信号がスキャンテストモード信号である場合、それぞれのスキャンチェーンの電気的及び機能的特性をテストするスキャンテスト段階と、 前記受信されたスキャンモード信号がスキャン診断モード信号である場合、スキャンチェーンを構成するテスト試料のうちエラーが発生したところを診断するスキャン診断段階と、を備えることを特徴とするテスト方法。
  23. 前記スキャンテスト段階は、
    前記発生したテストパターンを利用して、論理状態が確定されていない未知の値を有するスキャンチェーンについての情報、及び前記スキャンチェーンで未知の値を有するところの位置についての情報が反映されたスキャンテストポイントモード信号を発生させる段階と、
    スキャンテストポイントモード信号をデコーディングしてスキャンテストポイント制御信号を生成させる段階と、
    前記スキャンテストポイント制御信号によって、スキャンチェーンの出力信号をバイパスさせるか、または既に保存された一クロック以前に受信されて保存されたスキャンチェーンの出力値を出力させる段階と、を備え、
    前記スキャン診断段階は、
    前記受信されたスキャンモード信号がスキャン診断モード信号である場合、スキャンチェーンを一つのスキャンチェーンとして互いに結合する段階と、
    前記一つのスキャンチェーンの電気的及び機能的特性をテストして、スキャンチェーンのどの部分にエラーが発生したかを判断するテスト段階と、を備えることを特徴とする請求項22に記載のテスト方法。
  24. スキャンベースATPG方法を利用して、製品の電気的及び機能的特性を検証するテスト回路を使用するスキャンチェーン再配置方法において、
    論理状態が確定されていない未知の値を有するスキャンチェーンを配置して、第1スキャンチェーングループに定義する段階と、
    論理状態がいずれも確定された値を有するスキャンチェーンを配置して、第2スキャンチェーングループに定義する段階と、を備えることを特徴とするスキャンチェーン再配置方法。
  25. 前記スキャンチェーン再配置方法は、
    前記第1スキャンチェーングループにおいて、
    同じサイクルに未知の値を有するスキャンチェーンが2個存在する場合、
    前記第1スキャンチェーングループに属するスキャンチェーンのうち何れか一つのスキャンチェーンを構成するテスト試料のチェーンの順序を変更し、前記2個のスキャンチェーンの出力が相異なるサイクルに未知の値が存在するように配置することを特徴とする請求項24に記載のスキャンチェーン再配置方法。
JP2005023827A 2004-01-31 2005-01-31 スキャンベースatpgテスト回路、テスト方法及びスキャンチェーン再配置方法 Active JP5252769B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR2004-006463 2004-01-31
KR1020040006463A KR20050078704A (ko) 2004-01-31 2004-01-31 스캔 베이스 atpg 테스트회로, 테스트방법 및 스캔체인 재배열방법

Publications (2)

Publication Number Publication Date
JP2005214981A true JP2005214981A (ja) 2005-08-11
JP5252769B2 JP5252769B2 (ja) 2013-07-31

Family

ID=34806064

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005023827A Active JP5252769B2 (ja) 2004-01-31 2005-01-31 スキャンベースatpgテスト回路、テスト方法及びスキャンチェーン再配置方法

Country Status (3)

Country Link
US (1) US7343536B2 (ja)
JP (1) JP5252769B2 (ja)
KR (1) KR20050078704A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008089545A (ja) * 2006-10-05 2008-04-17 Matsushita Electric Ind Co Ltd 解析装置
CN103792486A (zh) * 2014-02-27 2014-05-14 北京航空航天大学 基于fmea中故障影响数据的电路板测试设计与相关性矩阵建立方法
CN113533936A (zh) * 2021-07-13 2021-10-22 上海矽昌微电子有限公司 一种芯片扫描链测试方法和系统
KR20220053870A (ko) * 2020-10-23 2022-05-02 연세대학교 산학협력단 스캔 셀 재배치 방법 및 스캔 셀 재배치 장치

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007003423A (ja) * 2005-06-24 2007-01-11 Toshiba Corp 半導体集積回路およびその制御方法
FR2888014B1 (fr) * 2005-07-01 2007-09-21 Atmel Corp Procede et dispositif pour determiner l'emplacement de defauts de collage dans des chaines de cellules utilisant des chaines de test
JP4802139B2 (ja) * 2007-05-15 2011-10-26 株式会社東芝 半導体集積回路モジュール
US7644329B2 (en) * 2007-09-11 2010-01-05 Ali Corporation Integrated circuit testing method and related circuit thereof
KR101226963B1 (ko) * 2009-07-30 2013-01-28 에스케이하이닉스 주식회사 Rfid 장치, 이를 포함하는 테스트 시스템 및 그 테스트 방법
US20110066906A1 (en) * 2009-09-14 2011-03-17 LSI Corporate Pulse Triggered Latches with Scan Functionality
KR101709071B1 (ko) * 2010-05-19 2017-02-22 삼성전자주식회사 컴프레션 모드 스캔 테스트를 위한 집적 회로
US8407541B1 (en) 2010-06-18 2013-03-26 Altera Corporation Dynamic test signal routing controller
US20130117618A1 (en) * 2011-11-03 2013-05-09 Freescale Semiconductor, Inc Scan testing of integrated circuit with clock gating cells
US20130173978A1 (en) * 2012-01-01 2013-07-04 Hiroyuki Sasaya Multiple input and/or output data for boundary scan nodes
CN103376405B (zh) 2012-04-27 2015-09-09 国际商业机器公司 用于扫描链诊断的方法和装置
CN103487747B (zh) * 2013-09-30 2016-08-17 桂林电子科技大学 符合边界扫描标准的扫描子链型测试结构及测试方法
CN105137320B (zh) * 2015-08-12 2017-12-12 安庆师范学院 基于测试模式重排序的分组测试向量之间的兼容性压缩方法
CN105137322B (zh) * 2015-08-12 2017-12-12 安庆师范学院 一种基于最大近似相容的分组测试向量的编码压缩方法
CN107689247B (zh) * 2016-12-23 2020-10-13 北京国睿中数科技股份有限公司 机台测试中扫描路径上的保持时间违例处理方法及系统
US11740284B1 (en) 2021-07-02 2023-08-29 Cadence Design Systems, Inc. Diagnosing multicycle faults and/or defects with single cycle ATPG test patterns
US11893336B1 (en) 2021-10-12 2024-02-06 Cadence Design Systems, Inc. Utilizing transition ATPG test patterns to detect multicycle faults and/or defects in an IC chip

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03252569A (ja) * 1990-02-26 1991-11-11 Advanced Micro Devicds Inc スキャンパス用レジスタ回路
JPH10104320A (ja) * 1996-05-22 1998-04-24 Symbios Logic Inc 走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路
JPH11352188A (ja) * 1998-06-11 1999-12-24 Mitsubishi Electric Corp 半導体装置
JP2000258500A (ja) * 1999-03-09 2000-09-22 Hitachi Ltd 半導体集積回路及び記憶媒体
JP2002243805A (ja) * 2000-11-14 2002-08-28 Agilent Technol Inc デジタル回路試験装置
JP2002267719A (ja) * 2001-03-07 2002-09-18 Toshiba Corp 半導体集積回路及びそのテスト方法
JP2004012420A (ja) * 2002-06-11 2004-01-15 Fujitsu Ltd 集積回路の診断装置および診断方法並びに集積回路

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001033238A1 (en) 1999-10-29 2001-05-10 Philips Semiconductors, Inc. A scan test point observation system and method
US6557129B1 (en) 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
US6877119B2 (en) 2001-09-14 2005-04-05 Stmicroelectronics Limited Circuit scan output arrangement
JP3594582B2 (ja) 2001-12-25 2004-12-02 Necマイクロシステム株式会社 半導体装置
US6986090B2 (en) * 2002-02-20 2006-01-10 International Business Machines Corporation Method for reducing switching activity during a scan operation with limited impact on the test coverage of an integrated circuit
US7225376B2 (en) * 2002-07-30 2007-05-29 International Business Machines Corporation Method and system for coding test pattern for scan design
US7032148B2 (en) * 2003-07-07 2006-04-18 Syntest Technologies, Inc. Mask network design for scan-based integrated circuits
US7222277B2 (en) * 2004-11-10 2007-05-22 Nec Laboratories America, Inc. Test output compaction using response shaper

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03252569A (ja) * 1990-02-26 1991-11-11 Advanced Micro Devicds Inc スキャンパス用レジスタ回路
JPH10104320A (ja) * 1996-05-22 1998-04-24 Symbios Logic Inc 走査チェーンの走査保持時間エラー除去方法、走査チェーンの再配置方法及び集積回路
JPH11352188A (ja) * 1998-06-11 1999-12-24 Mitsubishi Electric Corp 半導体装置
JP2000258500A (ja) * 1999-03-09 2000-09-22 Hitachi Ltd 半導体集積回路及び記憶媒体
JP2002243805A (ja) * 2000-11-14 2002-08-28 Agilent Technol Inc デジタル回路試験装置
JP2002267719A (ja) * 2001-03-07 2002-09-18 Toshiba Corp 半導体集積回路及びそのテスト方法
JP2004012420A (ja) * 2002-06-11 2004-01-15 Fujitsu Ltd 集積回路の診断装置および診断方法並びに集積回路

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008089545A (ja) * 2006-10-05 2008-04-17 Matsushita Electric Ind Co Ltd 解析装置
CN103792486A (zh) * 2014-02-27 2014-05-14 北京航空航天大学 基于fmea中故障影响数据的电路板测试设计与相关性矩阵建立方法
KR20220053870A (ko) * 2020-10-23 2022-05-02 연세대학교 산학협력단 스캔 셀 재배치 방법 및 스캔 셀 재배치 장치
KR102412816B1 (ko) 2020-10-23 2022-06-23 연세대학교 산학협력단 스캔 셀 재배치 방법 및 스캔 셀 재배치 장치
CN113533936A (zh) * 2021-07-13 2021-10-22 上海矽昌微电子有限公司 一种芯片扫描链测试方法和系统

Also Published As

Publication number Publication date
KR20050078704A (ko) 2005-08-08
US20050172192A1 (en) 2005-08-04
JP5252769B2 (ja) 2013-07-31
US7343536B2 (en) 2008-03-11

Similar Documents

Publication Publication Date Title
JP5252769B2 (ja) スキャンベースatpgテスト回路、テスト方法及びスキャンチェーン再配置方法
CN101911491B (zh) 用于分析扫描链和确定扫描链中的保持时间故障的数目或位置的方法
CN105631077B (zh) 具有增大的故障覆盖率的集成电路
US20070101216A1 (en) Method to locate logic errors and defects in digital circuits
US7308626B2 (en) Method and structure for picosecond-imaging-circuit-analysis based built-in-self-test diagnostic
JP3749541B2 (ja) 集積回路試験装置及び試験法
US6961885B2 (en) System and method for testing video devices using a test fixture
US7146584B2 (en) Scan diagnosis system and method
JP2010107516A (ja) スキャンベースの集積回路でスキャンパターンをブロードキャストする方法および装置
US6721923B2 (en) System and method for generating integrated circuit boundary register description data
KR100790428B1 (ko) 비동기 리셋회로 시험
US20050235184A1 (en) Semiconductor integrated circuit device and test method thereof
US20100115337A1 (en) Verification of array built-in self-test (abist) design-for-test/design-for-diagnostics (dft/dfd)
US20050172188A1 (en) Diagnostic method for detection of multiple defects in a Level Sensitive Scan Design (LSSD)
US6058255A (en) JTAG instruction decode test register and method
US7240263B2 (en) Apparatus for performing stuck fault testings within an integrated circuit
CN114667455A (zh) 用于测试电路的通用压缩器架构
US7607057B2 (en) Test wrapper including integrated scan chain for testing embedded hard macro in an integrated circuit chip
US7461307B2 (en) System and method for improving transition delay fault coverage in delay fault tests through use of an enhanced scan flip-flop
US8775882B2 (en) Testing circuits
US20080244345A1 (en) Failure diagnostic apparatus, failure diagnostic system, and failure diagnostic method
US6920597B2 (en) Uniform testing of tristate nets in logic BIST
US7188288B2 (en) Semiconductor LSI circuit with scan circuit, scan circuit system, scanning test system and method
Sonawane et al. Hybrid Performance Modeling for Optimization of In-System-Structural-Test (ISST) Latency
JP2005017067A (ja) 自己テスト回路内蔵の半導体集積回路およびその故障診断方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071213

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100902

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100907

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101207

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110823

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130416

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5252769

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160426

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250