JP2010107516A - スキャンベースの集積回路でスキャンパターンをブロードキャストする方法および装置 - Google Patents

スキャンベースの集積回路でスキャンパターンをブロードキャストする方法および装置 Download PDF

Info

Publication number
JP2010107516A
JP2010107516A JP2009275718A JP2009275718A JP2010107516A JP 2010107516 A JP2010107516 A JP 2010107516A JP 2009275718 A JP2009275718 A JP 2009275718A JP 2009275718 A JP2009275718 A JP 2009275718A JP 2010107516 A JP2010107516 A JP 2010107516A
Authority
JP
Japan
Prior art keywords
scan
broadcaster
integrated circuit
virtual
broadcast
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009275718A
Other languages
English (en)
Other versions
JP5059837B2 (ja
Inventor
Laung-Terng L-T Wang
ラウン・テルン(エル−ティー)・ワン
Hsin-Po Wang
シン−ポ・ワン
Xiaoqing Wen
シャオチン・ウェン
Meng-Chyi Lin
メン−チィ・リン
Shyh-Horng Lin
シ−ホルン・リン
Da-Chia Yeh
ダ−チァ・イェー
Sen-Wei Tsai
セン−ウェイ・ツァイ
Khader S Abdel-Hafez
カデール・エス・アブデル−ハフェズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Syntest Technologies Inc
Original Assignee
Syntest Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Syntest Technologies Inc filed Critical Syntest Technologies Inc
Publication of JP2010107516A publication Critical patent/JP2010107516A/ja
Application granted granted Critical
Publication of JP5059837B2 publication Critical patent/JP5059837B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/31917Stimuli generation or application of test patterns to the device under test [DUT]
    • G01R31/31926Routing signals to or from the device under test [DUT], e.g. switch matrix, pin multiplexing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG

Abstract

【課題】スキャンベースの集積回路中のATEでのテストデータ量およびテスト適用時間を削減する。
【解決手段】複数のスキャンチェーンを含むスキャンベースの集積回路において、ブロードキャスタ208を設け、ブロードキャスタ208内に仮想スキャン制御機構およびスキャンコネクタを持つ。ブロードキャスタ208の機能は、仮想スキャン制御機構に記憶された値のプログラム可能な機能であり、実現され得るマッピング数には限界がなく、これによって従来のブロードキャストスキャンの厳しい入力制約条件が緩和され、より多くのテスト可能故障をテストするためのブロードキャストスキャンパターン219を生成する能力が強化される。
【選択図】図2

Description

関連出願データ
本願は、参照によって本明細書に組み込まれる、2002年1月16日出願の米国仮特許出願第60/348,383号の特典を主張するものである。
本発明は、一般に、テスト容易化設計(DFT)技法を使用した論理設計およびテストの分野に関する。詳細には、本発明は、スキャンまたは組み込み自己テスト(BIST)技法を使用した集積回路のための論理テストおよび診断の分野に関する。
背景
集積回路の複雑度が増すに従って、テストコストを最低限に抑えつつ非常に高い故障検出率を実現することがますます重要になってきている。従来のスキャンベース(scan−based)の方法は、過去数十年の間、百万個未満のゲート設計でのこの目標の達成には大いに成功を収めてきたが、近年のスキャンベース設計の百万個を超えるゲートでは、妥当な価格でこの非常に高い故障検出率を実現することが非常に困難になっている。これは主に、スキャンパターンを自動テスト装置(ATE)に記憶するのに、相当量のテストデータ記憶容量を必要とすることが原因である。さらに、このテストデータ記憶容量の増大は、結果として、対応するテスト適用時間関連コストの増大をもたらしている。
この問題を解決するための従来の手法は、ATEにより多くのメモリを付加するか、それともスキャンデータパターンの一部を切り捨てるかのどちらかに焦点を当てるものである。これらの手法はこの問題を適切に解決することができない。というのは、前者の手法は、回路の故障検出率を損なわないようにするためにさらにテストコストを増大させ、後者は、テストコストを節約するために回路の故障検出率を犠牲にするからである。
この問題を解決する試みとして、いくつかの従来技術によるテスト容易化設計(DFT)技法が提案されている。これらの解決法は、外部からアクセス可能なスキャンチェーン数を増加させず、場合によっては、その数を減少させ、またはそれをなくすると同時にテストデータ量、したがって、テスト適用時間を削減するために、内部スキャンチェーン数を増加させることに焦点を当てるものである。これは、場合によってはパッケージのピン数を超えることもある内部スキャンチェーン数に対するパッケージ制限を取り除く。
そうしたDFT技法の一例が組み込み自己テスト(BIST)である。McAnneyに交付された米国特許第4,503,537号(1985)を参照されたい。BISTは、被テスト回路に疑似ランダムスキャンパターンのオンチップ生成および適用を実装し、それらのスキャンチェーンへのすべての外部アクセスを不要にし、したがって、使用可能な内部スキャンチェーン数に対する制限を取り除く。しかし、BISTはあまり高い故障検出率を保証するものではなく、しばしば、残存する検出困難な故障をカバーするためにスキャンATPG(自動テストパターン生成)と共に使用することが必要である。
テストデータを被テスト回路に送信する前にそれを圧縮するいくつかの異なる手法が提案されている。Koenemannら(1991)、Hellebrandら(1995)、Rajskiら(1998)、Jasら(2000)、Bayraktarogluら(2001)による共著の諸論文、Rajskiらに交付された米国特許第6,327,687号(2001)を参照されたい。これらの方法は、テストキューブ(すなわち、被テスト回路のスキャンチェーン内に記憶されたスキャンデータパターンの配置)が、しばしば、多数の不特定(ドントケア)の位置を含むという知見に基づくものである。そうしたテストキューブをより少ないビット数を用いて符号化し、後で、LFSR(線形フィードバックシフトレジスタ)に基づく圧縮解除方式を使用してそれらをオンチップで圧縮解除することが可能である。この方式は、スキャンATPGを使用してテストキューブが生成される都度、1組の線形方程式を解くことを必要とする。これらの線形方程式を解くことはテストキューブ内の不特定ビット数に依存するため、これらのLFSRベースの圧縮解除方式は、しばしば、圧縮の前にATPGパターンをいくつかの個別パターンに分解することなくしては、それを圧縮するのに困難をきたし、したがって、非常に高い故障検出率を保証するために過度に多くのスキャンパターンを付加せざるを得なくなることがある。
テストデータ量を削減する別のDFT技法は、ブロードキャストスキャンに基づくものである。Leeら(1999)、Hamzaogluら(1999)、およびPandeyら(2002)による共著の各論文を参照されたい。ブロードキャストスキャン方式は、ブロードキャストチャネルと呼ばれる複数のスキャンチェーンを単一のスキャン入力に直接接続するか、それともスキャンチェーンを様々な区画に分割し、単一のスキャン入力を介して同じパターンを各区画にシフトする。これらの方式では、各スキャン入力とそのそれぞれのブロードキャストチャネルとの間の接続は、合間にAND、OR、NAND、NOR、XOR、XNOR、MUX(マルチプレクサ)、NOT(インバータ)などのゲートを挟まずに、配線またはバッファのどちらかを使用してなされる。この方式を、実際上、追加のハードウェアオーバーヘッドなしで実装することは可能であるが、それは様々なスキャンチェーンデータビット間の非常に大きな相関を生じ、結果的に入力制約条件が厳しすぎてあまり高い故障検出率を実現できなくなる。
概要
したがって、テストデータ量およびテスト適用時間を最小限に抑えながらも非常に高い故障検出率を保証する改善された方法および装置の開発が求められている。本発明で提案する方法は、ブロードキャストスキャンに基づくものであり、したがって、スキャンATPG後に別個のステップとして線形方程式を解く必要はない。また、回路の故障検出率を向上させるためのブロードキャストスキャン再配列の手法も提案する。
したがって、本発明の主要な目的は、そうした改善された方法および装置を提供することである。本発明で提案する方法は、ブロードキャストスキャンに基づくものであるが、ATE(自動テスト装置)出力と被テスト回路のスキャンチェーン入力との間に配置されるブロードキャスタ回路を付加する。このブロードキャスタは、オンチップで埋め込むことも、ATEに組み込むように設計することもできる。この説明では、簡単にするために、このブロードキャスタが、それが物理的にどこに位置するかを特定せずに、ATEと被テスト集積回路の間に配置されるものと想定する。以下の説明は、ブロードキャスタが実際の実装形態のどこに組み込まれるかとは無関係に適用される。
本発明による方法は、被テスト集積回路設計のスキャンセル(メモリ素子)に適用されるブロードキャストスキャンパターンの生成に使用される。このプロセスには、ATEに記憶された仮想スキャンパターンを、ブロードキャスタを使用して、集積回路のパッケージスキャン入力ピンに適用されるブロードキャストスキャンパターンに変換することが関与する。このブロードキャスタは、仮想スキャンパターンを、それらに対応する、集積回路での縮退故障、遅延故障、ブリッジ故障など、様々な故障の有無をテストするのに使用されるブロードキャストスキャンパターンにマップする。被テスト集積回路は、それぞれが、ブロードキャストスキャンパターンを記憶する相互に結合された任意の数のスキャンセルからなる複数のスキャンチェーンを含む。
本発明の重要な一態様は、ブロードキャスタ回路の設計である。ブロードキャスタは、組合せ論理回路のネットワーク(組合せ論理ネットワーク)と同様の単純なものとすることもでき、おそらく、組合せ論理のネットワークに加えて仮想スキャン制御機構を含むこともできる。(詳細については、図面の詳細な説明の図4および図6を参照されたい。)仮想スキャン制御機構を付加すると、ブロードキャスタが実施するマッピングを、その制御機構の内部状態に応じて変えることが可能になる。また、ブロードキャスタは、プログラム可能論理アレイを使用して実装することもできる。この方式では、各ATE出力が、組合せ論理ネットワークを介してスキャンチェーン(またはスキャン区画)入力のサブセットに接続される。組合せ論理ネットワークの残りの入力は、使用可能な場合には、仮想スキャン制御機構出力に直接接続される。スキャンテスト時に、仮想スキャン制御機構には、まず、バウンダリスキャンまたはその他の外部手段を使用して所定値がロードされる。これを使用して、最初に、ブロードキャスタの機能をセットアップする。テストの後段で、仮想スキャン制御機構に異なる所定値をロードしてブロードキャスタの機能を変更することも可能であり、しばしば、それが望ましいこともあり、それを何回でも繰り返すことができる。これは、ブロードキャスタの出力が、様々な、またはすべての論理機能の組合せを実現することを可能にする。ブロードキャスタの機能は、仮想スキャン制御機構に記憶された値のプログラム可能な機能であるから、実現され得るマッピング数には限界がない。これによって従来のブロードキャストスキャンの厳しい入力制約条件が緩和され、より多くの、おそらくはすべてのテスト可能故障をテストするためのブロードキャストスキャンパターンを生成する能力が強化される。これが該当するのは、仮想スキャン制御機構に記憶された値がブロードキャストスキャンパターンの生成に課される入力制約条件を決定するからである。
組合せ論理ネットワークは、単純でオーバーヘッドが小さいため、ブロードキャスタに好ましい実装形態であるが、本発明で述べるブロードキャスタは、仮想スキャン制御機構および任意の組合せ論理ネットワークを含むことができる。仮想スキャン制御機構は、必要なときに、DフリップフロップやDラッチなどのその有限状態機械のすべてのメモリ素子に所定の値をロードし得る限りは、LFSR(線形フィードバックシフトレジスタ)など、任意の一般的な有限状態機械とすることができる。組合せ論理ネットワークは、AND、OR、NAND、NOR、XOR、MUX、NOTゲートまたはそれらの任意の組合せなど、1つまたは複数の論理ゲートを含み得る。この組合せ論理ネットワークは、従来のブロードキャストスキャンに比較すると、パターン検出困難故障など、さらなる故障をテストするブロードキャストスキャンパターンを生成する可能性を増大させる。
本発明の別の態様は、ブロードキャスタによって課される入力制約条件を満たすブロードキャストスキャンパターンの作成および生成である。組合せ論理ネットワークを使用してブロードキャスタを実装するとき、そのブロードキャスタによって課される入力制約条件は、いつでも、ATE出力に等しいかまたはその補数である所定の論理値を、そのスキャンセルのサブセットだけに受け取らせる。ブロードキャストチャネルにすべて0またはすべて1のパターンを適用させるだけの従来技術のブロードキャストスキャン方式とは異なり、本発明は、その時々でそれらのチャネルに様々な論理値の組合せを出現させる。そうしたテストパターンの生成に必要なのは、現在利用可能なATPGツールを改良してそれらの付加的入力制約条件を実装できるようにすることだけである。したがって、ブロードキャストスキャンパターンを生成するプロセスは、初期の1組の入力制約条件を使用してパターンを生成すること、および達成された検出率を分析することになる。達成された故障検出率が満足のいくものでなかった場合には、別の1組の入力制約条件が適用され、新規の1組のベクトルが生成される。このプロセスは、所定の限界基準が満たされるまで繰り返される。
非常に高い故障検出率を達成するのに必要とされる入力制約条件数を削減するために、本発明では、ATPGが行われる前にブロードキャストスキャンチェーン再配列ステップを関与させることができる。本発明の手法は、各コーン出力(スキャンセル入力)からすべてのコーン入力(スキャンセル出力)に遡って入力コーン分析を実施し、次いで、任意のシフトクロックサイクル中に単一のブロードキャストチャネル上に1つの制約スキャンセルだけが位置するように、最大検出手法を使用してすべてのコーン入力(スキャンセル出力)を再配列する。これらのブロードキャストスキャン順序制約条件は、1つのATE出力に関連付けられたブロードキャストチャネル間でのデータ依存性を、完全になくするのではないにしても、軽減する。これによって、ATPGツールが、異なる入力制約条件の組の使用を必要とせずに目標故障検出率を達成するブロードキャストスキャンパターンを生成できる可能性がより高まる。これは、まだ開発段階にある集積回路にのみ適用されるものであり、したがって、ブロードキャストスキャン再配列は、チップが仕上がる前に実施される必要があることに留意されたい。
このプロセスはATPGプロセスに若干のCPU時間を付加するが、ATPG後に複数組の線形方程式を解くことになるので、はるかに単純で、より計算の集中が少ない。このワンステップ「ブロードキャストATPG」プロセスは、LFSRベースの圧縮解除方式に比べて、ブロードキャストスキャンパターンの生成をより容易にする。さらに、単一のスキャンパターンを使用して最多の潜在故障を検出する目的で、組合せATPGの主要部分である最大動的圧縮を使用して、不特定の(ドントケア)位置と同じくらい多数の位置を埋めることも可能である。このことは、圧縮されたテストパターンを得るのに必要な線形方程式を解くことができるように不特定の(ドントケア)位置が要求されるLFSRベースの圧縮解除方式と際立った対照をなす。これが、1組のよりコンパクトなベクトルを生成できるようにするためにほとんど圧縮されない1組のATPGベクトルを用いて開始することを必要とするLFSRベースの圧縮解除方式での根本的な矛盾、欠陥である。このことが、同じ故障をテストする初期の1組のコンパクトATPGベクトルに比べて実現される実際の圧縮を低減させ、本発明で述べる仮想スキャン制御機構ベースのブロードキャストスキャン方法が、どんなLSFRベースの圧縮解除方式よりも多くの1スキャンテストパターン当たりの故障をカバーすることを可能にする。
本発明の上記その他の目的、利点および特徴は、以下の明細書および添付の図面と共に考察するとより明白になるであろう。
自動テスト装置(ATE)を使用してスキャンベースの集積回路をテストする従来のシステムを示す構成図である。 本発明による、ATEを使用してスキャンベースの集積回路をテストするブロードキャストスキャンテストシステムを示す構成図である。 純粋な配線だけを用いた従来技術のブロードキャスタ設計を示す図である。 本発明による、組合せ論理ネットワークおよび任意選択のスキャンコネクタからなるブロードキャスタを示す構成図である。 本発明による、組合せ論理ネットワークからなる図4に示すブロードキャスタの第1の実施形態を示す図である。 図5Aに示すブロードキャスタの実施形態によって課される入力制約条件を示す図である。 本発明による、組合せ論理ネットワークおよびスキャンコネクタからなる図4に示すブロードキャスタの第2の実施形態を示す図である。 図5Cに示すブロードキャスタの実施形態によって課される入力制約条件を示す図である。 本発明による、仮想スキャン制御機構、組合せ論理ネットワーク、および任意選択のスキャンコネクタからなるブロードキャスタを示す構成図である。 本発明による、図6に示すブロードキャスタの第1の実施形態を示す図である。 本発明による、図6に示すブロードキャスタの第2の実施形態を示す図である。 本発明による、図6に示すブロードキャスタの第3の実施形態を示す図である。 本発明による、図6に示すブロードキャスタの第4の実施形態を示す図である。 本発明による、図6に示すブロードキャスタの第5の実施形態を示す図である。 本発明による、図6に示すブロードキャスタの第6の実施形態を示す図である。 本発明による、マスクネットワーク、およびXORネットワークまたはマルチ入力シグネチャレジスタ(MISR)からなる圧縮器を示す構成図である。 本発明による、図13に示す圧縮器の第1の実施形態を示す図である。 本発明による、図13に示す圧縮器の第2の実施形態を示す図である。 本発明による、より多くの故障をテストするブロードキャストスキャンパターンを生成するためにスキャンセルを再配列し、またはスキャンチェーンの長さを変更する前の方法の一実施形態を示す図である。 本発明による、より多くの故障をテストするブロードキャストスキャンパターンを生成するためにスキャンセルを再配列した後の方法の一実施形態を示す図である。 本発明による、より多くの故障をテストするブロードキャストスキャンパターンを生成するためにスキャンチェーンの長さを変更した後の方法の一実施形態を示す図である。 本発明による、故障検出率向上のためにスキャンセルを再配列する方法を示す流れ図である。 本発明による、スキャンベースの集積回路をテストする際に使用されるブロードキャストスキャンパターンを生成する方法を示す流れ図である。 本発明による、スキャンベースの集積回路をテストするためにブロードキャスタと圧縮器を合成する方法を示す流れ図である。 本発明による、ブロードキャストスキャンテスト方法を実装し得るシステムの一例を示す図である。
発明の詳細な説明
以下の説明は、現在、本発明を実行する最良の形態であると考えられるものである。この説明は、限定的意味で理解すべきものではなく、単に、本発明の諸原理を記述するためになされるものにすぎない。本発明の範囲は、添付の特許請求の範囲を参照して決定すべきである。
図1に、ATEを使用してスキャンベースの集積回路(scan−based integrated circuit)をテストする従来のシステムの構成図を示す。システム101は、テスタまたは外部自動テスト装置(ATE)102、およびスキャンチェーン109を含む被テスト回路(CUT)107を備える。
ATE102は、外部スキャン入力ピン111、ならびに外部主入力ピン113から、スキャンモードで、スキャンチェーン109を介してCUT107に1組の完全に特定されたテストパターン103を1つずつ適用する。次いで、適用されたテストパターンを入力に使用してCUTが通常モードで作動され、そのテストパターンへの応答がスキャンチェーンに取り込まれる。次いでCUTは、再度スキャンモードに戻され、外部スキャン出力ピン112、ならびに外部主出力ピン114からスキャンチェーンを介して、ATEにテスト応答がシフトされる。次いで、シフトされたテスト応答104は、比較器105によって、対応する期待テスト応答106と比較されて、CUT内に故障が存在するかどうか判定され、その結果を合否信号115で指示する。
従来のシステム101では、CUT107中のスキャンチェーン109の数は、外部スキャン入力ピン111の数、または外部スキャン出力ピン112の数と同一である。1つの集積回路での外部ピンの数は限られているので、従来システムでのスキャンチェーンの数も限られる。その結果、多数のスキャンセル(SC)108を含む大規模集積回路は、普通、スキャンテスト用の非常に長いスキャンチェーンを含む。これによって、容認しがたいほど大量のテストデータおよび高くつくテスト適用時間がもたらされることになる。
図2に、本発明による、ATEを使用してスキャンベースの集積回路をテストするブロードキャストスキャンテストシステムの構成図を示す。システム201は、ATE202、およびブロードキャスタ208、CUT209、圧縮器213を含む回路207を備える。CUTはスキャンチェーン211を含む。
ブロードキャスタ208は、図4に示すように組合せ論理ネットワークだけを含むことも、図6に示すように組合せ論理ネットワークの他に仮想スキャン制御機構を含むこともできる。このブロードキャスタを使用して仮想スキャンパターン203をブロードキャストスキャンパターンにマップする。その場合、仮想スキャンパターンのビット数は、普通、ブロードキャストスキャンパターンのビット数よりも小さい。ブロードキャスタのマッピング機能は、それが組合せ論理ネットワークだけを含む場合には、固定である。しかし、このマッピング機能は、それが仮想スキャン制御機構も含む場合には、可変である。この場合には、仮想スキャン制御機構の出力値は、組合せ論理ネットワークが実現するマッピング機能を変更し、したがって、外部スキャン入力ピン215から内部スキャンチェーン入力219に様々なマッピング関係を実行することができる。圧縮器213は、内部スキャンチェーン出力220を外部スキャン出力ピン216にマップするように設計された、XORネットワークなどの組合せ論理ネットワークである。実際には、外部スキャン入力または出力ピンの数は、内部スキャンチェーン入力または出力の数より小さいことに留意されたい。
素子213は、任意選択のスペース圧縮器およびマルチ入力シグネチャレジスタ(MISR)で置き換え得ることに留意されたい。この場合には、すべてのテスト応答が単一のシグネチャに圧縮され、それを、すべてのブロードキャストスキャンパターンが適用された後に、回路207またはATE202中のどちらかで、基準シグネチャと比較することができる。
また、圧縮器213は、普通、いくつかの出力ストリームがXOR圧縮ネットワークまたはMISRに入り込むのを阻止するために使用されるマスクネットワークを含む。これは故障診断の際に役立つ。
図3に、純粋に配線だけを用いた従来技術のブロードキャスタ設計を示す。この例のブロードキャスタ設計301は、2つのブロードキャストスキャン入力314、315を持つ。ブロードキャストスキャン入力314は、スキャンチェーン303から307に直接接続され、ブロードキャスタ入力315は、スキャンチェーン308から312に直接接続される。この純粋に配線だけのブロードキャスト設計のオーバーヘッドは非常に小さいが、同じブロードキャストスキャン入力によって供給されるスキャンチェーン間でのテストパターン依存性は非常に高い。自動テストパターン生成(ATPG)の観点から見ると、この純粋に配線だけのブロードキャスト設計は、スキャンチェーンへの入力に厳しい制約条件を課す。その結果、この方式は、普通、深刻な故障検出率の低下を被ることになる。
図4に、本発明による、組合せ論理ネットワークおよび任意選択のスキャンコネクタからなるブロードキャスタの構成図を示す。ブロードキャスタ401のブロードキャストスキャン入力407を介して組合せ論理ネットワーク402に仮想スキャンパターンが適用される。組合せ論理ネットワークは固定マッピング機能を実装し、それが仮想スキャンパターンをブロードキャストスキャンパターンに変換する。次いで、任意選択のスキャンコネクタ403を介して、ブロードキャストスキャンパターンがCUT404中のすべてのスキャンチェーン409に適用される。
ブロードキャスタ401は、少数の外部ブロードキャストスキャン入力ピン407を介して、多数の内部スキャンチェーン406にテストパターンを提供するという目的を果たす。その結果、CUT404中のすべてのスキャンセルSC405を、多数のより短いスキャンチェーンに構成することができる。これは、テストデータカラムおよびテスト適用時間の削減に役立つ。組合せ論理ネットワーク402を適正に設計することによって、スキャンチェーンの入力ピンに課される付加的制約条件によって生じる故障検出率の低下を軽減することができる。
図5Aに、本発明による、組合せ論理ネットワークからなる図4に示すブロードキャスタの第1の実施形態を示す。この例では、3ビットの仮想スキャンパターンが、ブロードキャスタ501によって8ビットのブロードキャストスキャンパターンに変換される。
ブロードキャスタ501は、2つのインバータ503、507、1つのXORゲート504、1つのORゲート505、および1つのNORゲート506を含む組合せ論理ネットワーク502からなる。仮想スキャンパターンは、ブロードキャストスキャン入力、X2(518)からX0(520)を介して適用される。組合せ論理ネットワークは固定マッピング機能を実装し、それが仮想スキャンパターンをブロードキャストスキャンパターンに変換する。次いで、CUT508中のY7(521)からY0(528)を介してすべてのスキャンチェーン510から517にそのブロードキャストスキャンパターンが適用される。
図5Bに、図5Aに示すブロードキャスタの一実施形態によって課された入力制約条件を示す。
図5Aのブロードキャスタ501は、3つのブロードキャストスキャン入力、X2(518)からX0(520)を持つ。したがって、表531中の<X2、X1、X0>の下に記載されるように、これらのブロードキャストスキャン入力には8通りの入力組合せがある。これらが、図5Aの組合せ論理ネットワーク502へのすべての可能な入力値組合せである。したがって、この組合せ論理ネットワークの出力としては、表531中で<Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0>の下に記載されるように、8通りの値の組合せがある。これらが、図5Aのスキャンチェーン510から517の入力において現れ得るすべての可能な論理値の組合せであり、ATPGのプロセスでの入力制約条件である。
図5Cに、本発明による、組合せ論理ネットワークおよびスキャンコネクタからなる図4に示すブロードキャスタの第2の実施形態を示す。この例では、3ビットの仮想スキャンパターンがブロードキャスタ561によって8ビットのブロードキャストスキャンパターンに変換される。
ブロードキャスタ561は組合せ論理ネットワーク562およびスキャンコネクタ566からなる。この組合せ論理ネットワークは1つのインバータ565、1つのXORゲート563、および1つのORゲート564を含む。仮想スキャンパターンはブロードキャストスキャン入力、X2(581)からX0(583)を介して適用される。組合せ論理ネットワークは固定マッピング機能を実装し、それが仮想スキャンパターンをブロードキャストスキャンパターンに変換する。次いで、ブロードキャストスキャンパターンが、スキャンコネクタ566を介してすべてのスキャンチェーン573から580に適用される。スキャンコネクタは、1つのバッファ567、1つのインバータ570、1つのロックアップ素子LE569、および1つのスペアセルSC568からなる。一般に、スキャンコネクタ中のバッファ、インバータ、またはロックアップ素子を使用して、2つのスキャンチェーンを1つに接続することができる。また、様々なスキャンチェーン間での依存性を軽減させるために、既存のスキャンチェーンにスペアセルを付加してその長さを変更することもできる。これは故障検出率を向上させるのに役立つ。
図5Dに、図5Cに示すブロードキャスタの実施形態によって課された入力制約条件を示す。
図5Cのブロードキャスタ561は、3つのブロードキャストスキャン入力X2(581)からX0(583)を持つ。したがって、表591の<X2、X1、X0>の下に記載されるように、これらのブロードキャストスキャン入力には8通りの入力制約条件がある。これらが、図5Cの組合せ論理ネットワーク562へのすべての可能な入力値組合せである。したがって、この組合せ論理ネットワークの出力としては、表591の<Y4、Y3、Y2、Y1、Y0>の下に記載されるように8通りの値の組合せがある。これらが、ATPGのプロセスでの入力制約条件である。
図6に、本発明による、仮想スキャン制御機構、組合せ論理ネットワーク、および任意選択のスキャンコネクタからなるブロードキャスタの構成図を示す。
ブロードキャスタ601は、仮想スキャン制御機構602、組合せ論理ネットワーク603、および任意選択のスキャンコネクタ604からなる。仮想スキャンパターンは、2種類の入力ピン、ブロードキャストスキャン入力608と仮想スキャン入力609を介して適用される。ブロードキャストスキャン入力は組合せ論理ネットワークに直接接続され、仮想スキャン入力は仮想スキャン制御機構に直接接続される。また、仮想スキャン制御機構は、任意選択の仮想スキャン出力613を持つこともできる。
仮想スキャン制御機構602は、復号器などの組合せ回路とすることも、シフトレジスタなどの順次回路とすることもできることに留意されたい。仮想スキャン入力609を介して適用される論理値は各クロックサイクルごとに変化してもしなくてもよいが、ブロードキャストスキャン入力608を介して適用される論理値は各クロックサイクルごとに変化する。仮想スキャン入力値を適用する目的は、仮想スキャン制御機構で適正なセットアップ値の組合せを変更し、記憶することである。このセットアップ値の組合せが610を介して組合せ論理ネットワーク603に適用されて、組合せ論理ネットワークが実装するマッピング機能を変更する。1つのマッピング機能はATPGでの1組の入力制約条件に対応するので、マッピング機能を変更できるようにすれば、ATPGでのより柔軟な入力制約条件がもたらされる。その結果、ブロードキャスト方式に起因する故障検出率低下を実質的に軽減することができる。
一般に、ブロードキャスタ601は、テスト時に2つの目的を果たす。1つの目的は、少数の外部ブロードキャストスキャン入力ピン608および仮想スキャン入力ピン609を介して、多数の内部スキャンチェーン607にテストパターンを提供することである。その結果、回路中のすべてのスキャンセルSC606を多数のより短いスキャンチェーンに構成することができる。これは、テストデータ量およびテスト適用時間を削減するのに役立つ。別の目的は、より高い故障検出率を得るために、組合せ論理ネットワーク603からすべてのスキャンチェーンに適用されるブロードキャストスキャンパターンの品質を向上させることである。これは、仮想スキャン制御機構にロードされる値を変更することによって達成される。この柔軟性によって、組合せ論理ネットワークは、固定された1つではなく、様々なマッピング機能を実現することができる。
図7に、本発明による、図6に示すブロードキャスタの第1の実施形態を示す。ブロードキャスタ701は、仮想スキャン制御機構702と組合せ論理ネットワーク705とからなる。仮想スキャン制御機構は、2つのインバータ703、704からなる。組合せ論理ネットワークは、XORゲート706から713で構成される。この例では、4ビットの仮想スキャンパターンがブロードキャスタによって8ビットのブロードキャストスキャンパターンに変換される。
明らかに、仮想スキャン制御機構702の出力730、731は、相補的値を持つはずである。また、仮想スキャン制御機構の出力732および733も相補的値を持つはずである。2つのブロードキャストスキャン入力728、729に適用される値がそれぞれV1、V2であるものとする。この場合、スキャンチェーン入力734から743において現れる値は、それぞれ、P1、〜P1、P2、〜P2、V1、V2、P3、〜P3、P4、〜P4となるはずである。ここで、P1と〜P1は相補的であり、P2と〜P2は相補的であり、P3と〜P3は相補的であり、P4と〜P4は相補的である。さらに、P1およびP2はV1と同じまたはV1の補数であり、P3およびP4は、V1と同じまたはV2の補数である。これがATPGでの入力制約条件である。
図8に、本発明による、図6に示すブロードキャスタの第2の実施形態を示す。ブロードキャスタ801は、仮想スキャン制御機構802と組合せ論理ネットワーク804からなる。仮想スキャン制御機構は2対4復号器803からなる。組合せ論理ネットワークは、8つのXORゲート805から812からなる。この例では、4ビットの仮想スキャンパターンが、ブロードキャスタによって8ビットのブロードキャストスキャンパターンに変換される。
明らかに、2対4復号器803の出力829から832には4通りの可能な論理値の組合せがある。それらは、出力829から832で、それぞれ、1000、0100、0010、0001である。2対4復号器の出力値組合せが1000であるものとする。また、2つのブロードキャストスキャン入力827、828に適用される論理値が、それぞれ、V1、V2であるものとする。この場合、スキャンチェーン入力833から842において現れる値は、それぞれ、〜V1、V1、V1、V1、V1、V2、〜V2、V2、V2、V2である。ここで、V1と〜V1は相補的であり、V2と〜V2も相補的である。これがATPGでの入力制約条件である。明らかに、仮想スキャン入力825、826の値を変更することによって、ATPGでの異なる入力制約条件の組を取得することができる。これは、故障検出率を向上させるのに役立つ。
図9に、本発明による、図6に示すブロードキャスタの第3の実施形態を示す。
ブロードキャスタ901は、仮想スキャン制御機構902と組合せ論理ネットワーク911からなる。仮想スキャン制御機構は、メモリ素子903から910を備える8段シフトレジスタからなる。1つの仮想スキャン入力932があり、それがこのシフトレジスタへの入力である。1つの任意選択の仮想スキャン出力935があり、それがこのシフトレジスタの出力である。任意選択で、仮想スキャン入力および仮想スキャン出力を、それぞれ、バウンダリスキャン設計でのTDIおよびTDOに接続することもできる。組合せ論理ネットワークは、8つのXORゲート912から919からなる。2つのブロードキャストスキャン入力933、934がある。入力933を介して適用されるテストパターンは、スキャンチェーン922から926にブロードキャストされ、入力934を介して適用されるテストパターンは、スキャンチェーン927から931にブロードキャストされる。
スキャンチェーン926、927は、それぞれ、ブロードキャストスキャン入力933、934から直接ロードされ、スキャンチェーン922から925、ならびにスキャンチェーン928から931は、それぞれ、XORゲート912から915および916から919を介してロードされる。メモリ素子903の値が論理0である場合には、スキャンチェーン922は、ブロードキャストスキャン入力933から適用された値と同一の値を取得する。メモリ素子903の値が論理1である場合には、スキャンチェーン922はブロードキャストスキャン入力933から適用された値の補数値を取得する。同じことがスキャンチェーン923から925、および928から931にも当てはまる。これは、仮想スキャン制御機構902中のシフトレジスタに1組の適正に決定された値を適用することによって、任意のシフトサイクルに、1024通りの論理値の組合せのうちのいずれかをスキャンチェーン922から931に適用することができることを意味する。その結果、1組の適正に決定された論理値をシフトレジスタにロードし、入力933および934を介してブロードキャストスキャンパターンを適用することによって、CUT920中のどんな検出可能な故障も検出することができる。
CUT920をテストするためにすべてのスキャンチェーンを駆動するブロードキャストスキャンパターンを生成しようとするATPGの観点から見ると、仮想スキャン制御機構902のシフトレジスタ中のメモリ素子の値によって決まるブロードキャスタ構成は、1つの入力制約条件を表す。メモリ素子903から910での値が、それぞれ、0、1、0、1、0、1、0、1であるものとする。この場合、このCUTでのATPGは、任意のシフトサイクルにおいて、スキャンチェーン922、924、926が同一の値Vを持ち、スキャンチェーン923、925がVの補数である同一の値〜Vを持ち、スキャンチェーン927、928、930が同一の値Pを持ち、スキャンチェーン929、931がPの補数である同一の値〜Pを持つという入力制約条件を満たす必要がある。
図10に、本発明による、図6に示すブロードキャスタの第4の実施形態を示す。
ブロードキャスタ1001は、仮想スキャン制御機構1002と組合せ論理ネットワーク1006からなる。仮想スキャン制御機構は、メモリ素子1003から1005を備える3段シフトレジスタからなる。1つの仮想スキャン入力1023があり、それがこのシフトレジスタへの入力である。1つの任意選択の仮想スキャン出力1026があり、それがこのシフトレジスタの出力である。任意選択で、仮想スキャン入力および仮想スキャン出力を、それぞれ、バウンダリスキャン設計でのTDIおよびTDOに接続することもできる。組合せ論理ネットワークは4つのXORゲート1007から1010で構成される。2つのブロードキャストスキャン入力1024、1025がある。入力1024を介して適用されるテストパターンはスキャンチェーン1013から1017にブロードキャストされ、入力1025を介して適用されるテストパターンは、スキャンチェーン1018から1022にブロードキャストされる。
図9のブロードキャスタ901と図10のブロードキャスタ1001との大きな違いは、ブロードキャスタ1001では、テストパターンが一部のスキャンチェーンに、XORゲートを介さずに直接ブロードキャストされることである。スキャンチェーン1013、1015、1017は、ブロードキャストスキャン入力1024から直接駆動される。これは、任意のシフトサイクルにおいて、スキャンチェーン1013、1015、1017が同一の値を持つことを意味する。また、スキャンチェーン1018、1020、1022は、ブロードキャストスキャン入力1025から直接駆動される。これは、任意のシフトサイクルにおいて、スキャンチェーン1018、1020、1022が同一の値を持つことを意味する。その結果、仮想スキャン制御機構1002中のシフトレジスタに1組の適正に決定された値を適用することによって、任意のシフトサイクルにおいて、スキャンチェーン1013から1022に、64通りの論理値の組合せのうちのいずれかを適用できるにすぎない。すなわち、ブロードキャスタ1001は、スキャンチェーンへの入力におけるより厳しい制約条件を犠牲にする代わりに、必要なハードウェアオーバーヘッドがより小さくて済む。
図11に、本発明による、図6に示すブロードキャスタの第5の実施形態を示す。
ブロードキャスタ1101は、仮想スキャン制御機構1102と組合せ論理ネットワーク1106からなる。仮想スキャン制御機構は、メモリ素子1103から1105を含む3段シフトレジスタからなる。1つの仮想スキャン入力1127があり、それがこのシフトレジスタへの入力である。1つの任意選択の仮想スキャン出力1130があり、それがこのシフトレジスタの出力である。任意選択で、仮想スキャン入力および仮想スキャン出力を、それぞれ、バウンダリスキャン設計でのTDIおよびTDOに接続することもできる。組合せ論理ネットワークは、4つのXORゲート(1108、1109、1112、1114)、2つのインバータ(1107、1113)、1つのANDゲート(1110)、および1つのORゲート(1111)で構成される。2つのブロードキャストスキャン入力1128、1129がある。入力1128を介して適用されるテストパターンは、スキャンチェーン1117から1121にブロードキャストされ、入力1129を介して適用されるテストパターンは、スキャンチェーン1122から1126にブロードキャストされる。
ブロードキャスタ1101は、ブロードキャストスキャン入力1128、1129からスキャンチェーン1117から1126の入力へのより複雑なブロードキャストマッピング関係を実現する。マッピング関係の一般的な形は、スキャンチェーン1117から1126の入力にそれぞれ対応する<VB、VC、V、VC、V*P、V+P、PC1、PB、PC2、P>で表すことができる。ここで、VおよびPは、それぞれ、任意のシフトサイクルでブロードキャストスキャン入力1128、1129から適用された2つの論理値である。VBおよびPBは、それぞれ、VおよびPの補数である。VCは、メモリ素子1103の出力値が論理0または1である場合には、それぞれ、VまたはVBに等しい。PC1は、メモリ素子1104の出力値が論理0または1である場合には、それぞれPまたはPBに等しい。PC2は、メモリ素子1105の出力値が論理0または論理1である場合には、それぞれ、PまたはPBに等しい。明らかに、このブロードキャストマッピング関係は、仮想スキャン制御機構1102中のシフトレジスタに様々な論理値の組をロードすることを介してVC、PC1、PC2を変更することによって変更することができる。その結果、より高い故障検出率が達成できるように、CUT1115により相互依存性の低いテスト刺激を適用することができる。
CUT1115をテストするためにすべてのスキャンチェーン1117から1126を駆動するブロードキャストスキャンパターンを生成しようとするATPGの観点から見ると、仮想スキャン制御機構1102のシフトレジスタ中のメモリ素子の値によって決まるブロードキャスト構成は、その一般的な形が<VB、VC、V、VC、V&P、V+P、PC1、PB、PC2、P>である入力制約条件を表す。この制約されたATPGが実施できるのは、元の順次CUT1115が、それらのメモリ素子の値が決定された後の制約を反映する組合せ回路モデルに変換された場合である。
図12に、本発明による、図6に示すブロードキャスタの第6の実施形態を示す。
ブロードキャスタ1201は、仮想スキャン制御機構1202、組合せ論理ネットワーク1203、およびスキャンコネクタ1207からなる。組合せ論理ネットワークは、1つのORゲート1205の他に、2つのインバータ1204、1206を含む。仮想スキャンパターンは、ブロードキャストスキャン入力1226、1227、および仮想スキャン入力TDI1224を介して適用される。仮想スキャン制御機構からの1つの出力X2(1229)が組合せ論理ネットワークに加えられ、それが様々なマッピング機能を実装できるようにする。次いで、組合せ論理ネットワークからの出力値1232から1236が、スキャンコネクタ1207を介してすべてのスキャンチェーン1215から1223に適用される。スキャンコネクタは、1つのバッファ1209、1つのインバータ1212、1つのロックアップ素子LE1211、1つのスペアセルSC1210および1つのマルチプレクサ1208からなる。一般に、スキャンコネクタ中のバッファ、インバータ、またはロックアップ素子を使用して2つのスキャンチェーンを1つに接続することができる。また、既存のスキャンチェーンにスペアセルを付加して様々なスキャンチェーン間の依存性を低減することもできる。これは故障検出率の向上に役立つ。さらに、マルチプレクサを使用して1つのスキャンチェーンを2つの部分に分割することもできる。図12に示すように、マルチプレクサ1208の選択信号1228が論理1である場合には、スキャンチェーン1215および1216は異なる入力値ストリームを取得する。しかし、マルチプレクサ1208の選択信号1228が論理0である場合には、スキャンチェーン1215および1216は、1つのスキャンチェーンであるとみなすことができ、それらを1つの入力値ストリームだけが通過する。明らかに、スキャンコネクタを使用してCUT中のスキャンチェーンの長さを調節し、それによってテスト時間を短縮し、または故障検出率を向上させることができる。
図13に、本発明による、マスクネットワーク、およびXORネットワークまたはMISRからなる圧縮器の構成図を示す。
CUTの入力1307上で適用されたブロードキャストスキャンパターンに対応するCUTの出力1308上のテスト応答は、マスクネットワーク1305、およびXORネットワークまたはMISR1306からなる圧縮器1304を通過する。MC1311はマスクネットワークを制御するのに使用される信号である。これはATEから加えることも、仮想スキャン制御機構によって生成することもできる。マスクネットワークは、XORネットワークまたはMISRへの一部の入力をマスキングするのに使用される。これは故障診断の際に有用である。XORネットワークは、スペース圧縮の実施、すなわち回路から出ていくテスト応答ライン数を削減するのに使用される。他方、MISRは、時間領域と空間領域の両方でテスト応答を圧縮するのに使用することができる。すなわち、MISRを使用すると、テスト結果をサイクルごとにチェックする必要がない。そうではなく、テストセッション全体の終りに得られるシグネチャを比較するだけでよい。しかし、(Xの)未知の値はMISRに入ることができないことに留意すべきである。これは、より厳密な設計規則に従う必要があることを意味する。
図14に、本発明による、図13に示す圧縮器の第1の実施形態を示す。
出力1441から1448上のテスト応答は、マスクネットワーク1412、次いで、XORネットワーク1422を通過する。マスクネットワークは、1414から1417と1418から1421の2つのANDゲートグループからなり、各グループは、変更2対4復号器1413によって生成された4つの出力によって制御される。モード信号1449が論理1である診断モードでは、この復号器はMC1(1429)およびMC2(1430)上の論理値を、1000、0100、0010、0001の組合せのうちの1つにマップする。これらの論理組合せのうちのどれを用いても、ANDゲートグループのどちらも、1つのテスト応答ストリームだけを1431または1432に通過させることが明らかである。明白に、これは故障検出率の際に役立つ。モード信号1449が論理0であるテストモードでは、この復号器は、すべて1の論理組合せを生成する。これは、すべてのテスト応答ストリームを1431または1432に通過させる。XORネットワーク1422は、それぞれ、XORゲート1423から1425と1426から1428とで構成される、2つの4対1XORサブネットワークグループからなる。
図15に、本発明による、図13に示す圧縮器の第2の実施形態を示す。
出力1540から1547上のテスト応答は、マスクネットワーク1512、次いで、MISR1525を通過する。マスクネットワークは、2つのANDゲートグループ、1517から1520および1521から1524からなり、各グループは、メモリ素子1513から1516で構成されるシフトレジスタの4つの出力によって制御される。診断モードでは、このシフトレジスタにTDI1526から、1000、0100、0010、0001の組合せのうちの1つをロードすることができる。これらの論理組合せのいずれを用いても、ANDゲートグループのどちらも1つのテスト応答ストリームだけをMISRに通過させることが明らかである。明白に、これは故障診断の際に役立つ。テストモードでは、すべて1の論理組合せがシフトレジスタにロードされる。これは、すべてのテスト応答ストリームをMISRに通過させる。1テストセッションの終りのMISRの内容を、期待されるシグネチャと比較するために、TDO1529からシフトさせることができる。
図16Aに、本発明による、より多くの故障をテストするブロードキャストスキャンパターンを生成するためにスキャンセルを再配列し、またはスキャンチェーンの長さを変更する前の方法の一実施形態を示す。ブロードキャスタ1601は、1つのブロードキャストスキャン入力1614を持ち、それが3つのスキャンチェーン1606、1608、1611に論理値をブロードキャストする。
仮想スキャン制御機構1602中のシフトレジスタに適正にロードすることによって、論理値は、XORゲート1604を介して、スキャンチェーン1611に適用されるので、任意のシフトサイクルに、スキャンチェーン1606および1608を介して適用されるものと異なり得るどんな論理値を適用することも可能である。しかし、スキャンチェーン1606および1608には、どのシフトサイクルにも同じ論理値がロードされる。その結果、スキャンセルA3(1607)およびB3(1610)はどのブロードキャストテストパターンでも同じ論理値を持つことになる。スキャンセルA3(1607)およびB3(1610)からの出力は同じ組合せ論理ブロック1612に接続されるので、この強いテストパターン依存性が原因で、この組合せ論理ブロック中の一部の故障を検出できない可能性がある。例えば、この組合せ論理ブロック中の一部の故障を検出するために、スキャンセルA3(1607)の出力としての論理0とスキャンセルB3(1610)の出力としての論理1を持つ必要があることも考えられる。明らかに、これらの故障は検出されない。
図16Bに、本発明による、より多くの故障をテストするブロードキャストスキャンパターンを生成するためにスキャンセルを再配列した後の方法の一実施形態を示す。ブロードキャスタ1601は1つのブロードキャストスキャン入力1614を持ち、それが3つのスキャンチェーン1606、1608、1611に論理値をブロードキャストする。
図16Aと図16Bとの唯一の違いは、スキャンチェーン1608において、スキャンセルB2(1609)とB3(1610)の順序が変更されることである。この場合、スキャンセルA3(1607)とB2(1609)の出力はどのシフトサイクルでも同じ論理値を持つが、スキャンセルA3(1607)とB3(1610)の出力は異なる論理値を持ち得る。結果としてこれは、図16Aに示すスキャン順序では検出され得ない一部の故障を検出することを可能にする。
図16Cに、本発明による、より多くの故障をテストするブロードキャストスキャンパターンを生成するためにスキャンチェーンの長さを変更した後の方法の一実施形態を示す。ブロードキャスタ1601は1つのブロードキャストスキャン入力1614を持ち、それが3つのスキャンチェーン1606、1608、1611に論理値をブロードキャストする。
図16Aと図16Cとの唯一の違いは、1つのスペアスキャンセルB0(1617)がマルチプレクサ1618を介してスキャンチェーン1608に付加されることである。選択信号1619が論理1である場合には、このスペアスキャンセルがスキャンチェーン1608に付加されることが明らかである。その結果、スキャンセルA3(1607)とB2(1609)の出力はどのシフトサイクルでも同じ論理値を持つが、スキャンセルA3(1607)とB3(1610)の出力は異なる論理値を持ち得る。結果としてこれは、図16Aに示すスキャン順序では検出され得ない一部の故障を検出することを可能にする。
図17に、本発明による、故障検出率向上のためにスキャンセルを再配列する方法の流れ図を示す。この方法1700は、ユーザ供給のHDLコード1701を選択されたファウンドリライブラリ1702と共に受け入れる。HDLコードは、図2に示すようなブロードキャスタ、フルスキャンCUT、および圧縮器で構成される順次回路を表す。次いで、HDLコードおよびライブラリを内部順次回路モデル1704にコンパイルし、次いでそれを組合せ回路モデル1706に変換する。次いで、元のスキャン順序情報1709およびスキャン順序制約条件1710に基づき、入力コーン分析1707を実施して、その順序を変更する必要のあるスキャンセルを識別する。次いで、スキャンチェーン再配列1708を実施する。その後、HDLテストベンチおよびテスタプログラム1711を生成すると同時に、すべてのレポートおよびエラーをレポートファイル1712に保存する。
図18に、本発明による、スキャンベースの集積回路をテストする際に使用されるブロードキャストスキャンパターンを生成する方法の流れ図を示す。この方法1800は、ユーザ供給のHDLコード1801を選択されたファウンダリライブラリ1802と共に受け入れる。HDLコードは、図2に示すようなブロードキャスタ、フルスキャンCUT、および圧縮器で構成される順次回路を表す。次いで、HDLコードおよびライブラリを内部順次回路モデル1804にコンパイルし、次いでそれを組合せ回路モデル1806に変換する。次いで、必要とされる場合には、入力制約条件1810に基づいていくつかのランダムパターンについて組合せ故障シミュレーション1807を実施し、検出されたすべての故障を故障リストから除去する。その後、仮想スキャンパターンを生成するために組合せATPG1808を実施し、検出されたすべての故障を故障リストから除去する。事前に選択された故障検出率目標など、所定の限界基準が満たされた場合には、HDLテストベンチおよびATEテストプログラム1811を生成すると同時に、すべてのレポートおよびエラーをレポートファイル1812に保存する。所定の限界基準が満たされなかった場合には、新規の入力制約条件1810を使用する。例えば、仮想スキャン制御機構に1組の新規の値をロードして新規の入力制約条件を指定することができる。その後、任意選択のランダムパターン故障シミュレーション1807およびATPG1808を実施する。この反復を所定の限界基準が満たされるまで続行する。
図19に、本発明による、スキャンベースの集積回路をテストするためにブロードキャスタおよび圧縮器を合成する方法の流れ図を示す。この方法1900は、ユーザ供給のHDLコード1901を選択されたファウンダリライブラリ1902と共に受け入れる。HDLコードは、図2に示すようなブロードキャスタ、フルスキャンCUT、および圧縮器で構成される順次回路を表す。次いで、HDLコードおよびライブラリを内部順次回路モデル1904にコンパイルする。次いで、ブロードキャスタ合成1905および圧縮器合成1906を、それぞれ、ブロードキャスタ制約条件1908および圧縮器制約条件1909に基づいて実施する。その後、ブロードキャスタと圧縮器を元の回路に統合するために、スティッチング制約条件1910に基づいてスティッチング1907を実施する。最後に、合成HDLコード1911を生成すると同時に、すべてのレポートおよびエラーをレポートファイル1912に保存する。
図20に、本発明によるブロードキャストスキャンテスト方法を実装し得るシステムの一例を示す。システム2000はプロセッサ2002を含み、それがメモリ2001と共に動作して1組のブロードキャストスキャンテスト設計ソフトウェアを実行する。プロセッサ2002は、パーソナルコンピュータ、ワークステーション、メインフレームコンピュータまたはその他適当なディジタル処理装置の中央処理装置を表し得る。メモリ2001は、電子メモリ、磁気または光ディスクベースのメモリ、あるいはそれらの様々な組合せとすることができる。設計者は、プロセッサ2002によって実行されるブロードキャストスキャンテスト設計ソフトウェアと対話して、キーボード、ディスクドライブまたはその他適当な設計情報供給源とすることのできる入力装置2003を介して適当な入力を提供する。プロセッサ2002は、ディスプレイ、印刷装置、ディスクドライブまたはこれらとその他の要素の様々な組合せとすることのできる出力装置2004を介して設計者に出力を提供する。
以上、本発明の現在の好ましい実施形態を説明したので、本発明の目的が完全に達成されていることが理解できる。また、本発明の精神および範囲を逸脱することなく、本発明の構造および回路構成での多くの変更、および多種多様な実施形態および適用例が想起されることを当分野の技術者は理解するであろう。本明細書での開示および説明は例示のためのものであり、より好ましくは添付の特許請求の範囲によってその範囲を定義される本発明を、いかなる意味でも限定するものではない。

Claims (108)

  1. ブロードキャストスキャン入力を備え、スキャンベースの集積回路をテストするブロードキャストスキャンパターンを生成するために前記ブロードキャストスキャン入力を介して仮想スキャンパターンを受け入れるブロードキャスタであって、前記スキャンベースの集積回路が複数のスキャンチェーンを含み、各スキャンチェーンが直列に結合された複数のスキャンセルを含み、
    a)ANDゲート、ORゲート、NANDゲート、NORゲート、XORゲート、XNORゲート、マルチプレクサ、バッファ、インバータ、またはそれらの任意の組合せを含めて、1つまたは複数の論理ゲートを含む組合せ論理ネットワーク
    を含むブロードキャスタ。
  2. さらに、第1のスキャンコネクタを使用して2つの選択されたスキャンチェーンを1つの長いスキャンチェーンに併合するブロードキャスタであって、前記第1のスキャンコネクタが、バッファ、インバータ、またはインバータおよびDフリップフロップやDラッチなどのメモリ素子を含むロックアップ素子である請求項1に記載のブロードキャスタ。
  3. さらに、選択されたスキャンチェーンに第2のスキャンコネクタを挿入して前記選択されたスキャンチェーンの他の選択されたスキャンチェーンとの相互依存性を低減または除去するブロードキャスタであって、前記第2のスキャンコネクタが1つまたは複数のスペアスキャンセルをさらに含む請求項1に記載のブロードキャスタ。
  4. さらに、前記仮想スキャンパターンが前記ブロードキャスタの前記ブロードキャストスキャン入力に送られ、前記ブロードキャスタによって生成された前記ブロードキャストスキャンパターンが前記スキャンベースの集積回路中の前記スキャンチェーンの選択されたスキャンデータ入力に送られる請求項1に記載のブロードキャスタ。
  5. さらに、前記仮想スキャンパターンがATE(自動テスト装置)に記憶され、前記仮想スキャンパターンが前記ブロードキャスタに送られ、前記ブロードキャスタによって生成された前記ブロードキャストスキャンパターンが、前記スキャンベースの集積回路内の、縮退故障、タイミング故障、パス遅延故障、IDDQ(IDD静止電流)故障、およびブリッジ故障を含む製造故障をテストするために前記スキャンベースの集積回路に送られる請求項1に記載のブロードキャスタ。
  6. さらに、選択的に、前記スキャンベースの集積回路の内部または外部に配置される請求項1に記載のブロードキャスタ。
  7. ブロードキャストスキャン入力を備え、スキャンベースの集積回路をテストするブロードキャストスキャンパターンを生成するために、前記ブロードキャストスキャン入力および仮想スキャン入力を介して仮想スキャンパターンを受け入れるブロードキャスタであって、前記スキャンベースの集積回路が複数のスキャンチェーンを含み、各スキャンチェーンが直列に結合された複数のスキャンセルを含み、
    a)各シフトサイクル中または各テストセッション間に前記ブロードキャスタの動作を制御する仮想スキャン制御機構と、
    b)ANDゲート、ORゲート、NANDゲート、NORゲート、XORゲート、XNORゲート、マルチプレクサ、バッファ、インバータ、またはそれらの任意の組合せを含めて、1つまたは複数の論理ゲートを含む組合せ論理ネットワークと
    を含むブロードキャスタ。
  8. さらに、第1のスキャンコネクタを使用して選択された2つのスキャンチェーンを1つの長いスキャンチェーンに併合するブロードキャスタであって、前記第1のスキャンコネクタが、バッファ、インバータ、またはインバータおよびDフリップフロップやDラッチなどのメモリ素子を含むロックアップ素子である請求項7に記載のブロードキャスタ。
  9. さらに、第2のスキャンコネクタを選択されたスキャンチェーンに挿入して前記選択されたスキャンチェーンの他のスキャンチェーンとの相互依存性を低減または除去するブロードキャスタであって、前記第2のスキャンコネクタが1つまたは複数のスペアスキャンセルをさらに含む請求項7に記載のブロードキャスタ。
  10. さらに、第3のスキャンコネクタを使用して選択されたスキャンチェーンを2つ以上の短いスキャンチェーンに分割し、または複数の選択されたスキャンチェーンを1つの長いスキャンチェーンに併合するブロードキャスタであって、前記第3のスキャンコネクタが1つまたは複数のマルチプレクサをさらに含み、前記マルチプレクサが前記仮想スキャン制御機構によって制御される請求項7に記載のブロードキャスタ。
  11. 前記仮想スキャン制御機構が1つまたは複数のバッファまたはインバータをさらに含む請求項7に記載のブロードキャスタ。
  12. 前記仮想スキャン制御機構が復号器である請求項7に記載のブロードキャスタ。
  13. 前記仮想スキャン制御機構が、DフリップフロップやDラッチなど1つまたは複数のメモリ素子を含む有限状態機械であり、テストセッションを開始する前に前記有限状態機械に所定の状態がロードされる請求項7に記載のブロードキャスタ。
  14. 前記仮想スキャン制御機構がシフトレジスタである請求項13に記載のブロードキャスタ。
  15. さらに、前記仮想スキャンパターンが、前記ブロードキャスタの前記仮想スキャン入力と前記ブロードキャストスキャン入力に送られ、前記ブロードキャスタによって生成された前記ブロードキャストスキャンパターンが前記スキャンベースの集積回路中の前記スキャンチェーンの選択されたスキャンデータ入力に送られる請求項7に記載のブロードキャスタ。
  16. 前記仮想スキャンパターンがATE(自動テスト装置)中に記憶され、前記仮想スキャンパターンが前記ブロードキャスタに送られ、前記ブロードキャスタによって生成された前記ブロードキャストスキャンパターンが、前記スキャンベースの集積回路内の、縮退故障、タイミング故障、パス遅延故障、IDDQ(IDD静止電流)故障、およびブリッジ故障を含む製造故障をテストするために前記スキャンベースの集積回路に送られる請求項7に記載のブロードキャスタ。
  17. 前記ブロードキャスタが、選択的に、前記スキャンベースの集積回路の内部または外部に配置される請求項7に記載のブロードキャスタ。
  18. ブロードキャスタによってスキャンベースの集積回路をテストするブロードキャストスキャンパターンを生成するためにATE(自動テスト装置)に記憶された仮想スキャンパターンを受け入れるシステムであって、前記スキャンベースの集積回路が複数のスキャンチェーンを含み、各スキャンチェーンが直列に結合された複数のスキャンセルを含み、前記スキャンチェーンが前記ブロードキャスタに結合され、
    a)前記ブロードキャスタが前記ATEと前記スキャンベースの集積回路の間に配置され、
    b)前記スキャンベースの集積回路中の製造故障をテストする前記ブロードキャストスキャンパターンを生成するために、前記ATEに記憶された新規の前記仮想スキャンパターンが前記ブロードキャスタに送られ、
    c)前記スキャンベースの集積回路のテスト応答がその期待されるテスト応答と比較され、
    d)所定の限界基準が満たされるまでステップ(b)から(c)が繰り返される
    システム。
  19. 前記ブロードキャスタが、ANDゲート、ORゲート、NANDゲート、NORゲート、XORゲート、XNORゲート、マルチプレクサ、バッファ、インバータ、またはそれらの任意の組合せを含めて、1つまたは複数の論理ゲートを含む組合せ論理ネットワークである請求項18に記載のシステム。
  20. 前記ブロードキャスタが、さらに、複数のスキャンコネクタを使用して前記組合せ論理ネットワークの出力を前記スキャンベースの集積回路中の選択されたスキャンチェーン入力に結合し、前記複数のスキャンコネクタが、1つまたは複数のバッファ、インバータ、各々がインバータおよびDフリップフロップやDラッチなどのメモリ素子を含むロックアップ素子、スペアスキャンセル、マルチプレクサ、またはそれらの任意の組合せを含む請求項19に記載のシステム。
  21. 前記ブロードキャスタが、さらに、仮想スキャン制御機構を使用して前記組合せ論理ネットワークを制御し、前記仮想スキャン制御機構が、各シフトサイクル中または各テストセッション間に前記ブロードキャスタの動作を制御する請求項19に記載のシステム。
  22. 前記仮想スキャン制御機構が1つまたは複数のバッファまたはインバータをさらに含む請求項21に記載のシステム。
  23. 前記仮想スキャン制御機構が復号器である請求項21に記載のシステム。
  24. 前記仮想スキャン制御機構が、DフリップフロップやDラッチなど1つまたは複数のメモリ素子を含む有限状態機械であり、テストセッションを開始する前に前記有限状態機械に所定の状態がロードされる請求項21に記載のシステム。
  25. 前記仮想スキャン制御機構がシフトレジスタである請求項24に記載のシステム。
  26. 前記ブロードキャスタが、選択的に、前記スキャンベースの集積回路内または前記ATE内に配置される請求項18に記載のシステム。
  27. 前記スキャンベースの集積回路のテスト応答がその期待されるテスト応答と比較される前記ステップが、さらに、比較のために前記集積回路の選択された出力を圧縮する圧縮器を使用するステップをさらに含み、前記圧縮器が、選択的に、前記ATEでシミュレーションを使用してモデル化され、または前記スキャンベースの集積回路と前記ATEの間に配置される請求項18に記載のシステム。
  28. 前記圧縮器が、選択的に、XORネットワークまたはマルチ入力シグネチャレジスタ(MISR)とされ、前記マルチ入力シグネチャレジスタ(MISR)が、複数のXORゲートおよびDフリップフロップやDラッチなど複数のメモリ素子をさらに含む請求項27に記載のシステム。
  29. 前記圧縮器が、さらに、マスクネットワークを使用して、選択されたスキャンチェーン中の選択されたスキャンセルのテストまたは診断を使用可能または使用不能にし、前記マスクネットワークが1つまたは複数のANDゲートを含む請求項27に記載のシステム。
  30. 前記圧縮器が、選択的に、前記スキャンベースの集積回路内または前記ATE内に配置される請求項27に記載のシステム。
  31. 前記ブロードキャストスキャンパターンが、前記スキャンベースの集積回路中の、縮退故障、タイミング故障、パス遅延故障、IDDQ(IDD静止電流)故障、およびブリッジ故障を含む前記製造故障をテストするように選択される請求項18に記載のシステム。
  32. ブロードキャスタによってスキャンベースの集積回路をテストするブロードキャストスキャンパターンを生成するためにATE(自動テスト装置)に記憶された仮想スキャンパターンを受け入れるシステムであって、前記スキャンベースの集積回路が複数のスキャンチェーンを含み、各スキャンチェーンが直列に結合された複数のスキャンセルを含み、前記スキャンチェーンが前記ブロードキャスタに結合され、前記システムが、
    a)シミュレーションを使用して、前記ATEで前記ブロードキャスタをモデル化し、
    b)前記シミュレートされたブロードキャスタモデルを使用して前記ブロードキャストスキャンパターンを生成するために、前記ATEに記憶された新規の前記仮想スキャンパターンを適用し、
    c)前記スキャンベースの集積回路中の製造故障をテストするために、前記ATEで前記ブロードキャスタによって生成された前記ブロードキャストスキャンパターンを前記スキャンベースの集積回路中の前記スキャンチェーンに送り、
    d)前記スキャンベースの集積回路のテスト応答を期待されるテスト応答と比較し、
    e)所定の限界基準が満たされるまでステップ(b)から(d)を繰り返す
    システム。
  33. 前記ブロードキャスタが、ANDゲート、ORゲート、NANDゲート、NORゲート、XORゲート、XNORゲート、マルチプレクサ、バッファ、インバータ、またはそれらの任意の組合せを含めて、1つまたは複数の論理ゲートを含む組合せ論理ネットワークである請求項32に記載のシステム。
  34. 前記ブロードキャスタが、さらに、複数のスキャンコネクタを使用して、前記組合せ論理ネットワークの出力を、前記スキャンベースの集積回路中の選択されたスキャンチェーン入力に結合し、前記複数のスキャンコネクタが、1つまたは複数のバッファ、インバータ、各々がインバータおよびDフリップフロップやDラッチなどのメモリ素子を含むロックアップ素子、スペアスキャンセル、マルチプレクサ、またはそれらの任意の組合せを含む請求項33に記載のシステム。
  35. 前記ブロードキャスタが、さらに、仮想スキャン制御機構を使用して前記組合せ論理ネットワークを制御し、前記仮想スキャン制御機構が、各シフトサイクル中または各テストセッション間に前記ブロードキャスタの動作を制御する請求項33に記載のシステム。
  36. 前記仮想スキャン制御機構が1つまたは複数のバッファまたはインバータをさらに含む請求項35に記載のシステム。
  37. 前記仮想スキャン制御機構が復号器である請求項35に記載のシステム。
  38. 前記仮想スキャン制御機構が、DフリップフロップやDラッチなど1つまたは複数のメモリ素子を含む有限状態機械であり、テストセッションを開始する前に前記有限状態機械に所定の状態がロードされる請求項35に記載のシステム。
  39. 前記仮想スキャン制御機構がシフトレジスタである請求項38に記載のシステム。
  40. 前記スキャンベースの集積回路のテスト応答を期待されるテスト応答と比較する前記ステップが、比較のために圧縮器を使用して前記集積回路の選択された出力を圧縮するステップをさらに含み、前記圧縮器が、選択的に、シミュレーションを使用して前記ATEでモデル化され、または前記スキャンベースの集積回路と前記ATEの間に配置される請求項32に記載のシステム。
  41. 前記圧縮器が、選択的に、XORネットワークまたはマルチ入力シグネチャレジスタ(MISR)とされ、前記マルチ入力シグネチャレジスタ(MISR)が、複数のXORゲートおよびDフリップフロップやDラッチなどの複数のメモリ素子をさらに含む請求項40に記載のシステム。
  42. 前記圧縮器が、さらに、マスクネットワークを使用して、選択されたスキャンチェーン中の選択されたスキャンセルのテストまたは診断を使用可能または使用不能にし、前記マスクネットワークが1つまたは複数のANDゲートを含む請求項40に記載のシステム。
  43. 前記圧縮器が、選択的に、前記スキャンベースの集積回路内または前記ATE内に配置される請求項40に記載のシステム。
  44. 前記ブロードキャストスキャンパターンが、前記スキャンベースの集積回路内の、縮退故障、タイミング故障、パス遅延故障、IDDQ(IDD静止電流)故障、およびブリッジ故障を含む製造故障をテストするように選択される請求項32に記載のシステム。
  45. スキャンベースの集積回路をテストするブロードキャストスキャンパターンを生成するためにスキャンセルを再配列する方法であって、前記スキャンベースの集積回路が複数のスキャンチェーンを含み、各スキャンチェーンが直列に結合された複数のスキャンセルを含み、前記スキャンチェーンがブロードキャスタに結合され、
    a)RTL(レジスタ転送レベル)またはゲートレベルでモデル化された前記スキャンベースの集積回路を表すHDL(ハードウェア記述言語)コードを順次回路モデルにコンパイルするステップと、
    b)前記ブロードキャスタの設計に基づいて元のスキャン順序、および選択されたスキャンチェーンに対する1組のスキャン順序制約条件を確立するステップと、
    c)前記順次回路モデルを等価の組合せ回路モデルに変換するステップと、
    d)前記元のスキャン順序および前記スキャン順序制約条件に従って前記選択されたスキャンチェーン中の選択されたスキャンセルについて入力コーン分析を実施するステップと、
    e)同じシフトサイクルに置かれる前記選択された各スキャンチェーン上の前記選択された各スキャンセル同士の相互依存性を最小化する最適なスキャン順序を生成するステップと
    を含む方法。
  46. 前記最適なスキャン順序に従って前記選択されたスキャンチェーン中の前記選択されたスキャンセルを再配列するステップをさらに含む請求項45に記載の方法。
  47. 前記選択されたスキャンチェーンに1つまたは複数のスペアスキャンセルを挿入して、前記選択されたスキャンチェーンの他の選択されたスキャンチェーンとの相互依存性を最小化または除去するステップをさらに含む請求項46に記載の方法。
  48. ブロードキャスタを介してスキャンベースの集積回路をテストするブロードキャストスキャンパターンを生成する方法であって、前記スキャンベースの集積回路が複数のスキャンチェーンを含み、各スキャンチェーンが直列に結合された複数のスキャンセルを含み、前記スキャンチェーンが前記ブロードキャスタに結合され、
    a)ゲートレベルでモデル化された前記スキャンベースの集積回路を表すHDL(ハードウェア記述言語)コードを順次回路モデルにコンパイルするステップと、
    b)各シフトサイクル中または各テストセッション間に前記ブロードキャスタ上で割り当てられる所定の値に基づいて、選択されたスキャンセルに対する1組の入力制約条件を確立するステップと、
    c)前記順次回路モデルを等価の組合せ回路モデルに変換するステップと、
    d)前記1組の入力制約条件に従って前記ブロードキャストスキャンパターンを生成するステップと、
    e)新規の1組の入力制約条件を再割り当てし、所定の限界基準が満たされるまでステップ(d)を繰り返すステップと
    を含む方法。
  49. 前記1組の入力制約条件に従って前記ブロードキャストスキャンパターンを生成する前記ステップが、選択された1組のランダムパターンを前記ブロードキャストスキャンパターンとして使用して前記等価の組合せ回路モデルでランダムパターン故障シミュレーションを実施するステップをさらに含む請求項48に記載の方法。
  50. 前記1組の入力制約条件に従って前記ブロードキャストスキャンパターンを生成する前記ステップが、前記ブロードキャストスキャンパターンを生成するために、前記等価の組合せ回路モデルで組合せATPG(自動テストパターン生成)を実施するステップをさらに含む請求項48に記載の方法。
  51. 選択されたスキャンチェーン中の選択されたスキャンセルの、同じシフトサイクルに置かれる他の選択されたスキャンチェーン中の他の選択されたスキャンセルとの相互依存性を最小化するために最適なスキャン順序を見つけるステップをさらに含む請求項48に記載の方法。
  52. 最適なスキャン順序を見つける前記ステップが、前記最適なスキャン順序に従って前記選択されたスキャンチェーン中の前記選択されたスキャンセルを再配列するステップをさらに含む請求項51に記載の方法。
  53. 最適なスキャン順序を見つける前記ステップが、前記選択されたスキャンチェーンに1つまたは複数のスペアスキャンセルを挿入して、さらに、前記選択されたスキャンチェーンの他の選択されたスキャンチェーンとの相互依存性を最小化または除去するステップをさらに含む請求項52に記載の方法。
  54. シミュレーション法を使用して前記ブロードキャスタおよび前記スキャンベースの集積回路の正確さを検証するために、前記ブロードキャストスキャンパターンに従って、前記仮想スキャンパターンとしてHDLテストベンチを生成するステップをさらに含む請求項48に記載の方法。
  55. 前記ATEで前記ブロードキャスタおよび前記スキャンベースの集積回路の正確さを検証するために、前記ブロードキャストスキャンパターンに従って、前記仮想スキャンパターンとしてATE(自動テスト装置)テストプログラムを生成するステップをさらに含む請求項48に記載の方法。
  56. 前記ブロードキャスタが、ANDゲート、ORゲート、NANDゲート、NORゲート、XORゲート、XNORゲート、マルチプレクサ、バッファ、インバータ、またはそれらの任意の組合せを含めて、1つまたは複数の論理ゲートを含む組合せ論理ネットワークである請求項48に記載の方法。
  57. 前記ブロードキャスタが、さらに、複数のスキャンコネクタを使用して前記組合せ論理ネットワークの出力を前記スキャンベースの集積回路中の選択されたスキャンチェーン入力に結合し、前記複数のスキャンコネクタが、1つまたは複数のバッファ、インバータ、各々がインバータおよびDフリップフロップやDラッチなどのメモリ素子を含むロックアップ素子、スペアスキャンセル、マルチプレクサ、またはそれらの任意の組合せを含む請求項56に記載の方法。
  58. 前記ブロードキャスタが、さらに、仮想スキャン制御機構を使用して前記組合せ論理ネットワークを制御し、前記仮想スキャン制御機構が、各シフトサイクル中または各テストセッション間に前記ブロードキャスタの動作を制御する請求項56に記載の方法。
  59. 前記仮想スキャン制御機構が1つまたは複数のバッファまたはインバータをさらに含む請求項58に記載の方法。
  60. 前記仮想スキャン制御機構が復号器である請求項58に記載の方法。
  61. 前記仮想スキャン制御機構が、DフリップフロップやDラッチなど1つまたは複数のメモリ素子を含む有限状態機械であり、テストセッションを開始する前に前記有限状態機械に所定の状態がロードされる請求項58に記載の方法。
  62. 前記仮想スキャン制御機構がシフトレジスタである請求項61に記載の方法。
  63. 前記ブロードキャストスキャンパターンが、前記スキャンベースの集積回路内の、縮退故障、タイミング故障、パス遅延故障、IDDQ(IDD静止電流)故障、ブリッジ故障を含む製造故障をテストするように選択される請求項48に記載の方法。
  64. コンピュータシステムに、ブロードキャスタを介してスキャンベースの集積回路をテストするブロードキャストスキャンパターンを生成する方法を実施させるコンピュータ可読プログラムコードが実施されたコンピュータ可読メモリであって、前記スキャンベースの集積回路が複数のスキャンチェーンを含み、各スキャンチェーンが直列に結合された複数のスキャンセルを含み、前記スキャンチェーンが前記ブロードキャスタに結合され、前記方法が、
    a)RTL(レジスタ転送レベル)またはゲートレベルでモデル化された前記スキャンベースの集積回路を表すHDL(ハードウェア記述言語)コードを順次回路モデルにコンパイルするステップと、
    b)各シフトサイクル中または各テストセッション間に前記ブロードキャスタ上で割り当てられる所定の値に基づいて、選択されたスキャンセルに対する1組の入力制約条件を確立するステップと、
    c)前記順次回路モデルを等価の組合せ回路モデルに変換するステップと、
    d)前記1組の入力制約条件に従って前記ブロードキャストスキャンパターンを生成するステップと、
    e)新規の1組の入力制約条件を再割り当てし、所定の限界基準が満たされるまでステップ(d)を繰り返すステップと
    を含むコンピュータ可読メモリ。
  65. 前記1組の入力制約条件に従って前記ブロードキャストスキャンパターンを生成する前記ステップが、選択された1組のランダムパターンを前記ブロードキャストスキャンパターンとして使用して、前記等価の組合せ回路モデルでランダムパターン故障シミュレーションを実施するステップをさらに含む請求項64に記載のコンピュータ可読メモリ。
  66. 前記1組の入力制約条件に従って前記ブロードキャストスキャンパターンを生成する前記ステップが、前記ブロードキャストスキャンパターンを生成するために前記等価の組合せ回路モデルで組合せATPG(自動テストパターン生成)を実施するステップをさらに含む請求項64に記載のコンピュータ可読メモリ。
  67. 選択されたスキャンチェーン中の選択されたスキャンセルの、同じシフトサイクルに置かれる他の選択されたスキャンチェーン中の他の選択されたスキャンセルとの相互依存性を最小化するために最適なスキャン順序を見つけるステップをさらに含む請求項64に記載のコンピュータ可読メモリ。
  68. 最適なスキャン順序を見つける前記ステップが、前記最適なスキャン順序に従って前記選択されたスキャンチェーン中の前記選択されたスキャンセルを再配列するステップをさらに含む請求項67に記載のコンピュータ可読メモリ。
  69. 最適なスキャン順序を見つける前記ステップが、前記選択されたスキャンチェーンに1つまたは複数のスペアスキャンセルを挿入して、さらに、前記選択されたスキャンチェーンの他の選択されたスキャンチェーンとの相互依存性を最小化または除去するステップをさらに含む請求項68に記載のコンピュータ可読メモリ。
  70. シミュレーション法を使用して前記ブロードキャスタおよび前記スキャンベースの集積回路の正確さを検証するために、前記ブロードキャストスキャンパターンに従って、前記仮想スキャンパターンとしてHDLテストベンチを生成するステップをさらに含む請求項64に記載のコンピュータ可読メモリ。
  71. 前記ATEで前記ブロードキャスタおよび前記スキャンベースの集積回路の正確さを検証するために、前記ブロードキャストスキャンパターンに従って、前記仮想スキャンパターンとしてATE(自動テスト装置)テストプログラムを生成するステップをさらに含む請求項64に記載のコンピュータ可読メモリ。
  72. 前記ブロードキャスタが、ANDゲート、ORゲート、NANDゲート、NORゲート、XORゲート、XNORゲート、マルチプレクサ、バッファ、インバータ、またはそれらの任意の組合せを含めて、1つまたは複数の論理ゲートを含む組合せ論理ネットワークである請求項64に記載のコンピュータ可読メモリ。
  73. 前記ブロードキャスタが、さらに、複数のスキャンコネクタを使用して前記組合せ論理ネットワークの出力を前記スキャンベースの集積回路中の選択されたスキャンチェーン入力に結合し、前記複数のスキャンコネクタが、1つまたは複数のバッファ、インバータ、各々がインバータおよびDフリップフロップやDラッチなどのメモリ素子を含むロックアップ素子、スペアスキャンセル、マルチプレクサ、またはそれらの任意の組合せを含む請求項72に記載のコンピュータ可読メモリ。
  74. 前記ブロードキャスタが、さらに、仮想スキャン制御機構を使用して前記組合せ論理ネットワークを制御し、前記仮想スキャン制御機構が、各シフトサイクル中または各テストセッション間に前記ブロードキャスタの動作を制御する請求項72に記載のコンピュータ可読メモリ。
  75. 前記仮想スキャン制御機構が1つまたは複数のバッファまたはインバータをさらに含む請求項74に記載のコンピュータ可読メモリ。
  76. 前記仮想スキャン制御機構が復号器である請求項74に記載のコンピュータ可読メモリ。
  77. 前記仮想スキャン制御機構が、DフリップフロップやDラッチなど1つまたは複数のメモリ素子を含む有限状態機械であり、テストセッションを開始する前に前記有限状態機械に所定の状態がロードされる請求項74に記載のコンピュータ可読メモリ。
  78. 前記仮想スキャン制御機構がシフトレジスタである請求項77に記載のコンピュータ可読メモリ。
  79. 前記ブロードキャストスキャンパターンが、前記スキャンベースの集積回路内の、縮退故障、タイミング故障、パス遅延故障、IDDQ(IDD静止電流)故障、ブリッジ故障を含む製造故障をテストするように選択される請求項64に記載のコンピュータ可読メモリ。
  80. プロセッサと、
    前記プロセッサに結合されたバスと、
    前記バスに結合され、電子設計自動化システムに、ブロードキャスタを介してスキャンベースの集積回路をテストするブロードキャストスキャンパターンを生成する方法を実施させるためのコンピュータ可読プログラムコードが記憶されたコンピュータ可読メモリと
    を含む電子設計自動化システムであって、前記スキャンベースの集積回路が複数のスキャンチェーンを含み、各スキャンチェーンが直列に結合された複数のスキャンセルを含み、前記スキャンチェーンが前記ブロードキャスタに結合され、前記方法が、
    a)RTL(レジスタ転送レベル)またはゲートレベルでモデル化された前記スキャンベースの集積回路を表すHDL(ハードウェア記述言語)コードを順次回路モデルにコンパイルするステップと、
    b)各シフトサイクル中または各テストセッション間に前記ブロードキャスタ上で割り当てられる所定の値に基づいて、選択されたスキャンセルに対する1組の入力制約条件を確立するステップと、
    c)前記順次回路モデルを等価の組合せ回路モデルに変換するステップと、
    d)前記1組の入力制約条件に従って前記ブロードキャストスキャンパターンを生成するステップと、
    e)新規の1組の入力制約条件を再割り当てし、所定の限界基準が満たされるまでステップ(d)を繰り返すステップと
    を含むシステム。
  81. 前記1組の入力制約条件に従って前記ブロードキャストスキャンパターンを生成する前記ステップが、選択された1組のランダムパターンを前記ブロードキャストスキャンパターンとして使用して、前記等価の組合せ回路モデルでランダムパターン故障シミュレーションを実施するステップをさらに含む請求項80に記載のシステム。
  82. 前記1組の入力制約条件に従って前記ブロードキャストスキャンパターンを生成する前記ステップが、前記ブロードキャストスキャンパターンを生成するために前記等価の組合せ回路モデルで組合せATPG(自動テストパターン生成)を実施するステップをさらに含む請求項80に記載のシステム。
  83. 選択されたスキャンチェーン中の選択されたスキャンセルの、同じシフトサイクルに置かれる他の選択されたスキャンチェーン中の他の選択されたスキャンセルとの相互依存性を最小化するために最適なスキャン順序を見つけるステップをさらに含む請求項80に記載のシステム。
  84. 最適なスキャン順序を見つける前記ステップが、前記最適なスキャン順序に従って前記選択されたスキャンチェーン中の前記選択されたスキャンセルを再配列するステップをさらに含む請求項83に記載のシステム。
  85. 最適なスキャン順序を見つける前記ステップが、前記選択されたスキャンチェーンに1つまたは複数のスペアスキャンセルを挿入して、さらに、前記選択されたスキャンチェーンの他の選択されたスキャンチェーンとの相互依存性を最小化または除去するステップをさらに含む請求項84に記載のシステム。
  86. シミュレーション法を使用して前記ブロードキャスタおよび前記スキャンベースの集積回路の正確さを検証するために、前記ブロードキャストスキャンパターンに従って、前記仮想スキャンパターンとしてHDLテストベンチを生成するステップをさらに含む請求項80に記載のシステム。
  87. 前記ATEで前記ブロードキャスタおよび前記スキャンベースの集積回路の正確さを検証するために、前記ブロードキャストスキャンパターンに従って、前記仮想スキャンパターンとしてATE(自動テスト装置)テストプログラムを生成するステップをさらに含む請求項80に記載のシステム。
  88. 前記ブロードキャスタが、ANDゲート、ORゲート、NANDゲート、NORゲート、XORゲート、XNORゲート、マルチプレクサ、バッファ、インバータ、またはそれらの任意の組合せを含めて、1つまたは複数の論理ゲートを含む組合せ論理ネットワークである請求項80に記載のシステム。
  89. 前記ブロードキャスタが、さらに、複数のスキャンコネクタを使用して前記組合せ論理ネットワークの出力を前記スキャンベースの集積回路中の選択されたスキャンチェーン入力に結合し、前記複数のスキャンコネクタが、1つまたは複数のバッファ、インバータ、各々がインバータおよびDフリップフロップやDラッチなどのメモリ素子を含むロックアップ素子、スペアスキャンセル、マルチプレクサ、またはそれらの任意の組合せを含む請求項88に記載のシステム。
  90. 前記ブロードキャスタが、さらに、仮想スキャン制御機構を使用して前記組合せ論理ネットワークを制御し、前記仮想スキャン制御機構が、各シフトサイクル中または各テストセッション間に前記ブロードキャスタの動作を制御する請求項88に記載のシステム。
  91. 前記仮想スキャン制御機構が1つまたは複数のバッファまたはインバータをさらに含む請求項90に記載のシステム。
  92. 前記仮想スキャン制御機構が復号器である請求項90に記載のシステム。
  93. 前記仮想スキャン制御機構が、DフリップフロップやDラッチなど1つまたは複数のメモリ素子を含む有限状態機械であり、テストセッションを開始する前に前記有限状態機械に所定の状態がロードされる請求項90に記載のシステム。
  94. 前記仮想スキャン制御機構がシフトレジスタである請求項93に記載のシステム。
  95. 前記ブロードキャストスキャンパターンが、前記スキャンベースの集積回路内の、縮退故障、タイミング故障、パス遅延故障、IDDQ(IDD静止電流)故障、ブリッジ故障を含む製造故障をテストするように選択される請求項80に記載のシステム。
  96. スキャンベースの集積回路をテストするためにブロードキャスタおよび圧縮器を合成する方法であって、前記スキャンベースの集積回路が複数のスキャンチェーンを含み、各スキャンチェーンが直列に結合された複数のスキャンセルを含み、前記スキャンチェーンの各入力が前記ブロードキャスタに結合され、前記スキャンチェーンの各出力が前記圧縮器に結合され、
    a)RTL(レジスタ転送レベル)またはゲートレベルでモデル化された前記スキャンベースの集積回路を表すHDL(ハードウェア記述言語)コードを順次回路モデルにコンパイルするステップと、
    b)前記ブロードキャスタ、前記圧縮器、およびスティッチングでの制約条件を確立するステップと、
    c)前記ブロードキャスタに指定された前記制約条件に従って前記ブロードキャスタを合成するステップと、
    d)前記圧縮器に指定された前記制約条件に従って前記圧縮器を合成するステップ、
    e)前記スティッチングに指定された前記制約条件に従って、前記順次回路モデルで前記ブロードキャスタおよび前記圧縮器をスティッチングするステップと、
    f)RTLまたはゲートレベルでモデル化された合成HDLコードを生成するステップと
    を含む方法。
  97. 前記ブロードキャスタが、さらに、選択されたスキャンチェーンから選択されたスキャンセルを除去し、前記ブロードキャスタに指定された前記制約条件に従って、前記選択されたスキャンチェーン中の前記選択されたスキャンセルをスティッチングし直す請求項96に記載の方法。
  98. 前記ブロードキャスタが、ANDゲート、ORゲート、NANDゲート、NORゲート、XORゲート、XNORゲート、マルチプレクサ、バッファ、インバータ、またはそれらの任意の組合せを含めて、1つまたは複数の論理ゲートを含む組合せ論理ネットワークである請求項96に記載の方法。
  99. 前記ブロードキャスタが、さらに、複数のスキャンコネクタを使用して前記組合せ論理ネットワークの出力を前記スキャンベースの集積回路中の選択されたスキャンチェーン入力に結合し、前記複数のスキャンコネクタが、1つまたは複数のバッファ、インバータ、各々がインバータおよびDフリップフロップやDラッチなどのメモリ素子を含むロックアップ素子、スペアスキャンセル、マルチプレクサ、またはそれらの任意の組合せを含む請求項98に記載のシステム。
  100. 前記ブロードキャスタが、さらに、仮想スキャン制御機構を使用して前記組合せ論理ネットワークを制御し、前記仮想スキャン制御機構が、各シフトサイクル中または各テストセッション間に前記ブロードキャスタの動作を制御する請求項98に記載のシステム。
  101. 前記仮想スキャン制御機構が1つまたは複数のバッファまたはインバータをさらに含む請求項100に記載の方法。
  102. 前記仮想スキャン制御機構が復号器である請求項100に記載の方法。
  103. 前記仮想スキャン制御機構が、DフリップフロップやDラッチなど1つまたは複数のメモリ素子を含む有限状態機械であり、テストセッションを開始する前に前記有限状態機械に所定の状態がロードされる請求項100に記載の方法。
  104. 前記仮想スキャン制御機構がシフトレジスタである請求項103に記載の方法。
  105. 前記ブロードキャスタが、選択的に、前記スキャンベースの集積回路内、前記ATE内、または前記ATEと前記スキャンベースの集積回路の間に配置される請求項96に記載の方法。
  106. 前記圧縮器が、選択的に、XORネットワークまたはマルチ入力シグネチャレジスタ(MISR)とされ、前記マルチ入力シグネチャレジスタ(MISR)が、複数のXORゲートおよびDフリップフロップやDラッチなど複数のメモリ素子をさらに含む請求項96に記載の方法。
  107. 前記圧縮器が、マスクネットワークを使用して選択されたスキャンチェーン中の選択されたスキャンセルのテストまたは診断を使用可能または使用不能にし、前記マスクネットワークが1つまたは複数のANDゲートを含む請求項96に記載の方法。
  108. 前記圧縮器が、選択的に、前記スキャンベースの集積回路内、前記ATE内、または前記ATEと前記スキャンベースの集積回路の間に配置される請求項96に記載の方法。
JP2009275718A 2002-01-16 2009-12-03 スキャンベースの集積回路でスキャンパターンをブロードキャストする方法および装置 Expired - Fee Related JP5059837B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US34838302P 2002-01-16 2002-01-16
US60/348,383 2002-01-16
US10/339,667 2003-01-10
US10/339,667 US7552373B2 (en) 2002-01-16 2003-01-10 Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003566569A Division JP4903365B2 (ja) 2002-01-16 2003-01-16 スキャンベースの集積回路でスキャンパターンをブロードキャストする方法および装置

Publications (2)

Publication Number Publication Date
JP2010107516A true JP2010107516A (ja) 2010-05-13
JP5059837B2 JP5059837B2 (ja) 2012-10-31

Family

ID=27668920

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2003566569A Expired - Fee Related JP4903365B2 (ja) 2002-01-16 2003-01-16 スキャンベースの集積回路でスキャンパターンをブロードキャストする方法および装置
JP2009275718A Expired - Fee Related JP5059837B2 (ja) 2002-01-16 2009-12-03 スキャンベースの集積回路でスキャンパターンをブロードキャストする方法および装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2003566569A Expired - Fee Related JP4903365B2 (ja) 2002-01-16 2003-01-16 スキャンベースの集積回路でスキャンパターンをブロードキャストする方法および装置

Country Status (6)

Country Link
US (1) US7552373B2 (ja)
EP (1) EP1466184A4 (ja)
JP (2) JP4903365B2 (ja)
CN (2) CN102495362B (ja)
AU (1) AU2003244366A1 (ja)
WO (1) WO2003067272A1 (ja)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7412672B1 (en) * 2002-01-16 2008-08-12 Syntest Technologies, Inc. Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
US9062710B2 (en) * 2013-02-05 2015-06-23 Schaeffler Technologies AG & Co. KG Combined load rolling bearing
US7444567B2 (en) * 2002-04-09 2008-10-28 Syntest Technologies, Inc. Method and apparatus for unifying self-test with scan-test during prototype debug and production test
US7082558B2 (en) * 2002-11-25 2006-07-25 Texas Instruments Incorporated Increasing possible test patterns which can be used with sequential scanning techniques to perform speed analysis
US7240260B2 (en) 2002-12-11 2007-07-03 Intel Corporation Stimulus generation
US7058869B2 (en) * 2003-01-28 2006-06-06 Syntest Technologies, Inc. Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits
US7509550B2 (en) * 2003-02-13 2009-03-24 Janusz Rajski Fault diagnosis of compressed test responses
US7370254B2 (en) * 2003-02-13 2008-05-06 Janusz Rajski Compressing test responses using a compactor
US7512851B2 (en) * 2003-08-01 2009-03-31 Syntest Technologies, Inc. Method and apparatus for shifting at-speed scan patterns in a scan-based integrated circuit
US7574640B2 (en) * 2003-09-05 2009-08-11 Intel Corporation Compacting circuit responses
US20050138500A1 (en) * 2003-11-25 2005-06-23 Chimsong Sul Functional test design for testability (DFT) and test architecture for decreased tester channel resources
US7231615B2 (en) * 2003-12-08 2007-06-12 Cadence Design Systems, Inc. Methods and apparatus for transforming sequential logic designs into equivalent combinational logic
US20050210349A1 (en) * 2004-03-22 2005-09-22 Lambert Michael R Scan test tools, models and/or methods
US7945833B1 (en) 2004-05-24 2011-05-17 Syntest Technologies, Inc. Method and apparatus for pipelined scan compression
US7590905B2 (en) * 2004-05-24 2009-09-15 Syntest Technologies, Inc. Method and apparatus for pipelined scan compression
US7231570B2 (en) 2004-05-26 2007-06-12 Syntest Technologies, Inc. Method and apparatus for multi-level scan compression
US7272767B2 (en) * 2005-04-29 2007-09-18 Freescale Semiconductor, Inc. Methods and apparatus for incorporating IDDQ testing into logic BIST
JP2009515161A (ja) * 2005-11-04 2009-04-09 エヌエックスピー ビー ヴィ 集積回路のテスト方法及びテスト装置
WO2007054845A2 (en) * 2005-11-14 2007-05-18 Nxp B.V. Integrated circuit arrangement and design method
US8020047B2 (en) * 2006-01-17 2011-09-13 Xyratex Technology Limited Method and apparatus for managing storage of data
CN101405609B (zh) 2006-02-17 2012-11-14 明导公司 多级测试响应压缩器
JP4842876B2 (ja) * 2007-03-30 2011-12-21 富士通セミコンダクター株式会社 故障診断装置及び故障診断方法
US8423845B2 (en) * 2008-12-01 2013-04-16 Mentor Graphics Corporation On-chip logic to log failures during production testing and enable debugging for failure diagnosis
CN101515479B (zh) * 2009-03-30 2014-11-19 北京中星微电子有限公司 一种提高扫描链测试覆盖率的方法和装置
US7996741B2 (en) 2009-08-24 2011-08-09 Syntest Technologies, Inc. Method and apparatus for low-pin-count scan compression
US8205125B2 (en) * 2009-10-23 2012-06-19 Texas Instruments Incorporated Enhanced control in scan tests of integrated circuits with partitioned scan chains
JP5843358B2 (ja) * 2010-01-15 2016-01-13 国立大学法人 奈良先端科学技術大学院大学 半導体集積回路のテストパターン生成方法、プログラム、およびコンピュータ読み取り可能な記録媒体
JP2013186620A (ja) 2012-03-07 2013-09-19 Toshiba Corp 半導体集積回路の設計装置、半導体集積回路の設計方法、半導体集積回路の設計プログラム、及び半導体集積回路の設計プログラムを記憶した記憶媒体
US8924803B2 (en) * 2012-10-17 2014-12-30 Nanya Technology Corporation Boundary scan test interface circuit
US9057765B2 (en) * 2013-04-12 2015-06-16 International Business Machines Corporation Scan compression ratio based on fault density
US9110135B2 (en) * 2013-09-23 2015-08-18 International Business Machines Corporation Chip testing with exclusive OR
US9404969B1 (en) * 2013-11-01 2016-08-02 Cadence Design Systems, Inc. Method and apparatus for efficient hierarchical chip testing and diagnostics with support for partially bad dies
US9448284B2 (en) * 2014-05-08 2016-09-20 Texas Instruments Incorporated Method and apparatus for test time reduction using fractional data packing
JP6530216B2 (ja) * 2015-03-27 2019-06-12 株式会社メガチップス 半導体集積回路の試験回路及びこれを用いた試験方法
US10184980B2 (en) * 2016-09-06 2019-01-22 Texas Instruments Incorporated Multiple input signature register analysis for digital circuitry
EP3324295B1 (en) * 2016-11-18 2021-04-14 u-blox AG Self-test capable integrated circuit apparatus and method of self-testing an integrated circuit
CN108226763B (zh) * 2016-12-15 2021-08-20 三星电子株式会社 用于扫描链重新排序的方法、设备和计算机程序产品
US10775432B2 (en) * 2018-05-30 2020-09-15 Seagate Technology Llc Programmable scan compression
US10746790B1 (en) 2019-03-25 2020-08-18 International Business Machines Corporation Constrained pseudorandom test pattern for in-system logic built-in self-test
KR102412816B1 (ko) * 2020-10-23 2022-06-23 연세대학교 산학협력단 스캔 셀 재배치 방법 및 스캔 셀 재배치 장치
KR102432940B1 (ko) * 2020-10-29 2022-08-18 에스케이하이닉스 주식회사 반도체 테스트 시스템
US11378623B2 (en) * 2020-12-08 2022-07-05 International Business Machines Corporation Diagnostic enhancement for multiple instances of identical structures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06213964A (ja) * 1992-12-02 1994-08-05 Ncr Internatl Inc 自動テスト装置のデジタルテスターの拡張装置
JPH07296035A (ja) * 1994-01-03 1995-11-10 Texas Instr Inc <Ti> 集積回路設計テスト方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4503537A (en) 1982-11-08 1985-03-05 International Business Machines Corporation Parallel path self-testing system
US5923836A (en) 1994-01-03 1999-07-13 Texas Instruments Incorporated Testing integrated circuit designs on a computer simulation using modified serialized scan patterns
US5991909A (en) * 1996-10-15 1999-11-23 Mentor Graphics Corporation Parallel decompressor and related methods and apparatuses
US5983380A (en) * 1997-09-16 1999-11-09 International Business Machines Corporation Weighted random pattern built-in self-test
US6256760B1 (en) 1998-11-13 2001-07-03 Nortel Networks Limited Automatic test equipment scan test enhancement
US6327685B1 (en) 1999-05-12 2001-12-04 International Business Machines Corporation Logic built-in self test
JP3845016B2 (ja) * 1999-11-23 2006-11-15 メンター・グラフィクス・コーポレーション テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション
US6684358B1 (en) * 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US6327687B1 (en) 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
US6611933B1 (en) * 2000-04-12 2003-08-26 International Business Machines Corporation Real-time decoder for scan test patterns
US6901546B2 (en) * 2001-06-07 2005-05-31 International Business Machines Corporation Enhanced debug scheme for LBIST

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06213964A (ja) * 1992-12-02 1994-08-05 Ncr Internatl Inc 自動テスト装置のデジタルテスターの拡張装置
JPH07296035A (ja) * 1994-01-03 1995-11-10 Texas Instr Inc <Ti> 集積回路設計テスト方法

Also Published As

Publication number Publication date
EP1466184A4 (en) 2006-06-07
WO2003067272A1 (en) 2003-08-14
JP5059837B2 (ja) 2012-10-31
EP1466184A1 (en) 2004-10-13
CN102495362B (zh) 2014-03-26
CN102495362A (zh) 2012-06-13
JP4903365B2 (ja) 2012-03-28
JP2005517189A (ja) 2005-06-09
AU2003244366A1 (en) 2003-09-02
US7552373B2 (en) 2009-06-23
CN1615443A (zh) 2005-05-11
US20030154433A1 (en) 2003-08-14

Similar Documents

Publication Publication Date Title
JP4903365B2 (ja) スキャンベースの集積回路でスキャンパターンをブロードキャストする方法および装置
US7412672B1 (en) Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
US7412637B2 (en) Method and apparatus for broadcasting test patterns in a scan based integrated circuit
US7444567B2 (en) Method and apparatus for unifying self-test with scan-test during prototype debug and production test
US9696377B2 (en) Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
US7058869B2 (en) Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits
US7231570B2 (en) Method and apparatus for multi-level scan compression
US7721173B2 (en) Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
US6463560B1 (en) Method for implementing a bist scheme into integrated circuits for testing RTL controller-data paths in the integrated circuits
US7996741B2 (en) Method and apparatus for low-pin-count scan compression
US7415678B2 (en) Method and apparatus for synthesis of multimode X-tolerant compressor
US20140143623A1 (en) Method and apparatus for low-pin-count scan compression
US6920597B2 (en) Uniform testing of tristate nets in logic BIST
Novak et al. Test-per-clock testing of the circuits with scan
Liu Design for test methods to reduce test set size
Lecklider Test Pattern Compression Saves Time and Bits.
Mazurova FUNCTIONAL BIST WITH DFT

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20110518

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20110518

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20110607

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120403

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120621

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120710

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120802

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150810

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees