KR100790428B1 - 비동기 리셋회로 시험 - Google Patents

비동기 리셋회로 시험 Download PDF

Info

Publication number
KR100790428B1
KR100790428B1 KR1020037007894A KR20037007894A KR100790428B1 KR 100790428 B1 KR100790428 B1 KR 100790428B1 KR 1020037007894 A KR1020037007894 A KR 1020037007894A KR 20037007894 A KR20037007894 A KR 20037007894A KR 100790428 B1 KR100790428 B1 KR 100790428B1
Authority
KR
South Korea
Prior art keywords
signal
reset
test
scan chain
circuit
Prior art date
Application number
KR1020037007894A
Other languages
English (en)
Other versions
KR20040004461A (ko
Inventor
그리센스웨이트리차드로이
Original Assignee
에이알엠 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이알엠 리미티드 filed Critical 에이알엠 리미티드
Publication of KR20040004461A publication Critical patent/KR20040004461A/ko
Application granted granted Critical
Publication of KR100790428B1 publication Critical patent/KR100790428B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318555Control logic

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Logic Circuits (AREA)

Abstract

집적회로(2)에는 적절한 동작을 시험하기 위해 직렬 테스트 스캔 체인(10)이 설치된다. 셀의 래치(14) 내부에 유지된 리셋 신호값이 스캔 인에이블 신호에 의해 비동기로 게이트 제어되어 시험중인 회로부(8)로 인가되도록 구성된 리셋신호 발생 스캔 체인 셀(20)을 사용함으로써, 비동기 리셋신호 동작의 시험이 이루어진다. 리셋의 정상 동작에 의해 소정의 값으로 강제로 설정된 시험중인 회로부 내부의 래치들(12)에는, 리셋 시험전에, 반대의 신호값들이 사전에 적재될 수 있다.
집적회로, 리셋 시험, 스캔 체인 셀, 직렬 테스트 스캔 체인, 래치

Description

비동기 리셋회로 시험{ASYNCHRONOUS RESET CIRCUIT TESTING}
본 발명은, 집적회로의 시험 분야에 관한 것이다. 특히, 본 발명은, 집적회로의 리셋 기능의 시험에 관한 것이다.
집적회로가 제조되면 적절한 동작을 하는지 집적회로를 시험하는 것이 중요하다. 집적회로가 점점 더 복잡해짐에 따라, 집적회로의 충분한 성능을 적절히 검사하기 위해 수행할 필요가 있는 시험의 양이 현저하게 증가하고 있다. 이들 문제점은, 서로 다른 공급원에 의해 설계되고 제공될지도 모르는 다수의 기능 단위들이 한 개의 집적회로 상에 결합되는 시스템 온 칩 설계를 향한 움직임과 복잡하게 얽혀 있다. 집적회로 패키지에 의해 제공된 가용 입출력 핀의 수로 인해, 시험을 위해 집적회로 패키지 내부의 개소에 대해 행해질 수 있는 접근이 제한된다.
집적회로를 시험하기 위한 능력을 향상시키는 한가지 방법은, IEEE JTAG 표준에 제시된 것과 같은 직렬 테스트 스캔 체인(serial test scan chains)을 사용하는 것이다. 직렬 테스트 스캔 체인은, 집적회로 내부의 깊숙한 지점까지의 테스트 벡터를 스캔하고, 이들 테스트 벡터를 인가하여, 결과값들을 수집한 후, 이들 결과값들을 분석하여 정상 동작을 확인하는데 사용될 수 있다. 스캔 체인 접근방식은 상당한 이점을 갖고, 서로 다른 부분에 그들 자신의 직렬 테스트 스캔 체인들이 설치될 수 있는 시스템 온 칩 설계에 적합하기는 하지만, 적절한 리셋 동작을 시험하 는데에는 어려움이 있다.
직렬 테스트 스캔 체인 기술은 제어용 클록신호에 동기하여 데이터를 스캔하고, 데이터를 인가하며 데이터를 캡처한다. 이와 같은 동기형의 시험 작업은, 동기형의 정상 동작을 시험하는데에는 상당히 잘 들어맞는다. 그러나, 일반적으로 집적회로의 비동기형 동작을 시험하고자 하는데에는 문제점이 존재한다. 이와 같은 비동기형 동작의 매우 중요한 예는 비동기 리셋 신호에 대한 응답이다. 집적회로 내부의 회로 부분들이 비동기로 인가된 리셋 신호에 응답하여 그들의 상태를 다시 초기화하는 것이 일반적이다. 올바르지 않은 리셋 동작은 집적회로의 상당한 결함이 되므로, 비동기 리셋 동작을 적절히 시험해야만 하는 것이 중요하다. 이것을 달성하는 한가지 방법은, 각각의 회로 부분에 대한 리셋 핀을 인출(route out)하여, 이 핀이 이 회로 부분의 리셋 동작을 시험하는데 사용될 수 있도록 하는 것이다. 그러나, 전술한 것과 같이, 집적회로에 의해 제공된 핀 수는 종종 시스템 설계의 제한 인자로 작용하므로, 제조 시험작업을 위해 귀중한 이들 입출력 핀들을 할당하는 것은 바람직하지 않다. 더구나, 그들 자신의 리셋 신호를 각각 필요로 할 수 있는 서로 다른 공급원으로부터의 다수의 회로 부분들/매크로셀들을 채용하는 시스템 온 칩 설계의 사용이 증가함에 따라, 이와 같은 접근방법은 회로 패키지 상의 다수의 외부 리셋 핀들을 단지 제조 시험용으로 사용할 필요성을 일으킬 수 있다.
본 발명의 일면에 따르면, 본 발명은,
리셋신호의 수신시에 소정의 리셋값으로 리셋되는 신호값을 기억하도록 동작하는 적어도 1개의 회로부 래치를 갖는 회로부와,
복수의 스캔 체인 셀들을 각각 갖고, 적어도 1개가, 상기 회로부의 정상 동작을 시험하는 과정의 일부로서, 스캔 인에이블 신호의 제어하에서 클록신호에 동기하여 상기 회로부에 인가되는 테스트 신호를 기억하고 이 테스트 신호를 상기 회로부에 인가하도록 동작하는 1개 이상의 직렬 테스트 스캔 체인을 구비하고,
상기 직렬 테스트 스캔 체인은, 소정의 리셋신호를 기억시킬 때, 상기 스캔 인에이블 신호의 제어하에서 상기 클록신호에 무관하게 이 클록신호와 비동기로 상기 리셋신호를 발생하도록 동작가능한 리셋신호 발생 스캔 체인 셀을 구비한 것을 특징으로 하는 집적회로를 제공한다.
본 발명은, 스캔 인에이블 신호와 클록신호는 보통 동기되어 테스트 신호를 인가하는 역할을 하지만, 스캔 인에이블 신호는 리셋신호를 발생하기 위한 변형된 스캔 체인 셀과 연계하여 사용됨으로써, 클록신호와 비동기의 시간에 이 리셋신호를 발생하여, 시험중인 회로부의 비동기 리셋 동작을 검사한다는 착상에 근거를 두고 있다. 이 시스템은 1개 이상의 스캔 체인을 포함할 수 있다(보통, 그 이상을 가질 수 있지만, 소형의 설계는 1개의 스캔 체인을 가질 수도 있다).
리셋동작을 시험하고 있는 회로부가 다양한 많은 형태를 취할 수 있으며, 집적회로의 전체가 될 수는 있다는 것은 자명하지만, 본 발명은 리셋 테스트중인 회로부가 매크로셀 회로부일 때 특히 유용하다. 이와 같은 매크로셀 회로부는 종종 적절한 시험을 필요로 하는 그들 자신의 고유한 리셋 동작을 갖는다. 마이크로프로세서는 시스템 충돌로 인해 리셋 동작을 필요로 할 것으로 상당히 예상되는 회로 소자이므로, 리셋 동작의 시험은 매크로셀이 마이크로프로세서인 경우에 매우 가치 가 있다.
본 발명이 특히 유용한 시스템 온 칩 설계와 관련하여, 직렬 테스트 스캔 체인은 회로부를 둘러싸는 경계 테스트 스캔 체인의 형태로 간편하게 제공될 수 있다. 회로부와 그것의 관련된 경계 테스트 스캔 체인은 종종 특정한 제공자로부터 공급되므로, 이와 같이 제공된 구성요소 내에 리셋 테스트 메카니즘을 캡슐화하는 것은 매우 편리하다.
스캔 체인 셀들은 클록신호의 사이클 내의 일정한 시점에서 갱신되는 기억 래치들을 포함할 수 있다. 이것은 스캔 체인 시험의 통상적인 동기형 동작을 제공한다. 리셋신호 발생 스캔 체인 셀의 특정한 바람직한 실시예에서, 이 셀 내부의 기억 래치는 마찬가지로 스캔 인에이블 신호에 의해 제어되는 게이트 논리에 의해 게이트가 제어되는 값을 갖는 신호를 기억하도록 구성된다. 따라서, 리셋신호를 발생하는데 필요한 신호값은 리셋신호 발생 스캔 체인 셀에 적재될 수는 있지만, 스캔 인에이블 신호의 적절한 변화에 의해 방출될 때까지는 회로부에의 인가가 방지된다. 스캔 인에이블 신호는 클록신호와 비동기로 제어되어, 클록신호에 비동기되어 회로부로 리셋신호를 방출하므로, 리셋 동작의 개량된 시험을 제공하게 된다.
본 발명의 또 다른 국면에 따르면, 본 발명은, 리셋신호의 수신시에 소정의 리셋값으로 리셋되는 신호값을 기억하도록 동작하는 적어도 1개의 회로부 래치를 포함하는 회로부와, 복수의 스캔 체인 셀들을 각각 갖고, 적어도 1개가, 상기 회로부의 정상 동작을 시험하는 과정의 일부로서, 스캔 인에이블 신호의 제어하에서 클록신호에 동기하여 상기 회로부에 인가되는 테스트 신호를 기억하고 이 테스트 신 호를 상기 회로부에 인가하도록 동작하는 1개 이상의 직렬 테스트 스캔 체인을 구비한 집적회로의 리셋 동작을 시험하며,
리셋신호 발생 스캔 체인 셀의 소정의 리셋신호값을 상기 직렬 테스트 스캔 체인에 기억하는 단계와,
상기 스캔 인에이블 신호의 제어하에서 상기 클록신호에 무관하게 이 클록신호와 비동기로 상기 소정의 리셋신호값으로부터 상기 리셋신호를 발생하는 단계를 포함하는 시험방법을 제공한다.
이하, 본 발명의 일 실시예를 다음의 첨부도면을 참조하여 더욱 상세히 설명한다:
도 1은 복수의 매크로셀을 포함하는 시스템 온 칩 설계를 개략적으로 나타낸 것이고,
도 2는 경계 스캔 셀을 나타낸 것이며,
도 3은 도 2에 도시된 경계 스캔 셀을 제어하는데 사용되는 클록신호와 스캔 인에이블 신호를 나타낸 것이고,
도 4는 리셋신호 발생 스캔 체인 셀을 나타낸 것이며,
도 5 및 도 6은 도 4에 도시된 회로의 동작을 개략적으로 나타낸 것이고,
도 7은 비동기 리셋을 시험할 때 스캔 인에이블 신호와 클록신호 사이의 서로 다른 가능한 관계를 개략적으로 나타낸 것이다.
도 1은 복수의 매크로셀(4, 6, 8)을 포함하는 집적회로(2)를 나타낸 것이다. 이들 매크로셀 각각은 집적회로(2)의 서로 다른 기능을 제공하며, 서로 다른 제공자에 의해 설계 및 제공될 수 있다. 매크로셀 8은 마이크로프로세서 코어로서 경계 스캔 셀 체인(10)에 의해 둘러싸인다. 마이크로프로세서 코어(8)의 내부에는, 리셋신호의 정상적인 올바른 동작 중에 소정의 리셋신호값으로 강제로 바뀌는 신호값들을 기억한다. 이들 래치 각각을 이와 같은 소정의 리셋신호 상태로 강제로 설정함으로써, 마이크로프로세서(8)는 알려진 리셋상태가 될 수 있으며, 이 상태로부터 프로세싱이 안전하게 다시 시작될 수 있다. 정규 동작시의 리셋신호는 집적회로(2)의 동작을 제어하는 다른 신호들 중에서 어느 하나에 대해 비동기로 주어질 수 있으므로, 리셋신호의 올바른 동작도 비동기로 시험이 진행되어야 한다는 것이 중요하다. 리셋신호가 시험중에 비동기로 인가되면, 래치들(12) 내부에 기억된 신호값들이 스캔되어 올바른 동작을 검사할 수 있다.
경계 스캔 셀 체인(10)은, IEEE JTAG 표준에 따라 제어될 수 있으며 마이크로프로세서(8)의 주변부 주위에 배치된 복수의 직렬 접속된 스캔 체인 셀들로 이루어진다. 스캔 체인 셀들은 마이크로프로세서(8)로 테스트 신호를 인가하고 마이크로프로세서로부터 결과신호들을 캡처하는데 사용된다.
도 2는 표준 경계 스캔 셀의 한가지 가능한 형태를 나타낸 것이다. 래치(14)는, 스캔 체인 셀 내부에 설치되어, 시험중인 회로부에 인가될 값을 기억하거나 시험중인 회로부터의 값을 캡처하는데 사용될 수 있다. 스캔 셀 체인에 신호값들을 직렬로 시프트시키거나 스캔 셀 체인으로부터 이 신호값들을 복원하고자 할 때에 는, 스캔 인에이블 신호가 사용되어 멀티플렉서(16)를 전환함으로써 스캔 체인 셀들을 직렬 접속한다. 래치(14)는 래치(14)에 인가된 클록신호의 상승부에서 그것의 값을 갱신시키도록 구성된다. 따라서, 클록신호의 각각의 상승부에서, 각각의 래치들(14) 내부에 유지된 신호값들은 전체적으로 스캔 셀 체인 내외로 한 개의 위치만큼 전진한다. 또 다른 멀티플렉서(18)는 시험동작중이 아닐 때에 스캔 셀을 전체적으로 우회하기 위해 설치되며, 테스트 인에이블 신호에 의해 제어된다.
도 3은 도 2에 도시된 회로의 동작을 나타내는 신호도이다. 래치(14)는 클록신호의 상승부 각각에서 갱신된다. 스캔 인에이블 신호가 하이 상태이면, 클록신호의 상승부가 스캔 셀 체인을 통한 직렬 시프트를 일으킨다. 스캔 인에이블 신호가 로우 상태이면, 셀이 신호 캡처용의 전용 셀인 경우에, 클록신호의 상승부가 신호값이 시험중인 회로부터 캡처되도록 기동한다. 클록신호에 동기하여 래치(14) 내부에 새로운 신호값이 기억되면, 이들 신호값은 시험중인 회로부에 인가된다.
도 4는 리셋신호 발생 스캔 체인 셀(20)을 나타낸 것이다. 이와 같은 리셋신호 발생 스캔 체인 셀(20)은, OR 게이트(22)를 포함시켜 도 2에 도시된 스캔 체인 셀로부터 변형시킨 것이다. OR 게이트(22)는 래치(14) 내부에 기억된 신호가 스캔 인에이블 신호를 이용하여 리셋신호로서 인가되거나 리셋신호로서 인가되지 않도록 게이트로 제어하는 역할을 한다. 리셋신호 발생 스캔 체인 셀(20)에 의해 발생된 리셋신호는 로우 상태일 때 활성이 되므로, 스캔 인에이블 신호가 하이 상태이면, OR 게이트(22)의 동작은 래치(14) 내부에 어떤 신호값이 기억되어 있는지 무관하게 리셋신호를 비활성 상태로 유지하는 것이 된다.
도 5를 참조하면, 리셋동작을 시험하지 않고자 할 때에는, 하이 값이 래치(14) 내부에 기억되므로, 스캔 인에이블 신호의 값에 무관하게 리셋신호가 비활성으로 유지된다.
도 6에 도시된 것과 같이, 리셋신호의 비동기 발생을 시험하고자 할 때, 이것은 먼저 로우 신호를 래치(14)에 적재함으로써 설정된다. 이와 같은 로우 신호값은, 스캔 인에이블 신호가 마찬가지로 로우가 될 때까지는, 리셋신호 발생 스캔 체인 셀(20)의 출력에 존재하는 것이 방지된다. 스캔 인에이블 신호는 클록신호의 현재 상태에 무관하게 로우가 될 수 있으므로, 필요에 따라 활성 상태의 리셋신호가 비동기로 시험중인 회로부로 방출된다.
리셋의 비동기 특성을 면밀하게 시험하기 위해서는, 도 7에 도시된 것과 같이, 스캔 인에이블 신호의 로우 시간이 명시적으로 클록신호 CLK의 상승부를 둘러싸지 않아야만 한다.
도 1로 다시 돌아가면, 리셋 동작을 완벽하게 시험하기 위해서는, 리셋신호의 인가에 의해 소정의 리셋값으로 강제로 전환되는 래치들(12) 각각에는, 리셋신호를 시험하기 전에 그것의 소정의 리셋신호값과 반대의 신호값이 사전에 적재될 수 있다. 이들 설정값은 관련된 스캔 셀 체인을 통해 래치(12)에 적재될 수 있다. 모든 래치들(12)이 적절히 적재되면, 비동기 리셋신호가 방출될 수 있으며, 리셋동작이 정확히 수행되고 있으면, 래치들(12)은 신호전이를 겪어야 한다. 이와 같은 비동기 리셋의 정확한 동작은, 래치들(12) 내부의 새로운 값들과 마이크로프로세서(8) 주위로부터의 다른 상태를 나타내는 값들을 캡처하고 스캔하 여 검증될 수 있다.

Claims (10)

  1. 리셋신호의 수신시에 정상적인 회로 동작에 따른 리셋값으로 리셋되는 신호값을 기억하도록 동작하는 적어도 1개의 회로부 래치를 갖는 회로부와,
    복수의 스캔 체인 셀들을 각각 갖고, 적어도 1개가, 상기 회로부의 정상 동작을 시험하는 과정의 일부로서, 스캔 인에이블 신호의 제어하에서 클록신호에 동기하여 상기 회로부에 인가되는 테스트 신호를 기억하고 이 테스트 신호를 상기 회로부에 인가하도록 동작하는 1개 이상의 직렬 테스트 스캔 체인을 구비하고,
    상기 직렬 테스트 스캔 체인은, 소정의 리셋신호를 기억시킬 때, 상기 스캔 인에이블 신호의 제어하에서 상기 클록신호에 무관하게 이 클록신호와 비동기로 상기 리셋신호를 발생하도록 동작가능한 리셋신호 발생 스캔 체인 셀을 구비한 것을 특징으로 하는 집적회로.
  2. 제 1항에 있어서,
    상기 회로부는 집적회로 내에서 특정 기능을 수행하는 매크로셀 회로부인 것을 특징으로 하는 집적회로.
  3. 제 2항에 있어서,
    상기 회로부는 마이크로프로세서 매크로셀인 것을 특징으로 하는 집적회로.
  4. 제 1항, 제 2항 또는 제 3항 중 어느 한 항에 있어서,
    상기 직렬 테스트 스캔 체인은 상기 회로부를 둘러싸는 경계 테스트 스캔 체인인 것을 특징으로 하는 집적회로.
  5. 제 1항, 제 2항 또는 제 3항 중 어느 한 항에 있어서,
    상기 직렬 테스트 스캔 체인은 테스트 신호를 상기 회로부에 인가하고, 상기 회로부로부터 응답신호를 캡처하는 것을 특징으로 하는 집적회로.
  6. 제 1항, 제 2항 또는 제 3항 중 어느 한 항에 있어서,
    상기 스캔 체인 셀들은, 상기 클록신호의 신호 사이클 내의 일정한 시점에 갱신되는 신호 기억 래치인 것을 특징으로 하는 집적회로.
  7. 제 1항, 제 2항 또는 제 3항 중 어느 한 항에 있어서,
    상기 리셋신호 발생 스캔 체인 셀은 상기 클록신호의 신호 사이클 내의 일정한 시점에 갱신되는 리셋신호 기억 래치를 구비한 것을 특징으로 하는 집적회로.
  8. 제 7항에 있어서,
    상기 리셋신호 발생 스캔 체인 셀은, 상기 스캔 인에이블 신호를 사용하여 상기 리셋신호 기억 래치로부터 발생된 상기 리셋신호를 게이트 제어하도록 동작하는 게이트회로를 구비한 것을 특징으로 하는 집적회로.
  9. 제 1항, 제 2항 또는 제 3항 중 어느 한 항에 있어서,
    상기 리셋신호를 발생하기 전에, 회로부 래치 각각에는 상기 소정의 리셋과 다른 신호값이 적재되는 것을 특징으로 하는 집적회로.
  10. 리셋신호의 수신시에 정상적인 회로 동작에 따른 리셋값으로 리셋되는 신호값을 기억하도록 동작하는 적어도 1개의 회로부 래치를 포함하는 회로부와, 복수의 스캔 체인 셀들을 각각 갖고, 적어도 1개가, 상기 회로부의 정상 동작을 시험하는 과정의 일부로서, 스캔 인에이블 신호의 제어하에서 클록신호에 동기하여 상기 회로부에 인가되는 테스트 신호를 기억하고 이 테스트 신호를 상기 회로부에 인가하도록 동작하는 1개 이상의 직렬 테스트 스캔 체인을 구비한 집적회로의 리셋 동작을 시험하며,
    리셋신호 발생 스캔 체인 셀의 소정의 리셋신호값을 상기 직렬 테스트 스캔 체인에 기억하는 단계와,
    상기 스캔 인에이블 신호의 제어하에서 상기 클록신호에 무관하게 이 클록신호와 비동기로 상기 소정의 리셋신호값으로부터 상기 리셋신호를 발생하는 단계를 포함하는 것을 특징으로 하는 시험방법.
KR1020037007894A 2000-12-22 2001-06-29 비동기 리셋회로 시험 KR100790428B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB0031554A GB2370364B (en) 2000-12-22 2000-12-22 Testing integrated circuits
GB0031554.9 2000-12-22
PCT/GB2001/002883 WO2002052290A1 (en) 2000-12-22 2001-06-29 Asynchronous reset circuit testing

Publications (2)

Publication Number Publication Date
KR20040004461A KR20040004461A (ko) 2004-01-13
KR100790428B1 true KR100790428B1 (ko) 2008-01-02

Family

ID=9905822

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037007894A KR100790428B1 (ko) 2000-12-22 2001-06-29 비동기 리셋회로 시험

Country Status (12)

Country Link
US (1) US6779143B2 (ko)
EP (1) EP1344071B1 (ko)
JP (1) JP2004529318A (ko)
KR (1) KR100790428B1 (ko)
CN (1) CN1238729C (ko)
DE (1) DE60109321T2 (ko)
GB (1) GB2370364B (ko)
IL (1) IL151344A (ko)
MY (1) MY127546A (ko)
RU (1) RU2260813C2 (ko)
TW (1) TW559967B (ko)
WO (1) WO2002052290A1 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5260950A (en) * 1991-09-17 1993-11-09 Ncr Corporation Boundary-scan input circuit for a reset pin
US20040153926A1 (en) * 2002-10-30 2004-08-05 Abdel-Hafez Khader S. Method and apparatus for testing asynchronous set/reset faults in a scan-based integrated circuit
CN1516015B (zh) * 2003-01-09 2010-04-07 华为技术有限公司 多链边界扫描测试系统及多链边界扫描测试方法
US7155647B2 (en) * 2003-05-06 2006-12-26 Sun Microsystems, Inc. Scan of chip state from a hierarchical design
CN100370269C (zh) * 2003-11-19 2008-02-20 华为技术有限公司 一种边界扫描测试控制器及边界扫描测试方法
CN100348992C (zh) * 2003-11-19 2007-11-14 华为技术有限公司 一种外围互连线的测试方法
US20050240846A1 (en) * 2004-04-23 2005-10-27 Texas Instruments Incorporated Accurate Generation of Scan Enable Signal when Testing Integrated Circuits Using Sequential Scanning Techniques
GB0413140D0 (en) 2004-06-12 2004-07-14 Texas Instruments Ltd Serial burn-in monitor
US7685488B2 (en) * 2004-07-28 2010-03-23 Nxp B.V. Circuit interconnect testing arrangement and approach therefor
WO2007029190A2 (en) * 2005-09-08 2007-03-15 Nxp B.V. Scan testing methods
US7350122B2 (en) * 2005-11-03 2008-03-25 International Business Machines Corporation Method, apparatus and computer program product for implementing scan-chain-specific control signals as part of a scan chain
CN102495356B (zh) * 2011-11-30 2014-11-05 福州大学 扫描链异步复位寄存器复位端口处理方法
CN103135051B (zh) * 2011-12-02 2017-02-08 宁波中嘉科贸有限公司 电源重启测试治具
US8990648B2 (en) 2012-03-28 2015-03-24 International Business Machines Corporation Optimized synchronous scan flip flop circuit
CN103884981B (zh) * 2014-04-16 2016-11-02 威盛电子股份有限公司 隔离电路
JP2016180636A (ja) * 2015-03-24 2016-10-13 日本電気株式会社 非同期リセット回路テスト装置、非同期リセット回路テスト方法、及び、プログラム
CN106324483B (zh) * 2016-08-30 2019-07-30 歌尔科技有限公司 一种复位电路检测系统和检测方法
US10502784B2 (en) * 2017-09-22 2019-12-10 Stmicroelectronics International N.V. Voltage level monitoring of an integrated circuit for production test and debug

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5260950A (en) * 1991-09-17 1993-11-09 Ncr Corporation Boundary-scan input circuit for a reset pin
US5416784A (en) * 1991-10-28 1995-05-16 Sequoia Semiconductor Built-in self-test flip-flop with asynchronous input
US5574731A (en) * 1995-02-22 1996-11-12 National Semiconductor Corporation Set/reset scan flip-flops
EP0846956A2 (en) 1996-12-04 1998-06-10 Koninklijke Philips Electronics N.V. Scan path circuit with holding means

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB846956A (en) * 1957-10-10 1960-09-07 David Katz Improvements in or relating to variable electrical resistors
US4649539A (en) * 1985-11-04 1987-03-10 Honeywell Information Systems Inc. Apparatus providing improved diagnosability
US5166604A (en) * 1990-11-13 1992-11-24 Altera Corporation Methods and apparatus for facilitating scan testing of asynchronous logic circuitry
US5497378A (en) * 1993-11-02 1996-03-05 International Business Machines Corporation System and method for testing a circuit network having elements testable by different boundary scan standards
JP3333036B2 (ja) * 1994-03-17 2002-10-07 富士通株式会社 試験装置、試験装置を備えたシステムおよび試験方法
FR2762683B1 (fr) * 1997-04-29 1999-07-16 Sgs Thomson Microelectronics Circuit testable a faible nombre de broches
JP3601418B2 (ja) * 1999-07-23 2004-12-15 セイコーエプソン株式会社 半導体集積回路、液晶装置及び電子機器並びに半導体集積回路の検査方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5260950A (en) * 1991-09-17 1993-11-09 Ncr Corporation Boundary-scan input circuit for a reset pin
US5416784A (en) * 1991-10-28 1995-05-16 Sequoia Semiconductor Built-in self-test flip-flop with asynchronous input
US5574731A (en) * 1995-02-22 1996-11-12 National Semiconductor Corporation Set/reset scan flip-flops
EP0846956A2 (en) 1996-12-04 1998-06-10 Koninklijke Philips Electronics N.V. Scan path circuit with holding means

Also Published As

Publication number Publication date
IL151344A (en) 2005-08-31
GB0031554D0 (en) 2001-02-07
US6779143B2 (en) 2004-08-17
KR20040004461A (ko) 2004-01-13
MY127546A (en) 2006-12-29
RU2260813C2 (ru) 2005-09-20
CN1443310A (zh) 2003-09-17
US20020083389A1 (en) 2002-06-27
TW559967B (en) 2003-11-01
EP1344071B1 (en) 2005-03-09
DE60109321T2 (de) 2006-04-06
WO2002052290A1 (en) 2002-07-04
RU2002122096A (ru) 2004-03-20
EP1344071A1 (en) 2003-09-17
DE60109321D1 (de) 2005-04-14
IL151344A0 (en) 2003-04-10
JP2004529318A (ja) 2004-09-24
GB2370364A (en) 2002-06-26
CN1238729C (zh) 2006-01-25
GB2370364B (en) 2004-06-30

Similar Documents

Publication Publication Date Title
KR100790428B1 (ko) 비동기 리셋회로 시험
US10598728B2 (en) Scan chain circuit supporting logic self test pattern injection during run time
KR0174340B1 (ko) 매립 논리 회로 검사 시스템 및 그 검사 방법과 집적 회로 칩
JP3612336B2 (ja) Jtagを用いたi/oトグル試験方法及び装置
US6510398B1 (en) Constrained signature-based test
US5717695A (en) Output pin for selectively outputting one of a plurality of signals internal to a semiconductor chip according to a programmable register for diagnostics
US8671320B2 (en) Integrated circuit comprising scan test circuitry with controllable number of capture pulses
US6223312B1 (en) Test-facilitating circuit for information processing devices
CN1329833C (zh) 用于容错和柔性测试签名生成器的方法和装置
US20020176288A1 (en) Semiconductor integrated circuit device and test method thereof
EP1647828B1 (en) Automatic fault-testing of logic blocks using internal at-speed logic-BIST
US20060031807A1 (en) Assertion checking
US20030056164A1 (en) Circuit scan output arrangement
US7426705B1 (en) Combined hardware/software assertion checking
US20050235184A1 (en) Semiconductor integrated circuit device and test method thereof
US20050172188A1 (en) Diagnostic method for detection of multiple defects in a Level Sensitive Scan Design (LSSD)
US7752514B2 (en) Methods and apparatus for testing a scan chain to isolate defects
US7461307B2 (en) System and method for improving transition delay fault coverage in delay fault tests through use of an enhanced scan flip-flop
Quddus et al. Configuration self-test in FPGA-based reconfigurable systems
US7607057B2 (en) Test wrapper including integrated scan chain for testing embedded hard macro in an integrated circuit chip
CN116224045B (zh) 一种测试电路及降低扫描测试中捕获阶段功耗的方法
US20140365838A1 (en) Integrated circuit comprising test circuitry for testing fan-out paths of a test control primary input
US5515517A (en) Data processing device with test circuit
CN112585486A (zh) 扩展jtag控制器和使用扩展jtag控制器进行功能复位的方法
US20030110431A1 (en) Scanning an allowed value into a group of latches

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101124

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee