WO2001046684A1 - Surveillance de metallisation sur site a l'aide de mesures par courant de foucault et de mesures optiques - Google Patents

Surveillance de metallisation sur site a l'aide de mesures par courant de foucault et de mesures optiques Download PDF

Info

Publication number
WO2001046684A1
WO2001046684A1 PCT/US2000/035358 US0035358W WO0146684A1 WO 2001046684 A1 WO2001046684 A1 WO 2001046684A1 US 0035358 W US0035358 W US 0035358W WO 0146684 A1 WO0146684 A1 WO 0146684A1
Authority
WO
WIPO (PCT)
Prior art keywords
sample
signals
recited
sensing coil
eddy
Prior art date
Application number
PCT/US2000/035358
Other languages
English (en)
Other versions
WO2001046684A9 (fr
Inventor
Kurt R. Lehman
Shing M. Lee
Walt Johnson
John Fielden
Guoheng Zhao
Mehrdad Nikoonahad
Original Assignee
Kla-Tencor Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/633,800 external-priority patent/US6707540B1/en
Priority claimed from US09/633,198 external-priority patent/US6433541B1/en
Application filed by Kla-Tencor Corporation filed Critical Kla-Tencor Corporation
Priority to JP2001547540A priority Critical patent/JP4817575B2/ja
Priority to EP00991438A priority patent/EP1244907A1/fr
Publication of WO2001046684A1 publication Critical patent/WO2001046684A1/fr
Publication of WO2001046684A9 publication Critical patent/WO2001046684A9/fr

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/10Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving electrical means
    • B24B49/105Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving electrical means using eddy currents
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/72Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating magnetic variables

Definitions

  • the invention relates to apparatus for performing measurements of film characteristics (e.g., film endpoint detection and thickness) of a semiconductor wafer
  • CMP chemical mechanical polishing
  • the SEM method includes forming multiple contacts with the wafer surface to obtain a conductivity measurement.
  • the SEM method includes cross-sectioning the wafer to thereby
  • One non-destructive measurement approach is to obtain optical measurements of the film thickness, e.g., via optical reflectance or transmission measurements.
  • In- situ optical measurements are typically not performed during a CMP process because
  • the sample undergoing polishing is obscured by debris that may adversely affect the
  • the wafer is polished by rubbing the wafer between a wafer carrier and pad that is atop a platen.
  • a slurry is typically used to mechanically and chemically facilitate removal of a portion of a film deposed on the wafer's surface.
  • the CMP slurry and residues adjacent to the wafer surface are typically optically inhomogeneous and mostly opaque.
  • This debris typically interferes with measurements of the sample.
  • the copper film is initially optically opaque.
  • three endpoints are detected in copper CMP. A first endpoint may occur when the copper film is reduced to a specific thickness, which may be, for example, when the copper film begins to become optically transparent.
  • the underlying liner layer e.g., TaN or WN
  • the polishing can then be stopped without polishing away other structures on the wafer or to change process conditions.
  • U.S. Patent 5,433,651 describes a single beam reflectometer employing a window within a cavity of the CMP polishing pad and platen.
  • the described approach has the disadvantage that CMP slurry and residue can build up in the cavity formed within the platen/polishing pad. The slurry and residue make optical measurements difficult.
  • Another approach, described in E.P. Patent 96302176.1 attempts to solve this problem by providing a "soft window" within the cavity where slurry and residue might otherwise accumulate. Unfortunately, this window typically becomes scratched during the polishing process and pad conditioning and thereby also degrades the quality of optical measurements. Also, the material that is used to form the soft window typically scatters the measuring beam.
  • U.S. Patent 5,081,796 describes moving a small edge portion of the wafer off the edge of the polishing pad, where the removed portion is then exposed to a jet of water which helps guide a beam onto the wafer's edge.
  • this approach has the disadvantage of only measuring the film at the edge of the wafer. Since only a small portion of the entire wafer surface is measured, measurement of the endpoint is not very accurate. Furthermore, this procedure may adversely affect the polishing process.
  • Another non-destructive measurement technique utilizes an eddy current probe.
  • U.S. Patent 6,072,313 describes an eddy current probe that merely detects whether a film has changed. More specifically, the disclosed eddy current probe is formed from a high- Q tuned resonant circuit.
  • This approach has several associated disadvantages. For example, the high-Q resonant circuits are sensitive to environmental changes, and therefore the eddy probe measurements are detrimentally affected by disturbances in environmental conditions, such as temperature, vibration, and changes in distances between the probe and the wafer. Additionally, only magnitude measurements at a single resonant frequency are provided. In sum, present approaches provide a relatively limited amount of information about the film under test.
  • the present invention addresses some of the above problems by providing improved apparatus and methods for providing information regarding a film while such film is undergoing a deposition or removal process. Specifically, improved mechanisms for performing in-situ eddy probe measurements and/or optical device measurements are disclosed.
  • the invention pertains to a method of obtaining information in-situ regarding a film of a sample using an eddy probe during a process for removing the film.
  • the eddy probe has at least one sensing coil.
  • An AC voltage is applied to the sensing coil(s) of the eddy probe.
  • One or more first signals are measured in the sensing coil(s) of the eddy probe when the sensing coil(s) are positioned proximate the film of the sample.
  • One or more second signals are measured in the sensing coil(s) of the eddy probe when the sensing coil(s) are positioned proximate to a reference material having a fixed composition and/or distance from the sensing coil.
  • the first signals are calibrated based on the second signals so that undesired gain and/or phase changes within the first signals are corrected.
  • a property value of the film is determined based on the calibrated first signals.
  • a measurement device for obtaining information regarding a film of a sample.
  • the measurement device includes an AC voltage source and a sensing coil coupled with the AC voltage source so that the AC voltage source is operable to induce an AC voltage on the sensing coil.
  • the measurement device also includes an impedance meter coupled with the sensing coil that detects a change in the AC voltage on the sensing coil, a memory having programming instructions, and a processor coupled with the memory.
  • the processor and memory are adapted for causing the AC voltage to be induced on the sensing coil and analyzing the change in the AC voltage on the sensor to determine a thickness value of the film of the sample.
  • the processor and memory are further adapted to perform the above described methods.
  • a chemical mechanical polishing (CMP) system for polishing a sample with a polishing agent and monitoring the sample.
  • the CMP system includes a polishing table, a sample carrier arranged to hold the sample over the polishing table, and a measurement device as described above.
  • the polishing table and sample carrier are arranged to receive a polishing agent between the sample and the polishing table and to polish the sample by moving the polishing table and the sample carrier relative to each other.
  • the measurement device is arranged to obtain information regarding the sample while the sample is being polished.
  • a chemical mechanical polishing (CMP) system for polishing a sample with a polishing agent and monitoring the sample.
  • the CMP system includes a polishing table, a sample carrier arranged to hold the sample over the polishing table and an eddy probe.
  • the polishing table and sample carrier are arranged to receive a polishing agent between the sample and the polishing table and to polish the sample by moving the polishing table and the sample carrier relative to each other.
  • the eddy probe is arranged to be operable to obtain a measurement of the sample while the sample is being polished.
  • the CMP system further includes an optical measurement device arranged to be operable to obtain a measurement of the sample while the sample is being polished.
  • the CMP system also has a memory and a processor coupled with the memory. The processor and memory are adapted for operating the eddy probe and optical measurement device.
  • an apparatus for depositing a film on a sample includes a chamber for receiving the sample and a first material to be evaporated onto the sample and an eddy probe arranged to be operable to obtain a measurement of the sample while the first material is being deposited onto the sample.
  • the apparatus further includes an optical measurement device positioned so that an optical beam may be directed towards the sample by the optical measurement device and a resulting optical beam emanating from the sample may be detected by the optical measurement device.
  • the apparatus also includes a memory and a processor coupled with the memory. The processor and memory are adapted or operating the eddy probe and optical measurement device.
  • the invention pertains to a method of obtaining information in-situ regarding a film of a sample using an eddy probe and an optical measurement device during a process for removing the film.
  • One or more first eddy signals output from the eddy probe are measured when the eddy probe is positioned proximate the film of the sample.
  • One or more second optical signals output from the optical measurement device are measured when the optical measurement device is positioned proximate the film of the sample.
  • a first property value of the film is determined based on a selected one of the first eddy signals, and a second property value of the film is determined based on a selected one of the first optical signals.
  • a method of obtaining information in-situ regarding a film of a sample using an optical measurement device during a process for removing the film is disclosed.
  • a plurality of optical signals are measured from the sample with the optical measureme. t device as a function of time.
  • a time is estimated until the film is removed based on a dip in reflectivity of the optical signal.
  • a finish time of the removal process is then adjusted based on the estimated time.
  • a plurality of sets of optical signals are measured from the sample with the measurement device as a function of time, each set being at a different angle of incidence, so that the dip is easier to ascertain.
  • FIG. 1 is a diagrammatic representation of a chemical mechanical polishing
  • CMP CMP system having an eddy measurement device or probe in accordance with one embodiment of the present invention
  • FIG. 2 is a simplified equivalent circuit of an eddy circuit in accordance with one embodiment of the present invention.
  • FIG. 3A is a graph of an output of the eddy probe of FIG. 2 as a function of time in accordance with one embodiment of the present invention
  • FIG. 3B is a graph of a calibrated magnitude output of the eddy probe of FIG. 2 as a function of time in accordance with one embodiment of the present invention
  • FIG. 3C is a vector plot of the measured voltage vectors (I vs. Q) of the eddy probe of FIG. 2;
  • FIG. 3D is a plot of measured copper thickness vs. time during a polish process.
  • FIG. 4 is a graph of eight lift-off curves, and a circular arc intersecting the liftoff curves, generated in accordance with one embodiment of the present invention
  • FIG. 5 is side view of a combination eddy current and optical measurement device in accordance with another embodiment of the present invention.
  • FIG. 6 is a diagrammatic representation of a section of a chemical mechanical polishing (CMP) apparatus that incorporates one or more measurement system(s) (not shown) with a self-clearing objective in accordance with one embodiment of the present invention
  • FIG. 7 shows four graphs of reflectivity values as a function of film thickness
  • FIG. 8A illustrates three layers of a sample: a silicon dioxide layer, a Ta layer, and a Cu layer;
  • FIG. 8B illustrates the results after polishing the Cu of FIG. 8A at a relatively fast rate
  • FIG. 8C illustrates dishing and erosion within the Ta layer as a result of a relatively fast rate of polishing for the Cu layer
  • FIG. 8D illustrate a slow etch of a copper layer
  • FIG. 9 is a diagrammatic illustration of a multi-chamber deposition tool having a combination eddy current and optical probe in accordance with one embodiment of the present invention.
  • electrical resistivity is the inverse of electrical conductivity, determination of either of these quantities in accordance with the invention determines both of them.
  • the invention is described herein with reference to embodiments which determine a sample's electrical conductivity, it will be apparent to those of ordinary skill in the art how to implement variations on these embodiments to determine electrical resistivity in accordance with the invention. It will also be apparent to those of ordinary skill in the art how to implement variations on these embodiments to determine complex electrical conductance, resistance, sheet conductance, or sheet resistance.
  • electrical resistance can be determined by measuring electrical resistivity using the described apparatus, independently measuring a linear dimension of the sample by any conventional means, and dividing the measured resistivity by the measured linear dimension to determine the resistance.
  • the term "conductance" is used in a broad sense to denote conductivity, resistivity, conductance, resistance, sheet conductance, or sheet resistance.
  • AC voltage is used throughout the specification, including in the claims, to denote any periodically time-varying voltage, including for example, voltages having sinusoidal, square wave, or sawtooth waveforms.
  • eddy current measurement apparatus and techniques of the present invention may be utilized alone or in combination with the optical apparatus and techniques of the present invention.
  • the optical apparatus and techniques of the present invention may be used with or without the eddy current apparatus and techniques.
  • FIG. 1 is a diagrammatic representation of a chemical mechanical polishing (CMP) system 100 having an eddy measurement device or probe 102 in accordance with one embodiment of the present invention.
  • CMP chemical mechanical polishing
  • the CMP system 100 also includes a wafer carrier 104 to which a wafer may be mounted (not shown).
  • the platen 110 and pad 106 move relative to the wafer carrier to thereby polish the mounted wafer.
  • the eddy probe 102 may obtain measurements of the wafer, wafer carrier, and/or free space as the platen 110 moves relative to the wafer carrier 110.
  • eddy probe measurements of the wafer carrier and/or free space may be utilized to calibrate the eddy probe measurements of the wafer and thereby minimize environmental effects.
  • the eddy probe 102 is preferably coupled with processor 108 that includes a general purpose digital computer programmed with software for generating the data signals described herein (for example signals indicative of the below-described conductance function and related film thickness, and signals indicative of the below- described conductivity or resistivity values and related thickness values), and for storing data in (and retrieving stored data from) memory associated therewith.
  • processor 108 includes a general purpose digital computer programmed with software for generating the data signals described herein (for example signals indicative of the below-described conductance function and related film thickness, and signals indicative of the below- described conductivity or resistivity values and related thickness values), and for storing data in (and retrieving stored data from) memory associated therewith.
  • any suitable combination of hardware and/or software may be utilized for controlling the eddy probe 102 and analyzing signals measured by the probe 102.
  • the eddy probe circuit may be implemented in any suitable manner.
  • the eddy probe includes a sensing coil, an AC voltage source for inducing an AC voltage on the sensing coil, and an impedance meter for measuring an impedance or impedance change on the sensing coil.
  • the impedance meter may take the form of any suitable meter for measuring the real and imaginary components of the sensing coil impedance.
  • the impedance meter may include a bridge coupled with the sensing coil, as well as a reference coil, and a synchronous detector as described below with reference to FIG. 2.
  • FIG. 2 is a simplified equivalent circuit of an eddy probe circuit 200 in accordance with one implementation of the present invention.
  • the 200 includes differential probe coils 202 mounted within a probe head 203.
  • the differential coils 202 include a sensing coil 202b positioned close to the sample and a reference coil 202a positioned away from the sample.
  • the probe head 203 is mounted within the platen of the CMP system (not shown).
  • the eddy probe circuit 200 also includes an impedance bridge 204 coupled with differential probe coils 202.
  • the impedance bridge 204 is also coupled with a synchronous detection block 250 for measuring the I and Q difference values of the differential probe coils 202.
  • the differential probe coils 202 are also driven by frequency source 208 through power amp 206 and bridge 204.
  • frequency source 208 When activated, frequency source 208 produces AC voltage in differential probe coils 202 with a selected frequency within the range from 1 KHz to at least 100 MHz. In a typical case in which differential probe coils 202 (and associated electrical lines) represent a load of 50 ohms to source 208, source 208 is capable of producing sinusoidal voltage having a peak-to-peak amplitude of about five volts in differential probe coils 202. To increase the probe's spatial resolution, thereby allowing measurement of the conductance of smaller sample regions (either at the sample surface or at selected depths below the sample surface), the diameters of differential probe coils 202 should be reduced and the AC voltage frequency in differential probe coils 202 increased. Additionally, the AC voltage frequency may be selected based on any suitable factor. For example, the frequency may be selected for different film thicknesses, material composition, probe-to-carrier distance, and/or probe size. A sweep of frequencies or several simultaneous discrete frequencies may also be selectably generated on the eddy probe.
  • Desired thin layers of a multilayer sample can be selectively measured because, for a given probe, the depth of the sample region measured depends in a well understood manner on the AC voltage frequency in differential probe coils 202.
  • the differential coil voltage frequency can be chosen to cause the electromagnetic field due to the differential coil to extend to a desired depth in the sample.
  • the amplitude of the AC voltage induced in sensing coil 202b in response to AC voltage in sensing coil 202b will depend on the conductance of sample 205.
  • Differential amplifier 210 which is connected to differential probe coils 202, amplifies the difference between the signals from the reference coil 202a and the sensing coil 202b. By taking a difference between the reference coil 202a and the sensing coil 202b, differences caused by environmental changes to the coils may be reduced since these changes, in theory, affect both coils about equally. Alternatively, a single probe coil could be used. This difference signal contains an in-phase component and the quadrature component.
  • the output of the differential amplifier 210 is input into a first mixer 214, along with the AC voltage output from source 208.
  • the output of the amplifier 210 is also input to a second mixer 216, along with the AC voltage that has first been phase shifted by 90 degrees.
  • the output of mixer 214 is input to a low pass filter 218, and the output of mixer 216 is output to a low pass filter 220.
  • the output of the low pass filters are the separated in-phase (I) and quadrature (Q) components of the differential probe coils 202. These signals are then sent through analog-to-digital converters 222 and 224, respectively, to generate digital I and Q values. Alternative methods for detecting I and Q components could also be used.
  • amplifier 210 be selected to provide a high gain (on the order of 10,000 to 50,000). To avoid signal saturation, such high gains can only be used if the bridge circuit 204 is precisely balanced, so that the bridge voltage output is effectively near zero when no sample is present proximate the sensing coil 202b. As it is currently difficult to construct the differential probe coil assembly 202 such that the two coils are electrically identical, it is necessary to adjust the impedance of either the fixed bridge elements 204 or of the probe coils 202. The impedance of the fixed bridge or probe coils may be balanced by any suitable impedance adjustment mechanism. For example, a variable resistance may be added in series with either probe coil 202 or either bridge resistor 204.
  • the imaginary impedance term may be balanced by placing a small capacitance across either probe coil 202 or either bridge resistor 204.
  • the resistive and capacitive elements used to balance the bridge circuit just described may take the form of discrete components that are manually adjusted, or they may be replaced with active elements such as electronically variable resistors and variable capacitance diodes or varactors, which may be used to dynamically balance the bridge circuit either under computer control or via closed-loop control circuitry.
  • active elements such as electronically variable resistors and variable capacitance diodes or varactors
  • the output signals from synchronous detection block 250 preferably a digital signal indicative of the amplitudes of both the in-phase and quadrature components of the induced voltage in differential probe coils 202, undergoes processing in accordance with the invention in processor 108 of FIG. 1 (in a manner to be described below).
  • the in-phase and quadrature components of the induced voltage in differential probe coils 202 are measured using an AC mutual- inductance bridge.
  • An alternative eddy current circuit is described in U.S. Patent No. 5,552,704 by Mallory et al filed 25 June 1993.
  • each coil is wound on a core of material, such as a conductive epoxy or high permeability ferrite material, that also minimizes environmental effects and improves signal-to-noise.
  • each coil is wound on a core of acrylic material.
  • the differential probe and bridge design also provides a sensitive impedance measurement of the sensing coil 202b relative to the reference coil 202a. That is, the absolute impedance of the sensing coil 202b as affected by a sample may be obtained. In other words, there is a quantifiable measurement.
  • the absolute impedance values can be directly correlated to absolute thickness values. Accordingly, precise removal rates may also be determined.
  • Previous eddy probe methods are capable of only determining that thickness had changed, but are not capable of determining by how much the thickness has changed. That is, conventional methods cannot isolate the area of interest from environment induced changes. Thus, conventional methods required extensive calibration and priori knowledge of the process and sample.
  • the probe 203 may be easily scaled down to obtain a small spot size. Thus, when the size of the differential probe is reduced, a smaller, but usable, signal may still be detected by the differential probe.
  • the eddy current measurement techniques of the present invention may be utilized in various types of in-situ applications.
  • an eddy current probe may be integrated within a chemical mechanical polishing (CMP) tool.
  • CMP chemical mechanical polishing
  • the eddy current probe is utilized to detect one or more endpoint(s) of one or more etched layers.
  • an eddy current probe may be integrated within a deposition tool. In this case, the eddy probe is utilized to detect film thickness of a deposited layer.
  • phase and magnitude measurements for the sample under test, sample carrier, and free space are obtained.
  • the measurements of the sample carrier and/or free space may be utilized to calibrate the measurements taken of the sample under test.
  • the measurements of the sample carrier and/or free space are used to compensate for gain and phase errors within the measurements of the sample's film thickness.
  • the sample carrier is typically formed from a conductive material (encased in plastic) that surrounds the sample, and the composition and thickness of the sample carrier is expected to remain constant.
  • a measurement of the sample carrier provides a stable reference point for continuously calibrating the measurement of the sample.
  • the measurement of the reference also provides a mechanism for determining the distance from the probe to the carrier (e.g., as a measurement of pad thickness).
  • any suitable reference material may be used, and the reference material may be placed at any measurable position.
  • a slug of conductive material may be mounted behind the wafer carrier.
  • a measurement of free space provides a mechanisms for sensing changes to the detection circuitry (e.g., change in coil temperature, etc.).
  • FIG. 3 A is a graph of an output of the eddy probe (e.g., real or imaginary component) of FIG. 2 as a function of time in accordance with one embodiment of the present invention.
  • the probe moves relative to the wafer and wafer carrier so that measurements are sequentially and repeatedly taken across the wafer, wafer carrier, and free space.
  • the probe is positioned so that it moves radially across the wafer.
  • measured peak signal values for the sample carrier are shown at data points 234, 434, and 634 (in this example, each data point is 1 ms).
  • the peak signal values for free space (or open coil) are shown at data points 212, 412, and 612.
  • the peak signal values for a center point on the sample are shown at data points 310, 510, and 710.
  • Reference vectors (e.g., for the carrier) may then be defined as:
  • R is the I component for the sample carrier signal
  • R q is the Q component for the sample carrier signal
  • OC is the I component for the open coil or free space signal
  • OC q is the Q component for the open coil or free space signal.
  • W is the I component for the wafer signal
  • W q is the Q component for the wafer signal.
  • the calibrated magnitude and phase values may be quickly generated "on-the-fly" during the CMP process. These calibrated values may then be analyzed to readily determine various characteristics regarding the sample.
  • FIG. 3B is a graph of a calibrated magnitude output of the eddy probe of FIG. 2 as a function of time in accordance with one embodiment of the present invention.
  • the magnitude values (on the vertical axis) may then be easily converted to thickness values to generate a film thickness values as a function of time.
  • a sample having a known thickness value may be measured with the eddy probe to generate a linear function of thickness as a function of voltage.
  • the thickness of a sample measured with the eddy probe may be determined with any suitable measurement system, such as a four-point probe.
  • Magnitude/thickness vs. voltage graphs may be generated for multiple samples having known film thickness values and compositions.
  • a measured voltage value from a sample having an unknown thickness may be correlated with a thickness value via thickness vs. voltage graphs.
  • FIG. 3C is a graph of each of the measured vectors (I vs. Q).
  • I vs. Q the measured vectors
  • Various environmental conditions cause the vectors to drift within the graph in a particular manner.
  • a temperature change causes the vectors to move towards the origin point.
  • an increase in probe-to-canier distance causes a decrease in the carrier signal's magnitude.
  • the measurement vector direction is also affected by the material composition. For example, ferrous vs. non-ferrous metals may be easily discerned.
  • Changes in temperature and/or probe-to-sample distance values may indicate a problem within the CMP system. For example, a significant decrease in probe-to- carrier distance may indicate that the pad of the CMP system requires replacement. By way of another example, a significant increase in temperature may indicate that the CMP system is overheating and corrective action is required.
  • the temperature change may also be used to estimate endpoint. For example, as copper is removed, the friction coefficient of the copper changes, which change results in a change in the amount of heat generated by the copper rubbing against the pad and slurry. This change in temperature may then be directly correlated with the endpoint.
  • variations may also be determined during polishing and utilized to adjust the process on the fly (e.g., to maintain uniformity). For example, if one portion of the sample is polishing at a slower rate than the rest of the sample, adjustments to the polishing parameters may be made to increase the polishing rate to the slower polishing sample portion.
  • the adjustment techniques depend on the particular configuration of the polishing system. For instance, air bladders may be mounted behind the sample carrier to provide back pressure to the sample against the pad. Pressure may be increased to a particular sample portion by increasing the air content of one or more bladders located behind the particular sample portion. Thus, film may be removed uniformly across the sample. Other types of CMP systems may simply provide air holes or vacuum holes behind the wafer for controlling pressure. In these configurations, the amount of air or vacuum is simply decreased or increased to particular sample portions based on the level of unevenness in polishing rates.
  • time history and spatially diverse measurements across the sample gives a fuller coverage and better confidence level for determining endpoints.
  • the radial non-uniformity can also be determined and accounted for in the prediction of endpoints and/or polishing rate.
  • a relatively high confidence level for endpoint prediction is obtained.
  • the techniques of the present invention for monitoring various process parameters e.g., temperature change, probe-to-sample distance, polishing rate, etc.
  • techniques for monitoring motor current, torque, and motor ultrasonics may be used in conjunction with the techniques of this invention to more accurately adjust operating parameters of the CMP process.
  • FIG. 3D illustrates three different polishing rates for three different sample portions. The difference in endpoints for each sample portion is depicted by arrow 375. A polishing time that is long enough to reach the endpoint for all three sample portions may then be selected (i.e., the longest polishing time).
  • eddy current measurements are first performed on each of a number of samples (N samples) having known conductivity, to generate a corresponding number of lift-off curves (N lift-off curves). Eight such lift-off curves are shown in FIG. 4.
  • Each lift-off curve is generated by producing an AC voltage in differential coil
  • a small number (such as twenty-five) of coil voltage pairs (each pair comprising an in-phase difference voltage and a corresponding quadrature difference voltage) are measured for each sample.
  • Each coil voltage pair is measured with a different probe position along the z-axis with respect to the sample.
  • a set of measured coil difference voltage pairs is processed to determine a lift-off curve.
  • processor 108 processes an output signal from synchronous detection block 250 (indicative of a differential coil 202 voltage pair) for each of several probe positions to determine a polynomial function (a function of "in-phase” voltage versus “quadrature” voltage) which best fits the data.
  • This function determines the lift-off curve for the sample.
  • Lift-off curve A is determined by processing a number of sense coil voltage pairs (e.g., seven sense coil voltage pairs) obtained by measuring a sample having a known resistivity of 0.0216 ohms per square.
  • Processor 108 identifies this second order polynomial function as the one which best fits the measured voltage pairs.
  • each of lift-off curves A through H is determined by the same process employed to determine above-described curve A (one lift-off curve A through H for each of eight samples having a different known resistivity).
  • the sample resistivities (in ohms per square) associated with curves A through H, respectively, are 0.0216, 0.0263, 0.0525, 0.0699, 0.081 , 0.16, 0.2597, and 0.39.
  • processor 108 determines a set of "intersection" voltage pairs, each intersection voltage pair representing the intersection of a different one of the reference lift-off curves with a "selected" curve (which can be, for example, a circular arc or another graph of a polynomial function) in X-Y voltage space, where X represents in-phase voltage and Y represents quadrature voltage.
  • a "selected curve” (circular arc V) is shown in FIG. 2.
  • the "X,Y" coordinates of point Al along lift-off curve A are an example of such an intersection voltage pair for "selected" curve V.
  • processor 108 After processor 108 determines a set of intersection voltage pairs along a selected curve, processor 108 implements the next step of the inventive method which is to determine a functional relation between the known conductivity associated with each intersection voltage pair and the selected curve (referred to below as a "conductance function").
  • the conductance function determines a conductivity value for each point on the selected curve, including conductivity values not associated with any of the reference lift-off curves.
  • point Z on selected curve V corresponds to a unique conductivity (determined by processor 108 from the conductance function for selected curve V) that is greater than 0.0263 ohms per square (associated with lift-off curve B) and less than 0.0525 ohms per square (associated with lift-off curve C).
  • processor 108 stores a conductivity value, determined by the conductance function, for each of many different points (index voltage pairs) on the selected curve in memory 108 as a look-up table. Each such conductivity value can be retrieved from the stored look-up table by accessing the memory location indexed by the corresponding index voltage pair.
  • a conductance function relating a known conductance (rather than a conductivity) of each measured sample to an intersection voltage pair on the "selected" curve, or a “resistance function” or “resistivity function” relating a known resistance or resistivity of each measured sample to an intersection voltage pair on the "selected” curve can be determined and processed as a substitute for the above-described conductance function.
  • conductance function is used herein (including in the claims) in a broad sense to denote any such conductance function, resistance function, or resistivity function, or any function which relates a known conductance, conductivity, resistance, resistivity, sheet resistance, or sheet conductance of each of a set of measured samples to an intersection voltage pair on a "selected" curve, as well as a narrowly defined conductance function (relating a known conductance of each of a set of measured samples to an intersection voltage pair on a "selected” curve).
  • the eddy probe is preferably located within the platen, it may also be located within the backside of the sample carrier. In this arrangement, the carrier no longer provides a reference signal.
  • a temperature sensor is also preferably mounted to the carrier so that the measured sample signals may be calibrated for any temperature changes.
  • a reference metal slug (encased in plastic) may also be positioned to periodical move past the probe so that a reference signal for a known sample may be obtained.
  • the bridge probe design also allows relatively small spot size measurements, as compared with a resonator probe design.
  • the CMP system may also include any suitable optical measurement device, in addition to the eddy probe. Since eddy current measuring devices work well with thick films and optical measuring devices work better with thin films, a broad range of film thickness may be measured by combining an eddy device and an optical device.
  • FIG. 5 is side view of a combination eddy current and optical measurement device 500 in accordance with another embodiment of the present invention. As shown, the combination measurement device 500 is integrated within a CMP tool.
  • a fiber optic measuring device 504 and a eddy current probe 502 (e.g., as described above) are housed within housing 510.
  • Housing 510 is formed from a material that is substantially transparent the eddy current signals and optical signals. For example, the housing is formed from glass.
  • the optical device may be integrated within the CMP tool in any suitable fashion so that accurate optical measurements may be obtained.
  • the eddy probe coils may be wrapped around the optical element.
  • the optical measurement device is positioned separately within the platen from the eddy probe system.
  • the eddy probe may be positioned behind the wafer as described above.
  • a self-clearing objective is inserted within the platen and pad of the CMP tool for the optical measurement device. Optical measurements may be made through the self-clearing objective during CMP operation.
  • FIG. 6 is a diagrammatic representation of a section of a chemical mechanical polishing (CMP) apparatus 600 that incorporates one or more measurement system(s) (not shown) with a self-clearing objective in accordance with one embodiment of the present invention.
  • CMP chemical mechanical polishing
  • the CMP apparatus 600 includes a sample holder 601 and a pad 607 and a platen 606 having a hole 608.
  • the sample holder 601 is arranged to hold a sample 602 against the pad 607 and the platen 606.
  • a sluny 604 is placed between the sample 602 and pad 607, which is atop platen 606.
  • the slurry 604 functions to mechanically and/or chemically polish the sample 602.
  • any suitable polishing agent may be utilized.
  • the hole 608 of the pad 607 and platen 606 is configured to contain a self- clearing objective.
  • the self-clearing objective of FIG. 6 includes an optical element 610 and a flowing fluid 613. Any suitable mechanism may be implemented for generating the flowing fluid 613 of the self-clearing objective.
  • the self- clearing objective also includes a fluid pump 612 and a fluid outlet 614 that generate a constant fluid flow between the optical element 610 and sample surface 602.
  • a fluid pumping system may be implemented within a single device that generates flowing fluid 613.
  • a ring-shaped hole may be formed around the viewing area into the center of which the fluid is pumped. The fluid then exits through the ring-shaped hole.
  • the fluid pump 612 may include a control valve (not shown) for adjusting the flow rate.
  • the fluid outlet 614 may include a vacuum that provides some control over the fluid flow rate to the fluid outlet 614.
  • the fluid flow rate may be adjusted for different applications or polishing conditions in order to provide different levels of clearing depending upon the specific application. For example, the fluid flow rate may depend on type of slurry, polishing speed, size of fluid reservoir, configuration of optical element, wavelength of light, concentration of slurry, amount of impact on the process, etc. As shown, a slurry 604 that is placed between the pad 607 and the sample 602 is substantially cleared away from the viewing surface of the sample 602 by the flowing fluid 613.
  • the fluid pump 612 may also include a sensor (not shown) arranged to determine when the sample is near the self-clearing objective.
  • the sensor may utilize pressure, optical, or other inputs to determine sample location.
  • the fluid flow may then be modulated as the sample is near or on top of the self-clearing objective. This arrangement clears the debris along the optical path without overly diluting the slurry adjacent to the self-clearing objective. This prevents the slurry from becoming too diluted to effectively polish the sample.
  • One or more measurement signals 616 may be directed through the optical element 610 and the flowing fluid 613 to the sample 602 to be reflected, detected, and analyzed.
  • One or more detectable signals 618 are then reflected from the sample 602.
  • the measurement and detectable signals 616 and 618 are not significantly distorted by the slurry 604, as compared to other in-situ measurement systems, since the slurry 604 is cleared away from the signal path by fluid 613 of the self-clearing objective.
  • any suitable type and number of optical measurement device may be used in conjunction with the self-clearing objective 600.
  • a reflectometer system an ellipsometer system, an interferometer system, and a photoacoustic system may be used.
  • the optical measurement device may be configured in various ways.
  • the reflectometer may measure reflectivity using multiple incident beam angles or a single beam angle. Additionally, the reflectometer may measure reflectivity at various wavelengths or a single wavelength.
  • the ellipsometer may be configured to measure at any combination of multiple angles, a single angle, multiple wavelengths, and a single wavelength.
  • the optical measurement device may also be utilized to predict the endpoint time. It has been found that there is a dip in reflectivity when the endpoint is near.
  • FIG. 7 shows four graphs of reflectivity values as a function of film thickness removed. As shown, there is a dip in reflectivity present when the film is completely removed. For example, there is a dip prior to removal of a 1000 Angstroms thick copper layer, and another dip present prior to removal of both 1000 Angstroms of copper and 300 Angstroms of TaN. Multiple reflectivity curves may be generated for various film thicknesses and compositions and operating conditions to determine how long after the reflectivity dip the endpoint occurs. For example, the endpoint may occur 5 seconds after the dip. One may then polish a little longer than the estimated 5 seconds (e.g., 10 seconds) to ensure that the endpoint is reached. Preferably, reflectivity is measured at several angles of incidence so that the dip may be more readily perceived.
  • This reflectivity dip provides a readily identifiable marker for estimating the time until endpoint is reached.
  • This estimation procedure represents an improvement over conventional estimations of the entire polishing time from polish start to endpoint. Since it is unlikel) that the polishing process will follow a same rate during the entire polishing process, a total polishing time estimation is unlikely to be accurate. In contrast, estimating the remaining polishing time after the dip is likely to be an accurate indicator of endpoint time since the rate is unlikely to change a significant amount in such a short amount of time until the endpoint is reached.
  • the above described techniques for determining variations in polishing rate with the eddy probe may also be used with the reflectivity dip to determine endpoint. That is, extra time may be added to account for variation in polishing rates across the wafer. For example, extra time may be added to ensure that the slowest polishing wafer portion reaches endpoint.
  • Any suitable optical measurement device may be utilized to obtain multiple angles of incidence.
  • Any suitable optical measurement device may be utilized to obtain multiple angles of incidence.
  • Several embodiments of optical systems having multiple angles of incidence are described in the above-referenced co-pending U.S. patent application numbers 09/396,143 and No. 09/556,238 by Nikoonahad.
  • Measurements may be taken with both the eddy current probe 502 and optical probe 504 to optimize film thickness measurement accuracy. That is, measurements are taken with both probes to obtain optimum results over a wide range of film thickness. For example, the eddy and optical probes together provide a complete range of metallization endpointing. It has been found that eddy probe measurements are sensitive to thicker film measurements, such as 200 to 400A or higher. It has also been found that optical measurements are sensitive to a top layer Cu thickness of about 400A to 500A or lower. Thus, eddy probe measurements may be utilized for thick film measurements, while optical probe is utilized for thin film measurements. Additionally, it appears that the eddy probe is relatively insensitive to the underlying film patterns on a sample.
  • FIGS. 8A through 8C illustrate a relatively fast etch.
  • FIG. 8A shows three layers of a sample: a silicon dioxide layer 802, a Ta layer 804a, and a Cu layer 806a. Prior to etching, the Cu layer 806a typically has a relatively large thickness as compared with the underlying Ta layer 804a.
  • FIG. 8B shows the results after etching the Cu at a relatively fast rate. As well known to those skilled in art, this results in an substantially uneven Cu layer 806a.
  • the Cu layer 806a may be about 1000A at its higher point 808.
  • the Cu layer 806a then may taper down to a zero thickness at areas 810a and 810b.
  • the barrier layer Ta 804b may also be etched away along the areas 810a and 810b, as shown in FIG. 8C. That is, dishing or corrosion may occur within the Ta layer 804b. Dishing and erosion are undesirable effects that occur when the Cu endpoint is not accurately detected.
  • the eddy current probe may be utilized to accurately detect the relatively large thickness 808 (FIG. 8B) of the Cu layer 806 before dishing occurs.
  • FIG. 8D is a diagrammatic illustration of a multi-chamber deposition tool 900 having a combination eddy current and optical probe in accordance with one embodiment of the present invention.
  • the deposition tool 900 has a first chamber 902a and a second chamber 902b.
  • the first chamber 902a may be used to deposit a first layer on sample 904, and the second chamber 902b is then used to deposit a second layer on sample 904.
  • the sample 904 is mounted over a first material 906a within the first chamber 902a.
  • the first material 906a is evaporated onto the sample (908a).
  • the eddy probe 914a may be mounted on the backside of the sample 904 to detect the first layer thickness.
  • the eddy probe 914a is preferably capable of measuring the first layer thickness through the sample's backside.
  • an optical emitter 916a and detector 918a may be mounted within the first chamber 902a. The emitter 916a emits a signal towards the sample, which signal is reflected from the sample 904 onto detector 918a.
  • the second chamber 902 may be similarly configured. As shown, the second chamber 902b also includes a second material 906b which is evaporated onto the sample 904 (908b). The second chamber 902b also includes an eddy probe 914b and optical emitter/detectors 916b and 918b. The optical emitter/detector is optional, and the deposition tool may simply include the eddy probes. Preferably, the calibration techniques described above and/or the Mallory Patent are implemented with the eddy probes.
  • a single eddy probe may be mounted within transfer module 910. As shown, an eddy probe 912 is placed adjacent to sample 904. Thus, as the sample moves between chambers, the film thickness may then be measured. If it is determined that the film thickness is inadequate, the sample may the returned to a chamber for reapplication of the film.
  • each probe is also coupled with a processing device (not shown) for determining film thickness.
  • the optical measurements may also be calibrated with the film being removed. After copper is significantly polished but still optically opaque, it appears substantially like an ideal copper mirror. This copper mirror may then be utilized to adjust for changes in environmental conditions, such as a fiber being bent. Additionally, a low value reflectivity object may also be periodically positioned within the optical path to provide a low reflectivity reference for calibration.
  • a piezo sensor may also be embedded within the carrier, pad, or platen to determine polishing dynamics. For example, since a different sound is produced when the sample is sliding off the carrier, this slippage may be detected with the piezo sensor.
  • the optical system may be mounted within an endoscopy type arrangement within an orbital platen.
  • a conductive polymer contact may be mounted in the pad to non- destructively obtain various electrical measurements of the sample, such as sheet resistance.
  • the optical and eddy probe sensors may also be used together to provide self- calibration.
  • the optical probe may be calibrated by using a metal mirror surface as a reference.
  • a metal will be a suitable mirror-like surface when the metal layer is almost clear and still optically opaque. This point may be determined by the eddy probe.
  • the optical measurement device may be used to determine when a film is cleared and then to calibrate the eddy probe for making substrate resistivity measurements, instead of film resistivity measurements.

Abstract

La présente invention concerne un procédé permettant d'obtenir des informations sur site concernant un film d'échantillon à l'aide d'une sonde à courant de Foucault au cours d'un processus de suppression du film. Cette sonde à courant de Foucault possède au moins un enroulement de détection. On applique un courant alternatif à cet enroulement de la sonde à courant de Foucault. On mesure un ou plusieurs premiers signaux dans l'enroulement de détection de la sonde à courant de Foucault. Lorsque cet enroulement est positionné près du film de l'échantillon. On mesure un ou plusieurs seconds signaux dans l'enroulement de détection de cette sonde lorsque ce dernier est positionné près d'un matériau de référence de composition fixe et/ou à distance de cet enroulement de détection. Ces premiers signaux sont étalonnés à partir des seconds signaux de sorte que les changements de gain et/ou de phase indésirables dans les premiers signaux soient corrigés. On détermine une valeur de propriété de ce film à partir de ces premiers signaux étalonnés. Cette invention concerne aussi un appareil permettant de réaliser le procédé susmentionné. Cette invention concerne par ailleurs, un système de polissage chimique mécanique (CMP) permettant de polir un échantillon avec un agent de polissage et de surveiller cet échantillon. Ce système CMP comprend une table de polissage, un porteur d'échantillon agencé de façon à tenir cet échantillon sur cette table de polissage et une sonde à courant de Foucault.
PCT/US2000/035358 1999-12-23 2000-12-22 Surveillance de metallisation sur site a l'aide de mesures par courant de foucault et de mesures optiques WO2001046684A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2001547540A JP4817575B2 (ja) 1999-12-23 2000-12-22 渦電流測定を利用して、メタライゼーション処理を実状態で監視する方法
EP00991438A EP1244907A1 (fr) 1999-12-23 2000-12-22 Surveillance de metallisation sur site a l'aide de mesures par courant de foucault et de mesures optiques

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US17208099P 1999-12-23 1999-12-23
US60/172,080 1999-12-23
US09/633,800 US6707540B1 (en) 1999-12-23 2000-08-07 In-situ metalization monitoring using eddy current and optical measurements
US09/633,198 US6433541B1 (en) 1999-12-23 2000-08-07 In-situ metalization monitoring using eddy current measurements during the process for removing the film
US09/633,800 2000-08-07
US09/633,198 2000-08-07

Publications (2)

Publication Number Publication Date
WO2001046684A1 true WO2001046684A1 (fr) 2001-06-28
WO2001046684A9 WO2001046684A9 (fr) 2002-05-23

Family

ID=27390074

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/035358 WO2001046684A1 (fr) 1999-12-23 2000-12-22 Surveillance de metallisation sur site a l'aide de mesures par courant de foucault et de mesures optiques

Country Status (3)

Country Link
EP (1) EP1244907A1 (fr)
JP (2) JP4817575B2 (fr)
WO (1) WO2001046684A1 (fr)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6558229B2 (en) 2000-01-17 2003-05-06 Ebara Corporation Polishing apparatus
US6602724B2 (en) * 2000-07-27 2003-08-05 Applied Materials, Inc. Chemical mechanical polishing of a metal layer with polishing rate monitoring
WO2003090353A2 (fr) * 2002-04-18 2003-10-30 Transense Technologies Plc Procede et appareil ameliores de poursuite d'une frequence de resonance
WO2003095937A1 (fr) * 2002-05-10 2003-11-20 Galileo Vacuum System S.R.L. Dispositif destine a determiner l'epaisseur d'une couche conductrice
EP1201365A3 (fr) * 2000-10-20 2004-05-19 Ebara Corporation Dispositif de mesure de la fréquence, dispositif de polissage l'utilisant et capteur de courants de Foucault
US6815947B2 (en) 2003-01-12 2004-11-09 Nova Measuring Instruments Ltd. Method and system for thickness measurements of thin conductive layers
WO2004103636A2 (fr) 2003-05-21 2004-12-02 Ebara Corporation Dispositif de polissage de substrats
US6878038B2 (en) 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6878036B2 (en) 2000-05-19 2005-04-12 Applied Materials, Inc. Apparatus for monitoring a metal layer during chemical mechanical polishing using a phase difference signal
US6966816B2 (en) 2001-05-02 2005-11-22 Applied Materials, Inc. Integrated endpoint detection system with optical and eddy current monitoring
US7042558B1 (en) 2001-03-19 2006-05-09 Applied Materials Eddy-optic sensor for object inspection
US7101254B2 (en) 2001-12-28 2006-09-05 Applied Materials, Inc. System and method for in-line metal profile measurement
US7112960B2 (en) 2003-07-31 2006-09-26 Applied Materials, Inc. Eddy current system for in-situ profile measurement
US8284560B2 (en) 2008-11-14 2012-10-09 Applied Materials, Inc. Eddy current sensor with enhanced edge resolution
US9194687B1 (en) * 2010-02-04 2015-11-24 Textron Innovations Inc. System and method for measuring non-conductive coating thickness using eddy currents
US9275917B2 (en) 2013-10-29 2016-03-01 Applied Materials, Inc. Determination of gain for eddy current sensor
US9281253B2 (en) 2013-10-29 2016-03-08 Applied Materials, Inc. Determination of gain for eddy current sensor
US9636797B2 (en) 2014-02-12 2017-05-02 Applied Materials, Inc. Adjusting eddy current measurements
CN107738177A (zh) * 2005-08-22 2018-02-27 应用材料公司 基于光谱的监测化学机械研磨的装置及方法
WO2019055279A1 (fr) * 2017-09-15 2019-03-21 Applied Materials, Inc. Correction de broutage pour détermination précise de position de capteur sur une tranche
CN110712120A (zh) * 2018-07-13 2020-01-21 株式会社荏原制作所 研磨装置及校准方法
US11004708B2 (en) 2016-10-28 2021-05-11 Applied Materials, Inc. Core configuration with alternating posts for in-situ electromagnetic induction monitoring system
US11079459B2 (en) 2017-01-13 2021-08-03 Applied Materials, Inc. Resistivity-based calibration of in-situ electromagnetic inductive monitoring
WO2022046400A1 (fr) * 2020-08-25 2022-03-03 Corning Incorporated Surveillance d'épaisseur de dépôt in situ
US11524382B2 (en) 2018-04-03 2022-12-13 Applied Materials, Inc. Polishing apparatus using machine learning and compensation for pad thickness
US11658078B2 (en) 2020-05-14 2023-05-23 Applied Materials, Inc. Using a trained neural network for use in in-situ monitoring during polishing and polishing system
US11780047B2 (en) 2020-06-24 2023-10-10 Applied Materials, Inc. Determination of substrate layer thickness with polishing pad wear compensation

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7205166B2 (en) * 2002-06-28 2007-04-17 Lam Research Corporation Method and apparatus of arrayed, clustered or coupled eddy current sensor configuration for measuring conductive film properties
US6788050B2 (en) * 2002-12-23 2004-09-07 Lam Research Corp. System, method and apparatus for thin-film substrate signal separation using eddy current
JP4451111B2 (ja) * 2003-10-20 2010-04-14 株式会社荏原製作所 渦電流センサ
DE10352422B3 (de) * 2003-11-10 2005-04-21 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur quantitativen Längenbestimmung eines Weichzonenbereiches eines teilgehärteten Werkstückes
JP4699797B2 (ja) * 2005-04-20 2011-06-15 株式会社リベックス 測定方法および装置
JP5611214B2 (ja) * 2008-10-16 2014-10-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 渦電流利得の補償
KR101104884B1 (ko) 2010-02-26 2012-01-17 한국수력원자력 주식회사 와전류 검사 장치 및 와전류 처리 방법
US9687169B2 (en) * 2011-12-08 2017-06-27 Kimberly-Clark Worldwide, Inc. System, controller, and method for determining conductance of an object
KR102326730B1 (ko) * 2014-03-12 2021-11-17 가부시키가이샤 에바라 세이사꾸쇼 막 두께 측정값의 보정 방법, 막 두께 보정기 및 와전류 센서
JP6445771B2 (ja) * 2014-03-12 2018-12-26 株式会社荏原製作所 膜厚測定値の補正方法、及び、膜厚補正器
KR102083607B1 (ko) * 2014-12-12 2020-04-16 주식회사 케이씨텍 화학 기계적 연마 장치
TWI789385B (zh) * 2017-04-21 2023-01-11 美商應用材料股份有限公司 使用神經網路來監測的拋光裝置
JP7396382B2 (ja) 2022-03-10 2023-12-12 沖電気工業株式会社 光ファイバセンサ及びブリルアン周波数シフト測定方法
JP7351365B1 (ja) 2022-03-17 2023-09-27 沖電気工業株式会社 光ファイバセンサ及びブリルアン周波数シフト測定方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US4893079A (en) 1988-08-29 1990-01-09 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for correcting eddy current signal voltage for temperature effects
DE4325767A1 (de) * 1993-07-31 1995-02-02 Karl Deutsch Pruef Und Mesgera Schichtdickenmeßvorrichtung
US5629621A (en) 1991-12-06 1997-05-13 Massachusetts Institute Of Technology Apparatus and methods for obtaining increased sensitivity, selectivity and dynamic range in property measurement using magnetometers
US5731697A (en) 1995-04-10 1998-03-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5766354A (en) * 1980-10-09 1982-04-22 Mitsubishi Heavy Ind Ltd Eddy current flaw detector
JPS5865559A (ja) * 1981-10-13 1983-04-19 Sumitomo Metal Ind Ltd 鋳片の自動厚み測定装置
JPS5967405A (ja) * 1982-09-30 1984-04-17 Sumitomo Metal Ind Ltd ライナ厚測定方法
JPS6186601A (ja) * 1984-10-05 1986-05-02 Hitachi Ltd 核燃料酸化膜厚測定装置
FR2572175A1 (fr) * 1984-10-24 1986-04-25 Stein Heurtey Procede et dispositif pour mesurer l'epaisseur de couches metalliques minces deposees sur un support conducteur
JPS62225947A (ja) * 1986-03-26 1987-10-03 Kobe Steel Ltd 渦流測定用プロ−ブ
JPS62225903A (ja) * 1986-03-27 1987-10-03 Kobe Steel Ltd ライナ被覆管の厚み測定装置
JPH068723B2 (ja) * 1986-04-04 1994-02-02 株式会社神戸製鋼所 ライナ被覆管の厚み測定方法
JP2559401B2 (ja) * 1987-03-30 1996-12-04 株式会社日立製作所 金属材料の劣化検査方法
JPS63311103A (ja) * 1987-06-12 1988-12-19 Sumitomo Metal Ind Ltd 厚さ測定方法
JPH0267909A (ja) * 1988-09-02 1990-03-07 Sumitomo Metal Ind Ltd 肉厚測定装置
JPH02124406A (ja) * 1988-11-01 1990-05-11 Mitsubishi Electric Corp 半導体製造装置
JPH0286128U (fr) * 1988-12-21 1990-07-09
JP2873315B2 (ja) * 1989-03-30 1999-03-24 住友シチックス株式会社 半導体基板の研磨制御方法
JPH032501A (ja) * 1989-05-29 1991-01-08 Sumitomo Metal Ind Ltd 寸法測定方法
JPH0769131B2 (ja) * 1989-06-19 1995-07-26 住友金属工業株式会社 寸法測定方法
US5017869A (en) * 1989-12-14 1991-05-21 General Electric Company Swept frequency eddy current system for measuring coating thickness
FR2656415B1 (fr) * 1989-12-27 1993-04-09 Framatome Sa Procede et dispositif de mesure simultanee de distance entre tubes metalliques et d'epaisseur d'oxyde sur les tubes.
JPH03234467A (ja) * 1990-02-05 1991-10-18 Canon Inc スタンパの金型取付面の研磨方法およびその研磨機
JP3382011B2 (ja) * 1993-04-06 2003-03-04 株式会社東芝 膜厚測定装置、ポリシング装置および半導体製造装置
DK76293D0 (da) * 1993-06-25 1993-06-25 Brueel & Kjaer As Forskydningstransducer
JP3326443B2 (ja) * 1993-08-10 2002-09-24 株式会社ニコン ウエハ研磨方法及びその装置
US5433651A (en) * 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
US5418823A (en) * 1994-01-04 1995-05-23 General Electric Company Combined ultrasonic and eddy-current method and apparatus for non-destructive testing of tubular objects to determine thickness of metallic linings or coatings
EP0666337A1 (fr) * 1994-01-28 1995-08-09 Applied Materials, Inc. Procédé et dispositif pour mesurer la vitesse de dépôt d'un film opaque
JP3313505B2 (ja) * 1994-04-14 2002-08-12 株式会社日立製作所 研磨加工法
DE69635816T2 (de) * 1995-03-28 2006-10-12 Applied Materials, Inc., Santa Clara Verfahren zum Herstellen einer Vorrichtung zur In-Situ-Kontrolle und Bestimmung des Endes von chemisch-mechanischen Planiervorgängen
JPH08285464A (ja) * 1995-04-19 1996-11-01 Kawasaki Steel Corp 溶融金属容器耐火壁中の地金検知方法及び装置
JP3321338B2 (ja) * 1995-07-24 2002-09-03 株式会社東芝 半導体装置の製造方法および製造装置
DE19652750C2 (de) * 1996-12-18 1999-12-02 Bosch Gmbh Robert Verfahren zur Bestimmung einer Dicke einer Schicht aus elektrisch leitendem Material
JP3303963B2 (ja) * 1997-01-20 2002-07-22 株式会社東京精密 ウェーハの厚み加工量測定装置
US5838448A (en) * 1997-03-11 1998-11-17 Nikon Corporation CMP variable angle in situ sensor
US6111634A (en) * 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
JPH10335288A (ja) * 1997-06-05 1998-12-18 Sony Corp 基板研磨装置及び研磨終点検出方法
JP3327175B2 (ja) * 1997-07-18 2002-09-24 株式会社ニコン 検知部及びこの検知部を具えたウェハ研磨装置
TW374050B (en) * 1997-10-31 1999-11-11 Applied Materials Inc Method and apparatus for modeling substrate reflectivity during chemical mechanical polishing
JPH11307486A (ja) * 1998-04-23 1999-11-05 Toshiba Corp Cmp方法およびそれに使用するcmp装置
US6190234B1 (en) * 1999-01-25 2001-02-20 Applied Materials, Inc. Endpoint detection with light beams of different wavelengths

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US4893079A (en) 1988-08-29 1990-01-09 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for correcting eddy current signal voltage for temperature effects
US5629621A (en) 1991-12-06 1997-05-13 Massachusetts Institute Of Technology Apparatus and methods for obtaining increased sensitivity, selectivity and dynamic range in property measurement using magnetometers
DE4325767A1 (de) * 1993-07-31 1995-02-02 Karl Deutsch Pruef Und Mesgera Schichtdickenmeßvorrichtung
US5731697A (en) 1995-04-10 1998-03-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
US6072313A (en) 1995-04-10 2000-06-06 International Business Machines Corporation In-situ monitoring and control of conductive films by detecting changes in induced eddy currents

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1244907A1 *

Cited By (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6558229B2 (en) 2000-01-17 2003-05-06 Ebara Corporation Polishing apparatus
US6984164B2 (en) 2000-01-17 2006-01-10 Ebara Corporation Polishing apparatus
US6764381B2 (en) 2000-01-17 2004-07-20 Ebara Corporation Polishing apparatus
US6878036B2 (en) 2000-05-19 2005-04-12 Applied Materials, Inc. Apparatus for monitoring a metal layer during chemical mechanical polishing using a phase difference signal
US7229340B2 (en) 2000-05-19 2007-06-12 Applied Materials, Inc. Monitoring a metal layer during chemical mechanical polishing
US6975107B2 (en) 2000-05-19 2005-12-13 Applied Materials, Inc. Eddy current sensing of metal removal for chemical mechanical polishing
US6930478B2 (en) 2000-05-19 2005-08-16 Applied Materials, Inc. Method for monitoring a metal layer during chemical mechanical polishing using a phase difference signal
US6924641B1 (en) 2000-05-19 2005-08-02 Applied Materials, Inc. Method and apparatus for monitoring a metal layer during chemical mechanical polishing
US7008297B2 (en) 2000-07-10 2006-03-07 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6878038B2 (en) 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6602724B2 (en) * 2000-07-27 2003-08-05 Applied Materials, Inc. Chemical mechanical polishing of a metal layer with polishing rate monitoring
US6869332B2 (en) 2000-07-27 2005-03-22 Applied Materials, Inc. Chemical mechanical polishing of a metal layer with polishing rate monitoring
US7714572B2 (en) 2000-10-20 2010-05-11 Ebara Corporation Method of detecting characteristics of films using eddy current
US7078894B2 (en) 2000-10-20 2006-07-18 Ebara Corporation Polishing device using eddy current sensor
EP1201365A3 (fr) * 2000-10-20 2004-05-19 Ebara Corporation Dispositif de mesure de la fréquence, dispositif de polissage l'utilisant et capteur de courants de Foucault
US7046001B2 (en) 2000-10-20 2006-05-16 Ebara Corporation Frequency measuring device, polishing device using the same and eddy current sensor
US7042558B1 (en) 2001-03-19 2006-05-09 Applied Materials Eddy-optic sensor for object inspection
US6966816B2 (en) 2001-05-02 2005-11-22 Applied Materials, Inc. Integrated endpoint detection system with optical and eddy current monitoring
US7101254B2 (en) 2001-12-28 2006-09-05 Applied Materials, Inc. System and method for in-line metal profile measurement
WO2003090353A3 (fr) * 2002-04-18 2004-11-18 Transense Technologies Plc Procede et appareil ameliores de poursuite d'une frequence de resonance
WO2003090353A2 (fr) * 2002-04-18 2003-10-30 Transense Technologies Plc Procede et appareil ameliores de poursuite d'une frequence de resonance
US7089794B2 (en) 2002-04-18 2006-08-15 Transense Technologies Plc Method and apparatus for tracking a resonant frequency
WO2003095937A1 (fr) * 2002-05-10 2003-11-20 Galileo Vacuum System S.R.L. Dispositif destine a determiner l'epaisseur d'une couche conductrice
US7262867B2 (en) 2002-05-10 2007-08-28 Galileo Vacuum Systems S.R.L. Device to determine the thickness of a conductive layer
US6815947B2 (en) 2003-01-12 2004-11-09 Nova Measuring Instruments Ltd. Method and system for thickness measurements of thin conductive layers
EP1633528A4 (fr) * 2003-05-21 2007-08-08 Ebara Corp Dispositif de polissage de substrats
KR101052844B1 (ko) * 2003-05-21 2011-07-29 가부시키가이샤 시마즈세이사꾸쇼 기판폴리싱장치
EP1633528A2 (fr) * 2003-05-21 2006-03-15 Ebara Corporation Dispositif de polissage de substrats
WO2004103636A3 (fr) * 2003-05-21 2005-02-17 Ebara Corp Dispositif de polissage de substrats
US7547242B2 (en) 2003-05-21 2009-06-16 Ebara Corporation Substrate polishing apparatus
WO2004103636A2 (fr) 2003-05-21 2004-12-02 Ebara Corporation Dispositif de polissage de substrats
US7999540B2 (en) 2003-07-31 2011-08-16 Applied Materials, Inc. Eddy current apparatus and method for in-situ profile measurement
US7112960B2 (en) 2003-07-31 2006-09-26 Applied Materials, Inc. Eddy current system for in-situ profile measurement
US10105811B2 (en) 2003-07-31 2018-10-23 Applied Materials, Inc. Eddy current system having an elongated core for in-situ profile measurement
US11715672B2 (en) 2005-08-22 2023-08-01 Applied Materials, Inc. Endpoint detection for chemical mechanical polishing based on spectrometry
US11183435B2 (en) 2005-08-22 2021-11-23 Applied Materials, Inc. Endpointing detection for chemical mechanical polishing based on spectrometry
US10276460B2 (en) 2005-08-22 2019-04-30 Applied Materials, Inc. Endpointing detection for chemical mechanical polishing based on spectrometry
CN107738177A (zh) * 2005-08-22 2018-02-27 应用材料公司 基于光谱的监测化学机械研磨的装置及方法
US8284560B2 (en) 2008-11-14 2012-10-09 Applied Materials, Inc. Eddy current sensor with enhanced edge resolution
US9194687B1 (en) * 2010-02-04 2015-11-24 Textron Innovations Inc. System and method for measuring non-conductive coating thickness using eddy currents
US10207386B2 (en) 2013-10-29 2019-02-19 Applied Materials, Inc. Determination of gain for eddy current sensor
US9275917B2 (en) 2013-10-29 2016-03-01 Applied Materials, Inc. Determination of gain for eddy current sensor
US10556315B2 (en) 2013-10-29 2020-02-11 Applied Materials, Inc. Determination of gain for eddy current sensor
US9281253B2 (en) 2013-10-29 2016-03-08 Applied Materials, Inc. Determination of gain for eddy current sensor
US9636797B2 (en) 2014-02-12 2017-05-02 Applied Materials, Inc. Adjusting eddy current measurements
US11004708B2 (en) 2016-10-28 2021-05-11 Applied Materials, Inc. Core configuration with alternating posts for in-situ electromagnetic induction monitoring system
US11079459B2 (en) 2017-01-13 2021-08-03 Applied Materials, Inc. Resistivity-based calibration of in-situ electromagnetic inductive monitoring
US11199605B2 (en) 2017-01-13 2021-12-14 Applied Materials, Inc. Resistivity-based adjustment of measurements from in-situ monitoring
WO2019055279A1 (fr) * 2017-09-15 2019-03-21 Applied Materials, Inc. Correction de broutage pour détermination précise de position de capteur sur une tranche
US10898986B2 (en) 2017-09-15 2021-01-26 Applied Materials, Inc. Chattering correction for accurate sensor position determination on wafer
US11524382B2 (en) 2018-04-03 2022-12-13 Applied Materials, Inc. Polishing apparatus using machine learning and compensation for pad thickness
CN110712120A (zh) * 2018-07-13 2020-01-21 株式会社荏原制作所 研磨装置及校准方法
US11658078B2 (en) 2020-05-14 2023-05-23 Applied Materials, Inc. Using a trained neural network for use in in-situ monitoring during polishing and polishing system
US11791224B2 (en) 2020-05-14 2023-10-17 Applied Materials, Inc. Technique for training neural network for use in in-situ monitoring during polishing and polishing system
US11780047B2 (en) 2020-06-24 2023-10-10 Applied Materials, Inc. Determination of substrate layer thickness with polishing pad wear compensation
WO2022046400A1 (fr) * 2020-08-25 2022-03-03 Corning Incorporated Surveillance d'épaisseur de dépôt in situ

Also Published As

Publication number Publication date
EP1244907A1 (fr) 2002-10-02
JP2003522937A (ja) 2003-07-29
WO2001046684A9 (fr) 2002-05-23
JP5113920B2 (ja) 2013-01-09
JP4817575B2 (ja) 2011-11-16
JP2011164110A (ja) 2011-08-25

Similar Documents

Publication Publication Date Title
US6707540B1 (en) In-situ metalization monitoring using eddy current and optical measurements
US6433541B1 (en) In-situ metalization monitoring using eddy current measurements during the process for removing the film
WO2001046684A1 (fr) Surveillance de metallisation sur site a l'aide de mesures par courant de foucault et de mesures optiques
US9528814B2 (en) Apparatus and method of using impedance resonance sensor for thickness measurement
US7500901B2 (en) Data processing for monitoring chemical mechanical polishing
EP2615411B1 (fr) Capteur de courant de Foucault
US8408965B2 (en) Eddy current gain compensation
US6815947B2 (en) Method and system for thickness measurements of thin conductive layers
US6815958B2 (en) Method and apparatus for measuring thickness of thin films with improved accuracy
US20060009132A1 (en) Chemical mechanical polishing apparatus with non-conductive elements
US20040152310A1 (en) Signal improvement in eddy current sensing
US20070082582A1 (en) Apparatus for endpoint detection during polishing
US6801322B2 (en) Method and apparatus for IN SITU measuring a required feature of a layer during a polishing process
US6951503B1 (en) System and method for in-situ measuring and monitoring CMP polishing pad thickness
US6853873B1 (en) Enhanced throughput of a metrology tool
US20210125881A1 (en) Thickness sensor for conductive features

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: C2

Designated state(s): JP KR US

AL Designated countries for regional patents

Kind code of ref document: C2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

COP Corrected version of pamphlet

Free format text: PAGES 1/11-11/11, DRAWINGS, REPLACED BY NEW PAGES 1/12-12/12; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 547540

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 2000991438

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2000991438

Country of ref document: EP