WO1997015074A1 - Procede et dispositif pour detecter la fin d'un traitement par plasma, procede et dispositif pour fabriquer un dispositif semi-conducteur et dispositif semi-conducteur - Google Patents

Procede et dispositif pour detecter la fin d'un traitement par plasma, procede et dispositif pour fabriquer un dispositif semi-conducteur et dispositif semi-conducteur Download PDF

Info

Publication number
WO1997015074A1
WO1997015074A1 PCT/JP1996/003042 JP9603042W WO9715074A1 WO 1997015074 A1 WO1997015074 A1 WO 1997015074A1 JP 9603042 W JP9603042 W JP 9603042W WO 9715074 A1 WO9715074 A1 WO 9715074A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
end point
detecting
plasma processing
emission intensity
Prior art date
Application number
PCT/JP1996/003042
Other languages
English (en)
French (fr)
Inventor
Toshihiko Nakata
Takanori Ninomiya
Original Assignee
Hitachi, Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi, Ltd. filed Critical Hitachi, Ltd.
Priority to US09/051,767 priority Critical patent/US5989928A/en
Publication of WO1997015074A1 publication Critical patent/WO1997015074A1/ja

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Definitions

  • the present invention relates to a method and an apparatus for detecting an end point of plasma processing, a method and an apparatus for manufacturing a semiconductor device, and a semiconductor device manufactured by using the present manufacturing method.
  • Processing using plasma is widely applied to semiconductor manufacturing processes and substrate manufacturing processes for liquid crystal display devices, including etching equipment.
  • FIG. 16 The conventional technology will be described using the plasma etching apparatus shown in FIG. 16 as an example.
  • a high voltage from a high-frequency power supply 5 is applied between an upper electrode 2 and a lower electrode 3 arranged in parallel in a processing chamber 1, and a discharge between the two electrodes causes the etching gas to be removed.
  • a plasma 6 is generated, and the semiconductor wafer 4 as an object to be processed is etched with the active species.
  • the etching process the progress of the etching is monitored, the end point of the etching is detected as accurately as possible, and the etching process is performed to a predetermined pattern shape and depth.
  • spectroscopic analysis and mass spectrometry have been used to detect the end point of etching.
  • a simple apparatus is used.
  • highly sensitive spectroscopic analysis is widely used.
  • a specific active species is selected from active species such as radicals and ions such as an etching gas, a decomposition product or a reaction product thereof, and the selected species is selected.
  • the emission 8 from the plasma is split through the window 7 using a monoclot meter or the like! Spectroscopy at ⁇ 9 to extract only the luminescent component 10 of the desired wavelength.
  • the light-emitting component 10 is received by a photoelectric conversion element 11 such as a photomultiplier, converted into an electric signal, amplified by an amplifier 12, and then sent to an end point determination unit 13.
  • a photoelectric conversion element 11 such as a photomultiplier
  • the time change 15 of the luminescence intensity is observed, and the luminescence intensity at the change point and its first derivative, second derivative, etc. Is compared with a preset threshold value S, the etching end point position E is determined.
  • the output of the high frequency power supply 5 is stopped by the power supply control device 14.
  • the active species to be selected depends on the type of etching gas.
  • the end point of the etching can be obtained with a simple configuration.
  • the total area of the portion to be etched is reduced, and the absolute amount of the reaction product is reduced.
  • the one-dot chain line 16 in FIG. 17 the luminescence intensity itself decreases, the amount of change in the luminescence intensity at the end point position decreases significantly, and the waveform becomes sharply dull. It is difficult to determine the position. This is a major problem of the prior art.
  • the high-frequency power for plasma excitation is about several hundred kHz, and it is considered that the etching reaction and the emission of the reaction product are also synchronized with this frequency.
  • the band of the amplifier 12 used for amplifying the light emission signal is approximately 100 Hz to several kHz, and the generation of the periodic reaction product is performed. Since the DC component was mainly detected by smoothing the light, the etching reaction was not accurately captured, and there was a problem that the plasma reaction was susceptible to gradual fluctuations in plasma emission and unnecessary frequency components.
  • An object of the present invention is to provide a plasma processing end point detection method and apparatus capable of always detecting a plasma processing end point stably and with high accuracy without being affected by miniaturization of a pattern to be processed and disturbances, and a semiconductor device.
  • An object of the present invention is to provide a method and an apparatus for manufacturing a semiconductor device, and a semiconductor device manufactured using the present manufacturing method. Disclosure of the invention
  • the present invention provides a method for detecting the emission intensity of an active species that emits light at a specific wavelength in a plasma when performing a process using a plasma on an object to be processed. It detects the end point of the plasma processing from the change in the amplitude of the temporal change.
  • the frequency of the periodic time variation of the emission intensity is an integer multiple of the frequency of the high frequency power supply for plasma excitation.
  • the present invention provides the above-mentioned, wherein the active species is a reaction product generated by a plasma treatment.
  • the present invention provides the above-mentioned, wherein the active species is a reaction gas or a decomposition product used for plasma treatment.
  • the present invention provides that the specific wavelength is approximately 219 nm.
  • the present invention provides that the specific wavelength is approximately 26 Onm.
  • the present invention provides a method for producing a light emitting device, comprising: Are detected by an imaging optical system having a light receiving element having an imaging relationship with the plasma.
  • the present invention provides, as constituent elements of the apparatus, light emission detection means for detecting the light emission intensity of an active species that emits light at a specific wavelength in the plasma; It has amplitude detecting means for detecting the amplitude of the periodic time fluctuation, and end point determining means for detecting the end point of the processing from the change in the amplitude.
  • the present invention uses the above-mentioned plasma processing end point detecting means when performing processing using plasma on a semiconductor substrate.
  • the present invention uses the above-mentioned plasma processing end point detecting means when manufacturing a semiconductor device by performing a processing using plasma on a semiconductor substrate.
  • the emission intensity of the active species that emits light at a specific wavelength in the plasma is detected, and a component that periodically fluctuates with time is extracted from the emission intensity. This makes it possible to accurately grasp the progress of the plasma processing.Furthermore, by detecting the end point of the plasma processing from the change in the amplitude of the periodic time-varying component, the plasma processing can be performed with higher accuracy than the conventional method. Can be detected.
  • the frequency of the above-mentioned periodic time variation of the emission intensity to be an integral multiple of the frequency of the high frequency power supply for plasma excitation, the progress of the plasma processing can be accurately grasped.
  • the end point of the plasma processing from the change in the amplitude of the time-varying component, it is possible to detect the end point of the plasma processing with higher precision than the conventional method.
  • the active species as a reaction product generated by the plasma processing, it is possible to accurately grasp the progress of the plasma processing.
  • the end point of the plasma processing By detecting the end point of the plasma processing from the change in the amplitude of the periodic time-varying component, it is possible to detect the end point of the plasma processing with higher accuracy than the conventional method.
  • the active species as a reaction gas or a decomposition product used in the plasma processing, it is possible to accurately grasp the progress of the plasma processing, and further, to change the amplitude of the periodic time-varying component.
  • the end point of the plasma processing can be detected with higher accuracy than the conventional method.
  • the specific wavelength it is possible to accurately grasp the progress of the plasma processing, and to detect the end point of the plasma processing from the change in the amplitude of the periodic time-varying component. By doing so, it is possible to detect the end point of the plasma processing with higher accuracy than the conventional method.
  • the specific wavelength it is possible to accurately grasp the progress of the plasma processing, and to detect the end point of the plasma processing from the change in the amplitude of the periodic time-varying component. By doing so, it is possible to detect the end point of the plasma processing with higher accuracy than the conventional method.
  • FIG. 1 is a diagram showing an etching end point detecting device according to a first embodiment of the present invention.
  • FIG. 2 is a diagram showing a light emission waveform of plasma in a state including all wavelengths.
  • FIG. 4 is a diagram showing a frequency spectrum of plasma emission, FIG. 4 is a diagram showing a frequency spectrum of an emission wavelength component of the reaction product CO *, and FIG. FIG.
  • FIG. 6 is a diagram showing a time change of an emission intensity signal at a frequency of 400 nm, at 19 nm (emission wavelength component of the reaction product C 0 *).
  • FIG. 6 shows a second embodiment of the present invention.
  • FIG. 7 is a diagram showing a signal processing / judgment system in FIG. 7;
  • FIG. 7 is a diagram showing a signal processing / judgment system in a third embodiment of the present invention;
  • FIG. 8 is a diagram showing a fourth embodiment of the present invention.
  • FIG. 9 is a diagram showing an etching end point detecting device in an example, and FIG. 9 shows a light emission waveform of a reaction product CO * and a sampling timing.
  • FIG. 10 is a diagram showing a signal processing / determination system in a fifth embodiment of the present invention.
  • FIG. 11I is a diagram showing a signal processing / determination system in a sixth embodiment of the present invention.
  • FIG. 12 is a diagram showing a judgment system.
  • FIG. 12 is a diagram showing a light emission waveform of a reaction product C 0 *.
  • FIG. 13 is a diagram showing a pulse train (width: ⁇ t, frequency: 400 kHz, rectangular).
  • FIG. 14 is a diagram showing a waveform of a sampling signal composed of a wave function),
  • FIG. 14 is a diagram showing an emission waveform after sampling
  • FIG. 15 is a diagram showing a semiconductor manufacturing method according to a seventh embodiment of the present invention.
  • Fig. 16 is a block diagram showing a line photolithography process.
  • Fig. 16 is a block diagram showing a line photolithography process.
  • FIG. 16 is a diagram showing a plasma etching apparatus and a conventional etching end point detecting apparatus.
  • Fig. 17 is a diagram showing active species by a conventional etching end point detecting apparatus.
  • FIG. 5 is a diagram showing a change in light emission intensity over time.
  • FIG. 1 shows an etching end point detecting device in the first embodiment.
  • This device comprises a light emission detection optical system 101 and a signal processing / judgment system 201.
  • the etching apparatus is a parallel plate type plasma etching apparatus.
  • the output voltage of the power amplifier 22 is modulated by a high-frequency signal from the signal generator 21, the high-frequency voltage is distributed by the distributor 23, and the upper electrode 2 and the lower electrode 2 are arranged in parallel in the processing chamber 1.
  • a plasma 6 is generated from the etching gas by applying a discharge between the electrodes 3 and discharging between the two electrodes, and the semiconductor wafer 4 as the object to be processed is etched with the active species. For example, a frequency of about 400 kHz is used as the high-frequency signal.
  • light emission 24 from the plasma is imaged on a photoelectric conversion element 28 such as a photodiode by a quartz lens 25 through a quartz window 7 Q.
  • a photoelectric conversion element 28 such as a photodiode by a quartz lens 25 through a quartz window 7 Q.
  • An interference filter 26 is disposed in the optical path, and selectively transmits only the emission spectrum component 27 of a specific active species.
  • An interference filter having a transmission center wavelength of 4.83.5 nm, and an emission filter having an emission wavelength of CF *, which is an intermediate product, are provided with a 260 nm interference filter.
  • a monochromator instead of an interference filter.
  • Fig. 2 shows the emission waveform after amplification. Note that this waveform does not select a specific wavelength, but is a light emission waveform including all wavelengths. The emission intensity change is repeated in such a way that the emission process associated with the excitation and decay of various active species in the plasma is superimposed on the fundamental period of 400 kHz synchronized with the high-frequency power for plasma excitation. You can see that there is.
  • Fig. 3 shows an example of observing the frequency spectrum of this waveform using a spectrum analyzer. A spectrum of 400 kHz and an integer multiple thereof is observed.
  • Figure 4 shows an interference filter with a transmission center wavelength of 219 nm placed in the optical path, the emission spectrum wavelength component of C0 * was detected, and the frequency spectrum was observed. .
  • the spectrum of the 400 kHz, 800 kHz, and 1200 kHz components is observed.
  • the output signal from the amplifier 29 is sent to a signal processing / judgment system 201.
  • the synchronous detection circuit 30 such as a mouth-in amplifier or the like uses the high-frequency signal having a frequency of 400 kHz from the signal generator 21 as a reference signal and the frequency spectrum observed in FIG. Only the 400 kHz component is selectively extracted from the vector.
  • FIG. 5 shows the time change of the extracted luminescence intensity signal at the extracted wavelength of 219 nm and the frequency of 400 kHz. At around 60 s after the start of measurement, the emission intensity sharply decreases. This part is the end point of the etching.
  • This emission intensity signal is sent to the end point determination circuit 31, and the emission intensity at the signal change point and its primary differential value or secondary differential value are compared with a preset threshold value.
  • Etch The end point position of the ring is accurately determined. For example, as shown in FIG. 5, a time point E when the light emission intensity becomes equal to or less than a preset threshold value T is determined as an end point.
  • the threshold value T is set according to device conditions such as high-frequency power and pressure, and process conditions such as the film thickness, material and etching gas of the film to be processed.
  • the etching reaction and the emission of the reaction product are synchronized with the high frequency power for plasma excitation. Therefore, as shown in the present embodiment, by extracting only the frequency components synchronized with the high-frequency power from the emission wavelength components of the reaction products, the progress of the etching can be grasped more accurately, and the end point The change in the signal at the time becomes clearer, and the accuracy of the final inspection of the minute opening pattern is improved.
  • the light emission detection optical system 101 as an imaging optical system, it is possible to detect light emission components in a limited area on the wafer, and therefore, It is possible to reduce the dullness of the end point detection signal due to the in-plane variation of the film thickness and the in-plane variation of the etching rate.
  • a second embodiment of the present invention will be described with reference to FIG.
  • the configurations and functions of the etching apparatus and the light emission detection optical system are the same as those in the first embodiment, and thus description thereof will be omitted.
  • the signal processor / judgment system 202 uses the spectrum analyzer 41 to extract a 400 kHz component synchronized with the high-frequency power.
  • the output signal of the amplifier 29 becomes The emission intensity signal of the 400 kHz component similar to that shown in FIG. 5 can be extracted from the signals.
  • This emission intensity signal is sent to the end point determination circuit 42, and the emission intensity at the signal change point and its first derivative or second derivative are set in advance, as in the first embodiment.
  • the end position of the etching is determined. When the end point is detected, the output of the power amplifier 22 is stopped based on the control signal.
  • the frequency components synchronized with the high frequency power for plasma excitation are extracted from the emission wavelength components of the reaction products, so that the progress of etching is more accurate.
  • the signal change at the end point becomes clear, and the detection accuracy of the etching end point of the fine aperture pattern is improved.
  • the frequency components synchronized with the high-frequency power are extracted from the emission wavelength components of the reaction products, they are not susceptible to slow fluctuations in plasma emission and unnecessary frequency components.
  • the SN ratio of the emission intensity signal is improved.
  • a third embodiment of the present invention will be described with reference to FIG.
  • the configurations and functions of the etching apparatus and the light emission detection optical system are the same as those in the first embodiment, and thus description thereof will be omitted.
  • the signal processing / judgment system 203 uses a bandpass filter 51 having a transmission center frequency of 400 kHz to obtain a 400 kHz component synchronized with the high frequency power. Is extracted. A signal similar to the emission intensity signal shown in FIG. 5 is obtained from the bandpass filter 51. This emission intensity signal passes through a low-pass filter 52 of about 100 Hz, which is substantially equal to the sampling frequency of the signal, and is then sent to an end point determination circuit 53, where the first As in the embodiment, the end point position of the etching is determined by comparing the light emission intensity at the signal change point and its first derivative or second derivative with a preset threshold value. . When the end point is detected, the output of the power amplifier 22 is stopped based on the control signal.
  • the frequency components synchronized with the high frequency power for plasma excitation are extracted from the emission wavelength components of the reaction products, so that the progress of etching is further improved. It can be accurately captured, the signal change at the end point becomes clear, and the detection accuracy of the etching end point of the fine aperture pattern is improved.
  • the frequency components synchronized with the high-frequency power are extracted from the emission wavelength components of the reaction products, they are less susceptible to gradual fluctuations in plasma emission and unnecessary frequency components.
  • the SN ratio of the emission intensity signal is improved.
  • the device configuration is simplified.
  • FIG. 8 A fourth embodiment of the present invention will be described with reference to FIGS.
  • the configurations and functions of the etching apparatus and the light emission detecting optical system 101 are the same as those of the first embodiment, and therefore, description thereof will be omitted.
  • a 400 kHz component synchronized with the high-frequency power is extracted by using the sample-and-hold circuit 63 in the signal processing / determination system 204.
  • the sampling signal generation circuit 61 based on the high-frequency signal from the signal generator 21, the sampling signal generation circuit 61 includes an impulse train 67 (com function) having a frequency of 400 kHz as shown in FIG. 9 (a). Generates a sampling signal.
  • a waveform 66 is an emission waveform of the reaction product C 0 * detected by the photoelectric conversion element 28 through an interference filter having a transmission center wavelength of 2 19 nm.
  • the sampling signal is output by the phase shifter 62.
  • the phase is sent to the sample-and-hold circuit 63, where the emission waveform of the reaction product C 0 * is changed as shown in FIG. 9 (a).
  • Sample is held, and the amplitude a is output.
  • Fig. 9 (a) the force capturing the higher peak of the 400 kHz cycle, as shown in Fig. 9 (b), the amount of phase shift was adjusted, and the timing when the peak was slightly attenuated
  • it is desirable to set the amount of phase shift so that the timing emission waveform that reflects the etching reaction most strongly is sampled.
  • the output signal from the sample-and-hold circuit 63 is sent to a one-pass filter 64 of about 100 Hz, and a light emission intensity signal similar to that shown in FIG. 5 is obtained.
  • This light emission intensity signal is sent to the end point determination circuit 65, and the light emission intensity at the signal change point and its primary differential value or secondary differential value are set in advance as in the first embodiment. By comparing with, the end point of the etching is determined. When the end point is detected, the output of the power amplifier 22 is stopped based on the control signal.
  • the frequency components synchronized with the high frequency power for plasma excitation are extracted from the emission wavelength components of the reaction product, so that the progress of the etching is more accurate.
  • the signal change at the end point becomes clear, and the detection accuracy of the etching end point of the fine aperture pattern is improved.
  • the timing of sampling the emission waveform can be arbitrarily adjusted, so that emission information that reflects the etching reaction more strongly can be obtained, and the end point detection accuracy is improved.
  • a fifth embodiment of the present invention will be described with reference to FIGS. 9 and 10.
  • the configurations and functions of the jetting device and the light emission detection optical system are the same as those in the first embodiment, and thus description thereof will be omitted.
  • the emission signal is divided into two, and these are sampled at two different timings having the same frequency and shifted phase, and the difference or the difference between the two detected signals obtained is obtained.
  • the intensity ratio By taking the intensity ratio, the etching reaction can be determined more accurately.
  • an impulse train 6 7 Generates a sampling signal consisting of
  • a waveform 66 is an emission waveform of the reaction product CO * detected by the photoelectric conversion element 28 through an interference filter having a transmission center wavelength of 219 nm.
  • the sampling signal is divided into two and sent to phase shifters 72 and 75, and after each being given a different shift amount, each sampling signal 72S and 75S is converted to a sample-and-hold circuit 73 and 76.
  • Sent to The luminescence signal of the reaction product output from the amplifier 29 is divided into two and input to the sample-and-hold circuits 73 and 76, respectively.
  • the sampling timing by the sampling signal 72S captures the higher peak (amplitude a) of the emission waveform as shown in Fig. 9 (a), while the sampling timing by the sampling signal 75S.
  • the phase shifters 72 and 75 are adjusted so that the lower peak (amplitude b) is captured as shown in Fig. 9 (c).
  • the signals of amplitude a and amplitude b detected by the sample and hold circuits 73 and 76 are low-pass filters of about 100 Hz. After passing through 4 and 77, the emission intensity signal becomes similar to that shown in FIG. Both emission intensity signals are sent to the arithmetic processing circuit 78.
  • the arithmetic processing circuit 78 calculates the difference between the amplitude a and the amplitude b or the ratio thereof and outputs it. This arithmetic processing can reduce the effect of unnecessary light-emitting components, which have little relation to the etching reaction directly above the wafer, which is caused by the difference in the timing of application to the upper and lower electrodes. Becomes
  • the output signal from the arithmetic processing circuit 78 is sent to the end point determination circuit 79, and the light emission intensity at the signal change point and its first derivative or second derivative are set in advance as in the first embodiment.
  • the end point of the etching is determined by comparing with the threshold value set in advance. When the end point is detected, the output of the power amplifier 22 is stopped based on the control signal.
  • the frequency components synchronized with the high-frequency power are extracted from the emission wavelength components of the reaction products, they are less susceptible to gradual fluctuations in plasma emission and unnecessary frequency components.
  • the SN ratio of the emission intensity signal is improved.
  • the timing of sampling the emission waveform can be arbitrarily adjusted, so that emission information that reflects the etching reaction more strongly can be obtained, and the end point detection accuracy is improved.
  • a sixth embodiment of the present invention will be described with reference to FIGS.
  • the configurations and functions of the jetting device and the light emission detection optical system are the same as those in the first embodiment, and thus description thereof will be omitted.
  • a sample-hold circuit 63 using a sampling signal composed of an impulse train 67 (com function) having a frequency of 400 kHz is used to synchronize with the high-frequency power.
  • the method of extracting the 0 kHz component has been described.
  • the emission signal is multiplied by a pulse train (rectangular wave function) having a certain constant width to obtain a signal of 400 k synchronized with high-frequency power. Extract the H z component.
  • a pulse train with a width ⁇ t and a frequency of 400 kHz (square wave function) as shown in Fig. 13 8 Generates a sampling signal consisting of 6.
  • a waveform 66 shown in FIG. 12 is an emission waveform of the reaction product C 0 * detected by the photoelectric conversion element 28 through an interference filter having a transmission center wavelength of 219 nm.
  • the phase of the sampling signal 86 is shifted by a desired amount according to the emission waveform by the phase shifter 82, and then sent to the multiplication circuit 83.
  • the light emission signal 66 output from the amplifier 29 is multiplied by the sampling signal 86, and as shown in FIG. 14, a period corresponding to the pulse width ⁇ t of the sampling signal 86 is obtained.
  • the emission waveform 87 of is sampled and output.
  • the higher peak of the 400 kHz cycle is captured, but as in the fourth embodiment, the amount of phase shift is adjusted, and the timing (amplitude a ′) slightly attenuated from the peak is adjusted. )
  • the amount of phase shift is the strongest in the etching reaction. It is desirable to make settings so that the emission waveform is sampled at the timing that the reflection is reflected. It is also desirable that the sampling interval At be set to a time width in which the etching reaction is most strongly reflected.
  • the output signal from the multiplication circuit 83 is sent to a one-pass filter 84 of about 100 Hz, and a light emission intensity signal similar to that shown in FIG. 5 is obtained.
  • This light emission intensity signal is sent to the end point determination circuit 85, and a threshold value in which the light emission intensity at the signal change point and its first derivative or second derivative, etc. are set in advance as in the first embodiment. By comparing with, the ending position of the etching is determined. When the end point is detected, the output of the power amplifier 22 is stopped based on the control signal.
  • the luminescence signal of the reaction product is divided into two, sampled at different timings, and the difference or ratio between the two signals is calculated. It is also possible to reduce the influence of unnecessary light-emitting components, which have a low relation to the etching reaction directly above the wafer, caused by the difference in the timing of application to the upper and lower electrodes.
  • the frequency components synchronized with the high frequency power for plasma excitation are extracted from the emission wavelength components of the reaction product, so that the progress of the etching is more accurate. The change in the signal at the end point is clear, and the accuracy of detecting the etching end point of the fine aperture pattern is improved.
  • the frequency components synchronized with the high-frequency power are extracted from the emission wavelength components of the reaction products, they are less susceptible to gradual fluctuations in plasma emission and unnecessary frequency components.
  • the SN ratio of the emission intensity signal is improved.
  • the timing of sampling the emission waveform can be arbitrarily adjusted, so that the emission information that reflects the etching reaction more strongly can be obtained. And the end point detection accuracy is improved.
  • the light emission waveform of a certain section ⁇ t is sampled and then passed through the one-pass filter, noise components superimposed on the light emission waveform can be reduced, and the end point detection accuracy is improved.
  • the frequency of the high frequency power for plasma excitation was set at 400 kHz, but the present invention is not limited to this, and 800 kHz, 1 MHz, etc. However, the present invention can be applied to any frequency in a frequency band in which periodic plasma emission can be observed.
  • the etching apparatus is a parallel plate type plasma etching apparatus.
  • the present invention is not limited to this. It is needless to say that the present invention can be applied to various etching apparatuses in which an etching reaction progresses periodically in synchronization with some kind of energy supply to a wafer, for example, a microwave etching apparatus.
  • the end point detecting method and apparatus of the present invention are not limited to the final check of the etching processing, and as the plasma processing proceeds, It can be applied to the detection of the end point of various plasma processing apparatuses in which the emission spectrum intensity changes.
  • the object to be processed is not limited to the semiconductor device, but may be applied to various elements and materials that are subjected to plasma processing in the manufacturing process, such as a substrate for a liquid crystal display device.
  • an etching end point detecting device comprising a light emission detecting optical system 101 and a signal processing / judgment system 201 to 206 based on the above-described six embodiments is mounted on a semiconductor manufacturing line. Introduced as much as lithographies. As shown in FIG. 15, first, a silicon oxide film or the like is processed on a semiconductor wafer by a film forming apparatus 401. A film is formed. Next, a resist is applied by a resist coating device 402, and a desired circuit pattern on a reticle or a mask is transferred by an exposure device 403. The resist portion corresponding to the transfer pattern is removed from the exposed semiconductor wafer by the developing device 404.
  • the film to be processed in the resist removal portion is etched using the resist pattern as a mask.
  • the emission spectrum of the reaction product generated during etching is detected by the emission detection optical system 101, and the emission intensity signal is always sent to the signal processing / judgment system 201 to 206, and the etching end point Is detected, the plasma output of the etching apparatus 405 is stopped based on the control signal.
  • the semiconductor wafer after the completion of the etching is sent to an asshing device 406, and after the resist is removed, it is cleaned by a cleaning device 407.
  • an etching apparatus in the middle of a photolithographic process is provided with a light emission detection optical system 101 based on the above-described embodiment and a signal processing / judgment system 201 to 206 for detecting an etching end point.
  • the accuracy of detecting the etching end point is improved, and the film residue due to insufficient etching and the displacement of the base film due to over-etching are reduced. This makes it possible to reduce defects caused by etching during the photolithography process, and to manufacture a high-quality semiconductor device.
  • the present invention by extracting only the frequency components synchronized with the high frequency power for plasma excitation from the emission wavelength components of the active species, the progress of the plasma processing can be grasped more accurately, and at the end point. This has the effect that the signal change becomes clear and the end point detection accuracy of the plasma processing of the minute aperture pattern is improved.
  • the present invention it is possible to detect an etching end point with high accuracy, so that it is possible to reduce defects caused by etching during photolithography, and to manufacture a high-quality semiconductor element. .
  • the present invention it is possible to detect the etching end point with high accuracy, so that it is not necessary to perform a preceding operation for time management, the productivity of the etching process is improved, and the entire semiconductor manufacturing line can be automated. It is extremely effective in industry.

Description

明 細 書 プラズマ処理の終点検出方法及び装置、 並びに半導体装置の製造方法及 び装置、 並びに半導体装置 技術分野
この発明は、 プラズマ処理の終点検出方法及び装置、 並びに半導体装 置の製造方法及び装置、 並びに本製造方法を用いて製造された半導体裝 置に関するものである。 背景技術
プラズマを用いた処理は、 エッチング装置を始めとして、 半導体製造 工程や液晶表示装置用基板製造工程に広く適用されている。
第 1 6図に示すプラズマエッチング装置を例にとり、 従来の技術につ いて説明する。 このエッチング装置では、 高周波電源 5からの高電圧を、 処理室 1内に互いに平行に配置した上部電極 2と下部電極 3との間に印 加し、 両電極間での放電によりエッチング用ガスからプラズマ 6を発生 させ、 その活性種で被処理体としての半導体ウェハ 4をエッチングする。 エッチング処理に際しては、 エッチングの進行状況を監視し、 その終点 をできるだけ正確に検出して、 所定のパターン形状及び深さだけエッチ ング処理を行うようにしている。
従来から、 エッチングの終点を検出する方法には、 分光分析、 質量分 析等の手法が用いられており、 中でも特開平 6— 2 8 2 5 2号公報に示 されるように、 装置が簡便で感度の高い分光分析が広く用いられている。 具体的には、 エッチング用ガス、 その分解生成物または反応生成物等の ラジカルやイオン等の活性種のうち特定の活性種を選択し、 選択された 活性種の発光スぺク トルの発光強度を測定する。 即ち、 第 1 6図におい て、 プラズマからの発光 8を窓 7を通してモノク口メータ等の分光! § 9 で分光し、 所望の波長の発光成分 1 0だけを取り出す。 この発光成分 1 0はホトマルチプライヤ等の光電変換素子 1 1 で受光されて電気信号に 変換され、 アンプ 1 2で増幅された後、 終点判定ユニッ ト 1 3に送られ る。 終点判定ュニッ ト 1 3では、 第 1 7図に示すように発光強度の時間 変化 1 5を観測していき、 変化点での発光強度やその 1次微分値、 ある いは 2次微分値等を予め設定しておいた閾値 Sと比較することによ り、 エッチングの終点位置 Eが決定される。 終点が検出されると、 電源制御 装置 1 4によ り、 高周波電源 5の出力を停止する。
選択する活性種はエッチング用ガスの種類により異なる。 例えば、 C - F 4 等のフルォロカーボン系のエッチング用ガスを用いてシリコン酸化 膜をエッチングする場合には、 その反応生成物である C 0 *からの発光 スペク トル ( 2 1 9 n mまたは 4 8 3 . 5 n m等) 、 あるいは、 中間生 成物である C F *からの発光スぺク トル ( 2 6 0 n m等) を測定する。 上記従来技術によれば、 簡単な構成にしてエッチングの終点を求める ことができる。 しかし、 半導体装置の回路パターンの微細化に伴い、 ェ ツチングされる部分の総面積が小さくなり、 反応生成物の絶対量が低下 してしまう。 その結果、 第 1 7図に一点鎖線 1 6で示すように、 発光強 度そのものが低下するとともに、 終点位置での発光強度の変化量が大幅 に低下し、 かつ波形が大きく鈍ってしまい、 終点位置の判定が困難にな る。 これが従来技術の大きな課題である。
一方、 プラズマ励起用の高周波電力は数百 k H z程度であり、 エッチ ング反応及び反応生成物の発光もこの周波数に同期していると考えられ る。 これに対し、 従来技術では、 発光信号の増幅に用いるアンプ 1 2の 帯域は概ね 1 0 0 H z〜数 k H z程度であり、 周期的な反応生成物の発 光を平滑化して主に直流成分を検出していたため、 エッチング反応を正 確に捉えておらず、 ゆるやかなプラズマ発光の変動や不要な周波数成分 の影響を受けやすいという課題があった。
本発明の目的は、 被処理パターンの微細化や外乱の影響を受けること なく、 プラズマ処理の終点が常に安定かつ高精度に検出可能な、 プラズ マ処理の終点検出方法及びその装置、 並びに半導体装置の製造方法及び 装置、 並びに本製造方法を用いて製造された半導体装置を提供すること にある。 発明の開示
上記目的を達成するために、 本発明は、 被処理体にプラズマを用いた -処理を施す際に、 前記プラズマ中において特定波長で発光する活性種の 発光強度を検出し、 該発光強度の周期的時間変動の振幅の変化から、 プ ラズマ処理の終点を検出するものである。
また、 上記目的を達成するために、 本発明は、 上記発光強度の周期的 時間変動の周波数は、 プラズマ励起用高周波電源の周波数の整数倍とす るものである。
また、 上記目的を達成するために、 本発明は、 上記活性種はプラズマ 処理によって生じる反応生成物とするものである。
また、 上記目的を達成するために、 本発明は、 上記活性種はプラズマ 処理に使用される反応ガスもしくは分解生成物とするものである。
また、 上記目的を達成するために、 本発明は、 上記特定波長は概ね 2 1 9 n mとするものである。
また、 上記目的を達成するために、 本発明は、 上記特定波長は概ね 2 6 O n mとするものである。
また、 上記目的を達成するために、 本発明は、 上記活性種の発光強度 は、 上記プラズマと結像関係にある受光素子を備えた結像光学系によリ 検出されるものである。
また、 上記目的を達成するために、 本発明は装置の構成要件として、 前記プラズマ中において、 特定波長で発光する活性種の発光強度を検出 する発光検出手段と、 該検出された発光強度信号の周期的時間変動の振 幅を検出する振幅検出手段と、 該振幅の変化から前記処理の終点を検出 する終点判定手段を具備している。
また、 上記目的を達成するために、 本発明は、 半導体基板にプラズマ を用いた処理を施す際に、 上記プラズマ処理の終点検出手段を用いるも のである。
また、 上記目的を達成するために、 本発明は、 半導体基板にプラズマ -を用いた処理を施して半導体装置を製造する際に、 上記プラズマ処理の 終点検出手段を用いるものである。
被処理体にプラズマを用いた処理を施す際に、 前記プラズマ中におい て特定波長で発光する活性種の発光強度を検出し、 更に、 この発光強度 の中から周期的に時間変動する成分を抽出することにより、 プラズマ処 理の進行を正確に捉えることが可能となり、 更に、 この周期的時間変動 成分の振幅の変化からプラズマ処理の終点を検出することにより、 従来 法に比べ高精度なプラズマ処理の終点検出が可能となるものである。
また、 上記発光強度の周期的時間変動の周波数を、 プラズマ励起用高 周波電源の周波数の整数倍とすることにより、 プラズマ処理の進行を正 確に捉えることが可能になり、 更に、 この周期的時間変動成分の振幅の 変化からプラズマ処理の終点を検出することによリ、 従来法に比べ高精 度なプラズマ処理の終点検出が可能となるものである。
また、 上記活性種をプラズマ処理によって生じる反応生成物とするこ とにより、 プラズマ処理の進行を正確に捉えることが可能になり、 更に、 上記周期的時間変動成分の振幅の変化からブラズマ処理の終点を検出す ることにより、 従来法に比べ高精度なプラズマ処理の終点検出が可能と なるものである。
また、 上記活性種をプラズマ処理に使用される反応ガスもしくは分解 生成物とすることにより、 プラズマ処理の進行を正確に捉えることが可 能になり、 更に、 上記周期的時間変動成分の振幅の変化からプラズマ処 理の終点を検出することにより、 従来法に比べ高精度なブラズマ処理の 終点検出が可能となるものである。
また、 上記特定波長を概ね 2 1 9 n mとすることにより、 プラズマ処 理の進行を正確に捉えることが可能になり、 更に、 上記周期的時間変動 成分の振幅の変化からプラズマ処理の終点を検出することにより、 従来 ¾に比べ高精度なプラズマ処理の終点検出が可能となるものである。 また、 上記特定波長を概ね 2 6 0 n mとすることにより、 プラズマ処 理の進行を正確に捉えることが可能になり、 更に、 上記周期的時間変動 成分の振幅の変化からプラズマ処理の終点を検出することにより、 従来 法に比べ高精度なプラズマ処理の終点検出が可能となるものである。
また、 上記活性種の発光強度を、 上記プラズマと結像関係にある受光 素子を備えた結像光学系により検出することにより、 プラズマ処理の進 行を正確に捉えることが可能になり、 更に、 上記周期的時間変動成分の 振幅の変化からプラズマ処理の終点を検出することにより、 従来法に比 ベ高精度なプラズマ処理の終点検出が可能となるものである。
また、 半導体基板にプラズマを用いた処理を施す際に、 上記プラズマ 処理の終点検出手段を用いることにより、 高品質な半導体装置の製造が 可能となるものである。 図面の簡単な説明 第 1図は、 本発明の第 1の実施例におけるエッチング終点検出装置を 示す図であり、 第 2図は、 全波長を含んだ状態でのプラズマの発光波形 を示す図であり、 第 3図は、 プラズマ発光の周波数スぺク トルを示す図 であり、 第 4図は、 反応生成物 C O *の発光波長成分の周波数スぺク ト ルを示す図であり、 第 5図は、 波長 2 1 9 n m (反応生成物 C 0 *の発 光波長成分) 、 周波数 4 0 0 k H zの発光強度信号の時間変化を示す図 であり、 第 6図は、 本発明の第 2の実施例における信号処理 ·判定系を 示す図であり、 第 7図は、 本発明の第 3の実施例における信号処理 .判 定系を示す図であり、 第 8図は、 本発明の第 4の実施例におけるエッチ ング終点検出装置を示す図であり、 第 9図は、 反応生成物 C O *の発光 波形と、 サンプリングのタイミングを示す図であり、 第 1 0図は、 本発 ^の第 5の実施例における信号処理 ·判定系を示す図であり、 第 1 I図 は、 本発明の第 6の実施例における信号処理 · 判定系を示す図であり、 第 1 2図は、 反応生成物 C 0 *の発光波形を示す図であり、 第 1 3図は、 幅△ t、 周波数 4 0 0 k H zのパルス列 (矩形波関数) からなるサンプ リング信号波形を示す図であり、 第 1 4図は、 サンプリング後の発光波 形を示す図であり、 第 1 5図は、 本発明の第 7の実施例における半導体 製造ラィンのホトリソグラフィ工程を示すプロック図であり、 第 1 6図 プラズマエッチング装置と従来のエッチング終点検出装置を示す図であ リ、 第 1 7図は、 従来のエッチング終点検出装置による、 活性種の発光 強度の時間変化を示す図である。
発明を実施するための最良の形態
本発明をより詳細に説述するために、 添付の図面に従ってこれを説明 する。
まず、 本発明の第 1の実施例を第 1図〜第 5図に基づいて説明する。 第 1図は第 1 の実施例におけるエッチング終点検出装置を示すものであ る。 本装置は、 発光検出光学系 1 0 1、 信号処理 ·判定系 2 0 1から成 る。
エッチング装置は、 本実施例では平行平板形プラズマエッチング装置 とした。 シグナルジェネレータ 2 1からの高周波信号によりパワーアン プ 2 2の出力電圧を変調し、 この高周波電圧を分配器 2 3によリ分配し、 処理室 1内に互いに平行に配置した上部電極 2と下部電極 3との間に印 加し、 両電極間での放電によりエッチング用ガスからプラズマ 6を発生 させ、 その活性種で被処理体としての半導体ウェハ 4をエッチングする。 高周波信号としては、 例えば 4 0 0 k H z程度の周波数が用いられる。 発光検出光学系 1 0 1では、 プラズマからの発光 2 4を石英窓 7 Qを -通して石英レンズ 2 5によりホ卜ダイォード等の光電変換素子 2 8上に 結像する。 プラズマ発光領域中でウェハ 4上のある一点と光電変換素子 2 8とが結像関係にある。 光路中には干渉フィルタ 2 6が配置されてお り、 特定の活性種の発光スぺク トル成分 2 7だけを選択的に透過させる。 C F 等のフルォロカーボン系のエッチング用ガスを用いてシリコン酸 化膜をエッチングする場合を例にとると、 反応生成物である C 0 *から の発光スペク トルを取り出す場合は、 2 1 9 11 11 または4 8 3 . 5 n m の透過中心波長を有する干渉フィルタを、 また、 中間生成物である C F *からの発光スぺク トノレを取り出す場合には、 2 6 0 n mの干渉フィル タを配置する。 もちろん、 干渉フィルタでなくモノクロメータを使用す ることも可能である。 尚、 発光検出光学系 1 0 1 を結像光学系として構 成することにより、 ウェハ上の限られた領域の発光成分を検出すること ができるため、 被加工膜厚の面内ばらつきやエッチングレ一卜の面内ば らつきに起因した終点検出信号の鈍りを低減することが可能である。 光 電変換された発光信号は、 プラズマ励起用の高周波電力に比べ十分大き な 4MH z程度の帯域を有するアンプ 2 9によ り増幅される。
第 2図に増幅後の発光波形を示す。 尚、 この波形は特定波長を選択し たものではなく、 全波長を含んだ状態での発光波形である。 プラズマ励 起用の高周波電力と同期した 40 0 k H zの基本周期に、 プラズマ中で の各種活性種の励起 ,減衰に伴う発光プロセスが重畳した形で、 発光強 度変化が繰リ返されているのが判る。
尚、 400 k H z周期の高いピークの繰返しに対し、 位相が 1 8 0° ずれた低いピークの繰返しが存在するのは、 上部及び下部電極への高周 波電圧の印加のタイミングが丁度 1 80° ずれていることに起因して生 じたものである。 第 3図は、 スペク トルアナライザにより、 この波形の 周波数スぺク トルを観測した例である。 4 0 0 k H z及びその整数倍の - スぺク トルが観測される。
第 4図は、 光路中に透過中心波長 2 1 9 n mを有する干渉フィルタを 配置して、 C 0 *の発光スペク トル波長成分を検出し、 その周波数スぺ ク 卜ルを観測したものである。 400 k H z、 8 0 0 k H z、 1 2 0 0 k H z成分のスぺク トルが観測される。
アンプ 2 9からの出力信号は、 信号処理 · 判定系 2 0 1に送られる。 信号処理 ·判定系 20 1では、 例えば口ックインアンプ等の同期検波回 路 30により、 シグナルジェネレータ 2 1からの周波数 40 0 k H zの 高周波信号を参照信号として、 第 4図で観測された周波数スぺク トルの 中から、 400 k H z成分のみが選択的に抽出される。 第 5図に、 抽出 された波長 2 1 9 nm、 周波数 4 00 k H zの発光強度信号の時間変化 を示す。 測定開始後 60 s付近で発光強度が急激に低下している。 この 部分がエッチングの終点位置である。 この発光強度信号は、 終点判定回 路 3 1に送られ、 信号変化点での発光強度やその 1次微分値、 あるいは 2次微分値等を予め設定しておいた閾値と比較することにより、 エッチ ングの終点位置が正確に決定される。 例えば、 第 5図に示すように、 発 光強度が予め設定しておいた閾値 T以下になった時点 Eを終点と判定す る。 閾値 Tは、 高周波電力、 圧力等の装置条件と、 被加工膜の膜厚、 材 質、 エッチングガス等のプロセス条件に応じて設定される。 終点が検出 されると、 制御信号に基づきパワーアンプ 2 2の出力を停止する。
ェツチング反応及び反応生成物の発光はプラズマ励起用の高周波電力 に同期していると考えられる。 従って、 本実施例に示すごと く、 反応生 成物の発光波長成分の中から、 この高周波電力と同期した周波数成分の みを抽出することにより、 エッチングの進行状況がより正確に捉えられ、 終点での信号変化が明瞭になり、 微小開口パターンのエッチング終点検 出精度が向上する。
* また、 本実施例では、 反応生成物の発光波長成分の中から、 高周波電 力と同期した周波数成分のみを抽出しているので、 ゆるやかなプラズマ 発光の変動や不要な周波数成分の影響を受けにく く、 発光強度信号の S N比が向上する。
また、 前述の通り、 発光検出光学系 1 0 1 を結像光学系と して構成す ることによ り、 ウェハ上の限られた領域の発光成分を検出することがで きるため、 被加工膜厚の面内ばらつきやエッチングレー卜の面内ばらつ きに起因した終点検出信号の鈍りを低減することが可能である。
本発明の第 2の実施例を第 6図に基づいて説明する。 エッチング装置 及び発光検出光学系の構成と機能は第 1の実施例と同様であるので、 説 明を省略する。
本実施例では、 信号処理 · 判定系 2 0 2においてスプク 卜ラムアナラ ィザ 4 1 を用いることにより、 高周波電力と同期した 4 0 0 k H z成分 を抽出する。 スプク 卜ラムアナライザ 4 1の中心周波数を 4 0 0 k H z に、 周波数スパンを 0 H zに設定することにより、 アンプ 2 9の出力信 号の中から、 第 5図に示すと同様の 4 0 0 k H z成分の発光強度信号を 抽出できる。 この発光強度信号は、 終点判定回路 4 2に送られ、 第 1の 実施例と同様、 信号変化点での発光強度やその 1次微分値、 あるいは 2 次微分値等を予め設定しておいた閾値と比較することによリ、 エツチン グの終点位置が決定される。 終点が検出されると、 制御信号に基づきパ ヮーアンプ 2 2の出力を停止する。
第 1 の実施例と同様、 本実施例によれば、 反応生成物の発光波長成分 の中から、 プラズマ励起用高周波電力と同期した周波数成分のみを抽出 することにより、 エッチングの進行状況がより正確に捉えられ、 終点で の信号変化が明瞭になり、 微小開口パターンのエッチング終点検出精度 が向上する。
- また、 同様に、 反応生成物の発光波長成分の中から、 高周波電力と同 期した周波数成分のみを抽出しているので、 ゆるやかなブラズマ発光の 変動や不要な周波数成分の影響を受けにく く、 発光強度信号の S N比が 向上する。
また、 本実施例では参照信号が不要なので、 装置構成が簡単になると いう効果を有する。
本発明の第 3の実施例を第 7図に基づいて説明する。 エッチング装置 及び発光検出光学系の構成と機能は第 1の実施例と同様であるので、 説 明を省略する。
本実施例では、 信号処理 · 判定系 2 0 3において 4 0 0 k H zの透過 中心周波数を有するバンドバスフィルタ 5 1 を用いることにより、 高周 波電力と同期した 4 0 0 k H z成分を抽出する。 バンドパスフィルタ 5 1からは、 第 5図に示す発光強度信号と同様の信号が得られる。 この発 光強度信号は信号のサンプリング周波数とほぼ等しい 1 0 0 H z程度の ローバスフィルタ 5 2を通った後、 終点判定回路 5 3に送られ、 第 1の 実施例と同様、 信号変化点での発光強度やその 1次微分値、 あるいは 2 次微分値等を予め設定しておいた閾値と比較することによリ、 エツチン グの終点位置が決定される。 終点が検出されると、 制御信号に基づきパ ヮーアンプ 2 2の出力を停止する。
第 1の実施例と同様、 本実施例によれば、 反応生成物の発光波長成分 の中から、 プラズマ励起用高周波電力と同期した周波数成分のみを抽出 することにより、 エッチングの進行状況がよ り正確に捉えられ、 終点で の信号変化が明瞭になり、 微小開口パターンのエッチング終点検出精度 が向上する。
また、 同様に、 反応生成物の発光波長成分の中から、 高周波電力と同 期した周波数成分のみを抽出しているので、 ゆるやかなプラズマ発光の 変動や不要な周波数成分の影響を受けにく く、 発光強度信号の S N比が 向上する。
また、 第 3の実施例と同様、 参照信号が不要なので、 装置構成が簡単 になる。
本発明の第 4の実施例を第 8図及び第 9図に基づいて説明する。 第 8 図において、 エッチング装置及び発光検出光学系 1 0 1の構成と機能は 第 1の実施例と同様であるので、 説明を省略する。
本実施例では、 信号処理 · 判定系 2 0 4においてサンプル · ホールド 回路 6 3を用いることにより、 高周波電力と同期した 4 0 0 k H z成分 を抽出する。 まず、 サンプリ ング信号発生回路 6 1 において、 シグナル ジェネレータ 2 1からの高周波信号に基づき、 第 9図 ( a ) に示すよう に周波数 4 0 0 k H zのィンパルス列 6 7 (コム関数) からなるサンプ リング信号を発生する。 同図において、 波形 6 6は、 透過中心波長 2 1 9 n mの干渉フィルタを通して、 光電変換素子 2 8で検出された反応生 成物 C 0 *の発光波形である。 サンプリ ング信号は位相シフタ 6 2によ り、 発光波形に応じて所望の量だけ位相がシフ トされた後、 サンプル - ホールド回路 6 3に送られ、 第 9図 ( a ) に示すように、 反応生成物 C 0 *の発光波形がサンプル ·ホールドされ、 振幅 aが出力される。 第 9 図 ( a ) では、 4 0 0 k H z周期の高い方のピークを捉えている力 第 9図 ( b ) に示すように、 位相シフ ト量を調整し、 ピークから少し減衰 したタイミング (振幅 a ' ) で波形を捉えたり、 あるいは、 第 9図 ( c ) に示すように、 4 0 0 k H z周期の低い方のピーク (振幅 b ) を捉える ことも可能である。 いずれにせよ、 位相シフ 卜量は、 エッチング反応が 最も強く反映されるタイミング 発光波形がサンプリ ングされるように 設定することが望ま しい。
サンプル · ホールド回路 6 3からの出力信号は 1 0 0 H z程度の口一 -パスフィルタ 6 4に送られ、 第 5図に示すと同様の発光強度信号が得ら れる。 この発光強度信号は終点判定回路 6 5に送られ、 第 1 の実施例と 同様、 信号変化点での発光強度やその 1次微分値、 あるいは 2次微分値 等を予め設定しておいた閾値と比較することによ り、 エッチングの終点 位置が決定される。 終点が検出されると、 制御信号に基づきパワーアン プ 2 2の出力を停止する。
第 1の実施例と同様、 本実施例によれば、 反応生成物の発光波長成分 の中から、 プラズマ励起用高周波電力と同期した周波数成分のみを抽出 することにより、 エッチングの進行状況がより正確に捉えられ、 終点で の信号変化が明瞭になり、 微小開口パターンのエッチング終点検出精度 が向上する。
また、 同様に、 反応生成物の発光波長成分の中から、 高周波電力と同 期した周波数成分のみを抽出しているので、 ゆるやかなブラズマ発光の 変動や不要な周波数成分の影響を受けにく く、 発光強度信号の S N比が 向上する。 また、 本実施例によれば、 発光波形のサンプリ ングのタイミングを任 意に調整できるので、 エッチング反応がより強く反映された発光情報を 得ることが可能になり、 終点検出精度が向上する。
本発明の第 5の実施例を第 9図及び第 1 0図に基づいて説明する。 ェ ツチング装置及び発光検出光学系の構成と機能は第 1の実施例と同様で あるので、 説明を省略する。
本実施例では、 信号処理 ·判定系 2 0 5において、 発光信号を 2分割 し、 これらを周波数は等しく位相をずらした異なる 2種類のタイミング でサンプリングし、 得られた 2つの検出信号の差分あるいは強度比をと ることにより、 エッチング反応をより正確に求める。
まず、 サンプリング信号発生回路 7 1 において、 シグナルジエネレー -タ 2 1からの高周波信号に基づき、 第 9図 ( a ) に示すように周波数 4 0 0 k H zのィンパルス列 6 7 (コム関数) からなるサンプリング信号 を発生する。 同図において、 波形 6 6は、 透過中心波長 2 1 9 n mの干 渉フィルタを通して、 光電変換素子 2 8で検出された反応生成物 C O * の発光波形である。 サンプリング信号は 2分割されて位相シフタ 7 2及 び 7 5に送られ、 各々異なるシフ ト量が与えられた後、 各サンプリング 信号 7 2 S及び 7 5 Sはサンプル ' ホールド回路 7 3及び 7 6に送られ る。 アンプ 2 9から出力された反応生成物の発光信号は 2分割され、 各 々サンプル · ホールド回路 7 3及び 7 6に入力される。 今、 サンプリン グ信号 7 2 Sによるサンプリングのタイミングが、 第 9図 ( a ) に示す ように発光波形の高い方のピーク (振幅 a ) を捉え、 一方、 サンプリン グ信号 7 5 Sによるサンプリングのタイミングが、 第 9図 ( c ) に示す ように低い方のピーク (振幅 b ) を捉えるように、 各位相シフタ 7 2及 び 7 5を調整しておく。 サンプル · ホールド回路 7 3及び 7 6で検出さ れた振幅 a及び振幅 bの各信号は 1 0 0 H z程度のローパスフィルタ 7 4及び 7 7を通った後、 第 5図に示すと同様の発光強度信号となる。 両 発光強度信号は演算処理回路 7 8に送られる。 演算処理回路 7 8では、 振幅 aと振幅 bの差分もしくはその比を計算し、 出力する。 この演算処 理によリ、 上部電極と下部電極への印加のタイ ミングの違いによリ発生 した、 ウェハ直上のエッチング反応とは関係が低い不要な発光成分の影 響を低減することが可能となる。
演算処理回路 7 8からの出力信号は終点判定回路 7 9に送られ、 第 1 の実施例と同様、 信号変化点での発光強度やその 1次微分値、 あるいは 2次微分値等を予め設定しておいた閾値と比較することにより、 エッチ ングの終点位置が決定される。 終点が検出されると、 制御信号に基づき パワーアンプ 2 2の出力を停止する。
- 第 1の実施例と同様、 本実施例によれば、 反応生成物の発光波長成分 の中から、 プラズマ励起用高周波電力と同期した周波数成分のみを抽出 することにより、 エッチングの進行状況がより正確に捉えられ、 終点で の信号変化が明瞭になり、 微小開口パターンのエッチング終点検出精度 が向上する。
また、 同様に、 反応生成物の発光波長成分の中から、 高周波電力と同 期した周波数成分のみを抽出しているので、 ゆるやかなプラズマ発光の 変動や不要な周波数成分の影響を受けにく く、 発光強度信号の S N比が 向上する。
また、 本実施例によれば、 発光波形のサンプリ ングのタイミングを任 意に調整できるので、 エッチング反応がより強く反映された発光情報を 得ることが可能になり、 終点検出精度が向上する。
また、 本実施例によれば、 上部電極と下部電極への印加のタイミング の違いにより発生した、 ウェハ直上のエッチング反応とは関係が低い不 要な発光成分の影響を低減することがが可能となるので、 終点検出精度 が向上する。
本発明の第 6の実施例を第 1 1図〜第 1 4図に基づいて説明する。 ェ ツチング装置及び発光検出光学系の構成と機能は第 1の実施例と同様で あるので、 説明を省略する。
先に、 第 4の実施例において、 周波数 4 0 0 k H zのインパルス列 6 7 (コム関数) からなるサンプリ ング信号を用いたサンプル · ホ一ルド 回路 6 3により、 高周波電力と同期した 4 0 0 k H z成分を抽出する方 法について説明した。 本実施例では、 インパルス列 6 7 (コム関数) に よるサンプリ ングではなく、 ある一定幅をもったパルス列 (矩形波関数) を発光信号に乗算することにより、 高周波電力と同期した 4 0 0 k H z 成分を抽出する。
- まず、 サンプリング信号発生回路 8 1 において、 シグナルジエネレ一 タ 2 1からの高周波信号に基づき、 第 1 3図に示すように幅 Δ t、 周波 数 4 0 0 k H zのパルス列 (矩形波関数) 8 6からなるサンプリング信 号を発生する。 第 1 2図に示す波形 6 6は、 透過中心波長 2 1 9 n mの 干渉フィルタを通して、 光電変換素子 2 8で検出された反応生成物 C 0 *の発光波形である。 サンプリング信号 8 6は位相シフタ 8 2により、 発光波形に応じて所望の量だけ位相がシフ 卜された後、 乗算回路 8 3に 送られる。 乗算回路 8 3では、 アンプ 2 9から出力された発光信号 6 6 に対しサンプリング信号 8 6が乗算され、 第 1 4図に示すように、 サン プリング信号 8 6のパルス幅 Δ t に対応した区間の発光波形 8 7がサン プリングされ、 出力される。 第 1 4図では、 4 0 0 k H z周期の高い方 のピークを捉えているが、 第 4の実施例と同様、 位相シフ ト量を調整し、 ピークから少し減衰したタイミング (振幅 a ' ) で波形を捉えたり、 あ るいは、 4 0 0 k H z周期の低い方のピーク (振幅 b ) を捉えることも 可能である。 いずれにせよ、 位相シフ ト量は、 エッチング反応が最も強 く反映されるタイミングで発光波形がサンプリ ングされるように設定す ることが望ま しい。 また、 サンプリング区間 A tも、 エッチング反応が 最も強く反映される時間幅に設定されることが望ま しい。
乗算回路 8 3からの出力信号は 1 0 0 H z程度の口一パスフィルタ 8 4に送られ、 第 5図に示すと同様の発光強度信号が得られる。 この発光 強度信号は終点判定回路 8 5に送られ、 第 1 の実施例と同様、 信号変化 点での発光強度やその 1次微分値、 あるいは 2次微分値等を予め設定し ておいた閾値と比較することにより、 エツチングの終点位置が決定され る。 終点が検出されると、 制御信号に基づきパワーアンプ 2 2の出力を 停止する。
尚、 本実施例の回路を 2系統設け、 第 5の実施例と同様、 反応生成物 の発光信号を 2分割し、 各々異なるタイミングでサンプリングした後、 両信号の差分もしくは比を計算することにより、 上部電極と下部電極へ の印加のタイミングの違いにより発生した、 ウェハ直上のエッチング反 応とは関係が低い不要な発光成分の影響を低減することも可能である。 第 1の実施例と同様、 本実施例によれば、 反応生成物の発光波長成分 の中から、 プラズマ励起用高周波電力と同期した周波数成分のみを抽出 することにより、 エッチングの進行状況がより正確に捉えられ、 終点で の信号変化が明瞭になリ、 微小開口パターンのエッチング終点検出精度 が向上する。
また、 同様に、 反応生成物の発光波長成分の中から、 高周波電力と同 期した周波数成分のみを抽出しているので、 ゆるやかなプラズマ発光の 変動や不要な周波数成分の影響を受けにく く、 発光強度信号の S N比が 向上する。
また、 本実施例によれば、 発光波形のサンプリングのタイミングを任 意に調整できるので、 エッチング反応がよリ強く反映された発光情報を 得ることが可能になり、 終点検出精度が向上する。
また、 本実施例によれば、 ある一定の区間△ tの発光波形をサンプリ ングした後口一パスフィルタを通しているので、 発光波形に重畳した雑 音成分を低減でき、 終点検出精度が向上する。
尚、 以上の実施例では、 プラズマ励起用の高周波電力の周波数は 4 0 0 k H zとしたが、 本発明はこれに限定されるものではなく、 8 0 0 k H z 、 1 M H z等、 周期的なプラズマ発光が観測されうる周波数帯域で あれば任意の周波数に対しても、 適用可能である。
また、 同様に、 以上の実施例では、 エッチング装置は平行平板形ブラ ズマエッチング装置としたが、 本発明はこれに限定されるものではなく、 その基本原理から明らかなように、 プラズマ励起あるいは半導体ウェハ -への何らかのエネルギー供給と同期した形で周期的にエッチング反応が 進む各種のエッチング装置、 例えばマイクロ波エッチング装置等にも適 用可能であることは言うまでもない。
更に、 上記実施例では、 本発明のエッチング装置への適用例について 説明したが、 本発明の終点検出方法及び装置はエッチング処理の終点検 出に限定されるものではなく、 プラズマ処理が進行するに従い、 発光ス ぺク トル強度が変化するような各種プラズマ処理装置の終点検出に適用 することが可能である。 また、 被処理体も半導体ゥヱハに限定されるも のではなく、 液晶表示装置用基板等、 その製造工程においてプラズマ処 理が施される様々な素子、 材料にも適用される。
本発明の第 7の実施例を第 1 5図に基づいて説明する。 本実施例では、 先に述べた 6つの実施例に基づく発光検出光学系 1 0 1 と信号処理 ·判 定系 2 0 1 〜 2 0 6から成るエッチング終点検出装置を半導体製造ライ ンのホ卜リソグラフイエ程に導入する。 第 1 5図に示すように、 まず、 膜付け装置 4 0 1 により、 半導体ウェハ上にシリコン酸化膜等の被加工 膜が形成される。 次に、 レジス卜塗布装置 4 0 2によりレジス 卜が塗布 され、 露光装置 4 0 3によ り、 レチクルやマスク上の所望の回路パター ンが転写される。 露光された半導体ウェハは、 現像装置 4 0 4で転写パ ターンに対応したレジス卜部が除去される。 エッチング装置 4 0 5では, このレジストパターンをマスクとしてレジスト除去部の被加工膜がエツ チングされる。 エッチング中に生じる反応生成物の発光スぺク トルは発 光検出光学系 1 0 1で検出され、 発光強度信号は常時、 信号処理 · 判定 系 2 0 1 〜 2 0 6に送られ、 エッチング終点が検出されると、 制御信号 に基づきエッチング装置 4 0 5のプラズマ出力を停止する。 エッチング 終了後の半導体ウェハはアツシング裝置 4 0 6に送られ、 レジス 卜が除 去された後、 洗浄装置 4 0 7により洗浄される。
本実施例では、 ホ卜リソグラフイエ程中のエッチング装置に、 先に述 ベた実施例に基づく発光検出光学系 1 0 1 と信号処理 ·判定系 2 0 1 〜 2 0 6から成るエッチング終点検出装置を用いることにより、 エツチン グ終点検出精度が向上し、 エッチング不足による膜残りや、 オーバエツ チングによる下地膜のけずれが低減する。 これにより、 ホトリソグラフ ィ工程中のエッチング起因の不良を低減することが可能となり、 高品質 の半導体素子の製造が可能となる。
また、 パターン開口率が 1 %あるいはそれ以下の微小なコンタク トホ ールのエッチングでは、 現状、 終点検出が困難なため、 エッチング途中 でエッチレー卜と残膜厚を測定し、 残りのエッチングを時間管理で終了 させている。 このように、 エッチング途中で余分な先行作業が入るため、 エッチング工程のスループッ トが低下していた。 しかし、 本実施例によ れば、 常に高い精度で終点検出が可能となるため、 このような先行作業 が不要となり、 エッチング工程の生産性が向上し、 製造ライン全体の自 動化も可能となる。 産業上の利用可能性
本発明によれば、 活性種の発光波長成分の中から、 プラズマ励起用高 周波電力と同期した周波数成分のみを抽出することにより、 プラズマ処 理の進行状況がより正確に捉えられ、 終点での信号変化が明瞭になり、 微小開口パターンのブラズマ処理の終点検出精度が向上するという効果 を有する。
また、 同様に、 反応生成物の発光波長成分の中から、 高周波電力と同 期した周波数成分のみを抽出しているので、 ゆるやかなブラズマ発光の 変動や不要な周波数成分の影響を受けにく く、 発光強度信号の S N比が 向上するという効果を有する。
- また、 発光波形のサンプリングのタイミングを任意に調整できるので、 プラズマ処理反応がよリ強く反映された発光情報を得ることが可能とな り、. 終点検出精度が向上するという効果を有する。
また、 本発明によれば、 高精度なエッチング終点検出が可能となるの で、 ホトリソグラフイエ程中のエッチング起因の不良を低減することが 可能となり、 高品質の半導体素子の製造が可能となる。
また、 本発明によれば、 高精度なエッチング終点検出が可能となるの で、 時間管理のための先行作業が不要となり、 エッチング工程の生産性 が向上し、 半導体製造ライン全体の自動化も可能となリ、 産業上極めて 有効なものである。

Claims

請 求 の 範 囲
1 . 被処理体にプラズマを用いた処理を施す際に、 前記プラズマ中に おいて特定波長で発光する活性種の発光強度を検出し、 該発光強度の 周期的時間変動の振幅の変化から、 前記ブラズマ処理の終点を検出す ることを特徴とするプラズマ処理の終点検出方法。
2 . 上記発光強度の周期的時間変動の周波数は、 プラズマ励起用高周 波電源の周波数の整数倍であることを特徴とする請求の範囲第 1項記 載のプラズマ処理の終点検出方法。
3 . 上記活性種は、 前記プラズマ処理によって生じる反応生成物であ ることを特徴とする請求の範囲第 1項記載のプラズマ処理の終点検出 方法。
4 . 上記活性種は、 前記プラズマ処理に使用される反応ガスもしくは 分解生成物であることを特徴とする請求の範囲第 3項記載のプラズマ 処理の終点検出方法。
5 . 上記特定波長は、 概ね 2 1 9 n mであることを特徴とする請求の 範囲第 1項記載のプラズマ処理の終点検出方法。
6 . 上記特定波長は、 概ね 2 6 0 n mであることを特徴とする請求の 範囲第 1項記載のプラズマ処理の終点検出方法。
7 . 上記活性種の発光強度は、 上記プラズマと結像関係にある受光素 子を備えた結像光学系により検出されることを特徴とする請求の範囲 第 1項記載のプラズマ処理の終点検出方法。
8 . 被処理体;こプラズマを用いた処理を施す際に、 前記プラズマ中に おいて特定波長で発光する活性種の発光強度を検出する発光検出手段 と、 該検出された発光強度信号の周期的時間変動の振幅を検出する振 幅検出手段と、 該振幅の変化から前記処理の終点を検出する終点判定 手段を備えたことを特徴とするプラズマ処理の終点検出装置。
9 . 上記発光強度信号の周期的時間変動の周波数は、 プラズマ励起用 高周波電源の周波数の整数倍であることを特徴とする請求の範囲第 8 項記載のプラズマ処理の終点検出装置。
1 0 . 上記活性種は、 前記プラズマ処理によって生じる反応生成物で あることを特徴とする請求の範囲第 8項記載のプラズマ処理の終点検 出装置。
1 1 . 上記活性種は、 前記プラズマ処理に使用される反応ガスもしく は分解生成物であることを特徴とする請求の範囲第 8項記載のプラズ マ処理の終点検出装置。
1 2 . 上記特定波長は、 概ね 2 1 9 n mであることを特徴とする請求 - の範囲第 8項記載のプラズマ処理の終点検出装置。
1 3 . 上記特定波長は、 概ね 2 6 0 n mであることを特徴とする請求 の範囲第 8項記載のプラズマ処理の終点検出装置。
1 4 . 上記発光検出手段は、 上記プラズマと結像関係にある受光素子 を備えた結像光学系で構成されることを特徴とする請求の範囲第 8項 記載のプラズマ処理の終点検出装置。
1 5 . 半導体基板にプラズマを用いた処理を施す際に、 前記プラズマ 中において特定波長で発光する活性種の発光強度を検出し、 該発光強 度の周期的時間変動の振幅の変化から、 前記プラズマ処理の終点を検 出してプラズマ処理を終了することを特徴とする半導体装置の製造方 法。
1 6 . 半導体基板にプラズマを用いた処理を施す半導体装置の製造装 置であって、 前記プラズマ中において特定波長で発光する活性種の発 光強度を検出する発光検出手段と、 該検出された発光強度信号の周期 的時間変動の振幅を検出する振幅検出手段と、 該振幅の変化から前記 処理の終点を検出する終点判定手段を備えたことを特徴とする半導体 装置の製造装置。
7 . 半導体基板にプラズマを用いて処理を施し製造される半導体裝 置であって、 プラズマ中において特定波長で発光する活性種の発光強 度を検出し、 該発光強度の周期的時間変動の振幅の変化から、 前記プ ラズマ処理の終点が検出され、 プラズマ処理が終了され製造されたこ とを特徴とする半導体装置。
PCT/JP1996/003042 1995-10-20 1996-10-21 Procede et dispositif pour detecter la fin d'un traitement par plasma, procede et dispositif pour fabriquer un dispositif semi-conducteur et dispositif semi-conducteur WO1997015074A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/051,767 US5989928A (en) 1995-10-20 1996-10-21 Method and device for detecting end point of plasma treatment, method and device for manufacturing semiconductor device, and semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP7/272318 1995-10-20
JP27231895A JP3766991B2 (ja) 1995-10-20 1995-10-20 プラズマ処理の終点検出方法及び装置、並びに本検出方法及び装置を用いた半導体製造方法及び装置

Publications (1)

Publication Number Publication Date
WO1997015074A1 true WO1997015074A1 (fr) 1997-04-24

Family

ID=17512218

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1996/003042 WO1997015074A1 (fr) 1995-10-20 1996-10-21 Procede et dispositif pour detecter la fin d'un traitement par plasma, procede et dispositif pour fabriquer un dispositif semi-conducteur et dispositif semi-conducteur

Country Status (4)

Country Link
US (1) US5989928A (ja)
JP (1) JP3766991B2 (ja)
KR (1) KR100389203B1 (ja)
WO (1) WO1997015074A1 (ja)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19730644C1 (de) * 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
WO1999052132A1 (fr) * 1998-04-01 1999-10-14 Hitachi, Ltd. Procede et systeme de detection du point d'extremite d'un traitement au plasma, et de fabrication d'un dispositif a semi-conducteurs par ces moyens
TW512248B (en) * 1998-07-15 2002-12-01 Toshiba Corp Manufacturing method and apparatus of semiconductor device
US6143667A (en) * 1999-06-28 2000-11-07 Micron Technology, Inc. Method and apparatus for using photoemission to determine the endpoint of an etch process
KR100325613B1 (ko) * 1999-08-25 2002-02-25 황인길 플라즈마 식각 공정에서의 식각 정지점 설정 방법
JP2001168086A (ja) * 1999-12-09 2001-06-22 Kawasaki Steel Corp 半導体装置の製造方法および製造装置
US6358760B1 (en) * 2000-06-01 2002-03-19 Advanced Micro Devices, Inc. Method for amorphous silicon local interconnect etch
JP4024494B2 (ja) * 2001-03-29 2007-12-19 大陽日酸株式会社 ガス中の窒素測定方法及び装置
JP2005531912A (ja) * 2002-07-03 2005-10-20 東京エレクトロン株式会社 半導体プラズマパラメータの非侵入性の測定と解析のための方法と装置
WO2004006298A2 (en) * 2002-07-03 2004-01-15 Tokyo Electron Limited Method and apparatus for non-invasive measurement and analysis of semiconductor process parameters
JP4165638B2 (ja) * 2002-09-02 2008-10-15 東京エレクトロン株式会社 プロセスの監視方法及びプラズマ処理装置
US6919279B1 (en) 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
US6982175B2 (en) * 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
US7479454B2 (en) * 2003-09-30 2009-01-20 Tokyo Electron Limited Method and processing system for monitoring status of system components
US7695984B1 (en) * 2005-04-20 2010-04-13 Pivotal Systems Corporation Use of modeled parameters for real-time semiconductor process metrology applied to semiconductor processes
KR100920423B1 (ko) * 2006-04-12 2009-10-08 주식회사 에이디피엔지니어링 플라즈마 처리장치 및 처리방법
JP4914119B2 (ja) * 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US20070278180A1 (en) * 2006-06-01 2007-12-06 Williamson Mark J Electron induced chemical etching for materials characterization
US7791055B2 (en) * 2006-07-10 2010-09-07 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US7807062B2 (en) 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7892978B2 (en) 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US7791071B2 (en) 2006-08-14 2010-09-07 Micron Technology, Inc. Profiling solid state samples
US7718080B2 (en) 2006-08-14 2010-05-18 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US7833427B2 (en) 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
KR101381341B1 (ko) 2006-10-06 2014-04-04 가부시끼가이샤 도시바 가공 종점 검지방법, 연마방법 및 연마장치
JP4496498B2 (ja) * 2006-10-20 2010-07-07 株式会社豊田中央研究所 表面前処理方法及び表面前処理装置
JP2010518597A (ja) * 2007-02-02 2010-05-27 レクサス リサーチ リミテッド プラズマエッチングプロセスのプロセスパラメータ決定のための方法および装置
JP2009016375A (ja) * 2007-06-29 2009-01-22 Oki Electric Ind Co Ltd 半導体装置の製造方法及び装置
KR101392982B1 (ko) * 2012-08-29 2014-05-12 레이저앤피직스 주식회사 스캐너 제어 장치 및 방법
US9200950B2 (en) * 2014-02-25 2015-12-01 Applied Materials, Inc. Pulsed plasma monitoring using optical sensor and a signal analyzer forming a mean waveform
CN103839851A (zh) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 终点判断方法
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
US9865439B2 (en) * 2015-01-19 2018-01-09 Hitachi High-Technologies Corporation Plasma processing apparatus
JP7454971B2 (ja) 2020-03-17 2024-03-25 東京エレクトロン株式会社 検出方法及びプラズマ処理装置
EP4020520A1 (en) * 2020-12-22 2022-06-29 Impedans Ltd Apparatus for sensing rf signals from rf plasma processing equipment

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62122220A (ja) * 1985-11-22 1987-06-03 Hitachi Ltd エツチング終点検出方法
JPS6448420A (en) * 1987-08-19 1989-02-22 Hitachi Ltd Plasma treater and decision method of end point of plasma treatment
JPH03149814A (ja) * 1989-11-07 1991-06-26 Toshiba Corp エッチング終点判定方法
JPH05102089A (ja) * 1991-10-09 1993-04-23 Tokyo Electron Ltd ドライエツチング方法
JPH065555A (ja) * 1992-06-22 1994-01-14 Tokyo Electron Ltd プラズマ装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263089A (en) * 1979-01-02 1981-04-21 Motorola, Inc. Plasma development process controller
US4377436A (en) * 1980-05-13 1983-03-22 Bell Telephone Laboratories, Incorporated Plasma-assisted etch process with endpoint detection
JPS57120674A (en) * 1981-01-19 1982-07-27 Matsushita Electric Ind Co Ltd Detection of etching
US4457820A (en) * 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
JPS58218121A (ja) * 1982-06-11 1983-12-19 Anelva Corp シリコンのドライエツチングモニタリング方法
US4493745A (en) * 1984-01-31 1985-01-15 International Business Machines Corporation Optical emission spectroscopy end point detection in plasma etching
US5045149A (en) * 1988-10-24 1991-09-03 Vlsi Technology, Inc. Method and apparatus for end point detection
JPH04196529A (ja) * 1990-11-28 1992-07-16 Toshiba Corp プラズマ処理装置
JPH0628252A (ja) * 1992-07-06 1994-02-04 Marubun Kk メモリ処理装置
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
TW260857B (ja) * 1993-03-04 1995-10-21 Tokyo Electron Co Ltd

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62122220A (ja) * 1985-11-22 1987-06-03 Hitachi Ltd エツチング終点検出方法
JPS6448420A (en) * 1987-08-19 1989-02-22 Hitachi Ltd Plasma treater and decision method of end point of plasma treatment
JPH03149814A (ja) * 1989-11-07 1991-06-26 Toshiba Corp エッチング終点判定方法
JPH05102089A (ja) * 1991-10-09 1993-04-23 Tokyo Electron Ltd ドライエツチング方法
JPH065555A (ja) * 1992-06-22 1994-01-14 Tokyo Electron Ltd プラズマ装置

Also Published As

Publication number Publication date
JPH09115883A (ja) 1997-05-02
KR100389203B1 (ko) 2003-08-19
JP3766991B2 (ja) 2006-04-19
KR19990064299A (ko) 1999-07-26
US5989928A (en) 1999-11-23

Similar Documents

Publication Publication Date Title
JP3766991B2 (ja) プラズマ処理の終点検出方法及び装置、並びに本検出方法及び装置を用いた半導体製造方法及び装置
JP6837886B2 (ja) プラズマ処理装置およびプラズマ処理方法
CN104736744B (zh) 使用多变量分析的等离子体蚀刻终点检测
EP0841682A2 (en) Method of detecting end point of plasma processing and apparatus for the same
JP2007234666A (ja) プラズマ処理装置
JP4041579B2 (ja) プラズマ処理の終点検出方法及びそれを用いた半導体デバイスの製造方法
JP2007234859A (ja) プラズマ処理装置
JP2003173896A (ja) 異常放電検出装置、異常放電検出方法、及び、プラズマ処理装置
JP5778893B2 (ja) 終点検出装置、プラズマ処理装置および終点検出方法
JPH05179467A (ja) エッチング終点検出方法
JP4007748B2 (ja) プラズマエッチング処理の終点検出方法
JPH10294305A (ja) 半導体製造方法及び装置
JPH11214363A (ja) 半導体製造方法とその装置、並びに半導体素子
JPH1050662A (ja) 半導体製造方法及び装置及びそれを用いて製造された半導体素子
TWI640031B (zh) Plasma processing device and method for monitoring plasma process
CN107546141B (zh) 监测等离子体工艺制程的装置和方法
JP4042208B2 (ja) プラズマ処理方法及びその装置
CN106876238B (zh) 监测等离子体工艺制程的装置和方法
JP2978998B2 (ja) プラズマの測定装置
US20240094056A1 (en) Optical Emission Spectroscopy for Advanced Process Characterization
JPS61145825A (ja) プラズマ化学気相堆積装置のクリ−ニング終点検出方法
US20240136164A1 (en) Method for OES Data Collection and Endpoint Detection
WO1999052132A1 (fr) Procede et systeme de detection du point d'extremite d'un traitement au plasma, et de fabrication d'un dispositif a semi-conducteurs par ces moyens
JP2002170812A (ja) プラズマエッチングの終点検出方法および装置、並びにプラズマエッチング装置
JPH0314229A (ja) 終点検出装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1019980702793

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 09051767

Country of ref document: US

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 1019980702793

Country of ref document: KR

WWR Wipo information: refused in national office

Ref document number: 1019980702793

Country of ref document: KR