USRE49066E1 - Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus - Google Patents

Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus Download PDF

Info

Publication number
USRE49066E1
USRE49066E1 US16/804,549 US201616804549A USRE49066E US RE49066 E1 USRE49066 E1 US RE49066E1 US 201616804549 A US201616804549 A US 201616804549A US RE49066 E USRE49066 E US RE49066E
Authority
US
United States
Prior art keywords
temperature
fluid
clamp
layer
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/804,549
Other languages
English (en)
Inventor
Raymond Wilhelmus Louis Lafarre
Adrianus Hendrik Koevoets
Michael Leo NELSON
Jacobus Cornelis Gerardus Van Der Sanden
Geoffrey O'Connor
Michael Andrew Chieda
Tammo Uitterdijk
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
ASML Netherlands BV
Original Assignee
ASML Holding NV
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV, ASML Netherlands BV filed Critical ASML Holding NV
Priority to US16/804,549 priority Critical patent/USRE49066E1/en
Application granted granted Critical
Publication of USRE49066E1 publication Critical patent/USRE49066E1/en
Assigned to ASML HOLDING N.V. reassignment ASML HOLDING N.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHIEDA, MICHAEL ANDREW, NELSON, MICHAEL LEO, O'CONNOR, GEOFFREY, UITTERDIJK, TAMMO
Assigned to ASML NETHERLANDS B.V. reassignment ASML NETHERLANDS B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: VAN DER SANDEN, JACOBUS CORNELIS GERARDUS, LAFARRE, RAYMOND WILHELMUS LOUIS, KOEVOETS, ADRIANUS HENDRIK
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70783Handling stress or warp of chucks, masks or workpieces, e.g. to compensate for imaging errors or considerations related to warpage of masks or workpieces due to their own weight
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Definitions

  • the present disclosure relates to chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus.
  • a lithographic apparatus exposes a desired pattern onto a target portion of a substrate.
  • Lithographic apparatuses can be used, for example, to manufacture integrated circuits (ICs).
  • a patterning device for example, a mask or a reticle, can be used to generate a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (for example, part of one or several dies) on a substrate (for example, a silicon wafer) that has a layer of radiation-sensitive material (resist).
  • a single substrate will contain a network of adjacent target portions that are successively exposed.
  • lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion in one go, and so-called scanners, in which each target portion is irradiated by scanning the pattern through the beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.
  • Lithography is widely recognized as one of the key steps in the manufacture of ICs and other devices and/or structures. However, as the dimensions of features made using lithography become smaller, lithography is becoming a more critical factor for enabling miniature IC or other devices and/or structures to be manufactured.
  • CD k 1 * ⁇ NA ( 1 )
  • is the wavelength of the radiation used
  • NA is the numerical aperture of the projection system used to print the pattern
  • k 1 is a process dependent adjustment factor, also called the Rayleigh constant
  • CD is the feature size (or critical dimension) of the printed feature. It follows from equation (1) that reduction of the minimum printable size of features can be obtained in three ways: by shortening the exposure wavelength ⁇ , by increasing the numerical aperture NA, or by decreasing the value of k 1 .
  • EUV radiation is electromagnetic radiation having a wavelength within the range of 5-20 nm, for example within the range of 13-14 nm, for example within the range of 5-10 nm such as 6.7 nm or 6.8 nm.
  • Possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or sources based on synchrotron radiation provided by an electron storage ring.
  • the radiation generated by such sources will not, however, be only EUV radiation and the source may also emit at other wavelengths including infra-red (IR) radiation and deep ultra-violet (DUV) radiation.
  • IR radiation can be detrimental to the lithography system as it can result in a loss of contrast.
  • unwanted IR radiation can cause heat damage to components within the system. It is therefore known to use a spectral purity filter to increase the proportion of EUV in the transmitted radiation and to reduce or even eliminate unwanted non-EUV radiation such as DUV and IR radiation.
  • a lithographic apparatus using EUV radiation may require that the EUV radiation beam path, or at least substantial parts of it, must be kept in vacuum during a lithographic operation.
  • a clamp may be used to clamp an object, such as a patterning device and/or a substrate, to a structure of the lithographic apparatus, such as a chuck of a patterning device table and/or a substrate table, respectively.
  • a lithographic apparatus using EUV radiation may require temperature regulation of, for example, the patterning device and/or the substrate.
  • Heat produced by the EUV radiation or the unwanted non-EUV radiation may cause deformations in, for example, the patterning device and/or the substrate during a lithographic operation because of the heat absorbed by the patterning device and/or the substrate.
  • a cooling fluid may be circulated through the clamp.
  • a lithographic apparatus in some embodiments, includes a clamp configured to receive an object.
  • the clamp defines at least one channel configured to pass a fluid at a first fluid temperature.
  • the lithographic apparatus also includes a chuck coupled to the clamp.
  • the chuck defines at least one void configured to thermally insulate the chuck from the clamp.
  • the at least one void is at a vacuum. In other embodiments, the at least one void is filled with a fluid.
  • the at least one void includes a plurality of voids.
  • the chuck includes a plurality of burls defining the at least one void.
  • the chuck can include a first layer coupled to the clamp and a second layer defining the plurality of burls that define the at least one void.
  • the lithographic apparatus includes a fluid conditioning device configured to change a temperature of the first fluid.
  • the fluid conditioning device can be configured to change a temperature of the first fluid from a second fluid temperature to the first fluid temperature.
  • the fluid conditioning device can be configured to change the temperature of the first fluid from the second fluid temperature to the first fluid temperature when the object is being exposed with radiation, and the second fluid temperature is greater than the first fluid temperature.
  • the first fluid temperature is in a range from about ⁇ 15° C. to about 15° C.
  • the second fluid temperature is in a range from about 17° C. to about 27° C.
  • the first fluid temperature is less than a target average temperature of the object when the object is being exposed with radiation.
  • the object comprises a material having a coefficient of thermal expansion that varies as a function of temperature, and the coefficient of thermal expansion of the material of the object is about zero at a zero-crossing temperature of the object.
  • the first fluid temperature can be such that an average temperature of the object when the object is being exposed with radiation is equal to about the zero-crossing temperature of the object.
  • the first fluid temperature can also be such that internal forces of the object when the object is being exposed with radiation are substantially symmetric in a direction perpendicular to a surface of the clamp holding the object.
  • the first fluid temperature can also be such that a sum of the internal forces of the object when the object is being exposed with radiation is about equal to zero.
  • the at least one channel comprises a plurality of channels, and the object is a patterning device.
  • a method for controlling a temperature of an object held by a clamp of a lithographic apparatus includes exposing an object with radiation. The method also includes passing a fluid at a first fluid temperature through at least one channel defined by the clamp to condition a temperature of the clamp. The clamp is coupled to a chuck defining at least one void configured to thermally insulate the chuck from the clamp.
  • the method also includes, before passing the fluid at the first fluid temperature through the at least one channel defined by the clamp, passing the fluid at a second fluid temperature through the at least one channel defined by the clamp to condition the temperature of the clamp.
  • the method also includes, after passing the fluid at the second fluid temperature through the at least one channel defined by the clamp, changing the second fluid temperature of the fluid to the first fluid temperature of the fluid.
  • the second fluid temperature is greater than the first fluid temperature.
  • the first fluid temperature is conditioned to be in a range from about ⁇ 15° C. to about 15° C.
  • the second fluid temperature is conditioned to be in a range from about 17° C. to about 27° C.
  • the first fluid temperature is conditioned to be about ⁇ 8° C.
  • the second fluid temperature is conditioned to be about 22° C.
  • the first fluid temperature is conditioned to be less than an average temperature of the object when exposing the object with radiation.
  • the object comprises a material having a coefficient of thermal expansion that varies as a function of temperature, and the coefficient of thermal expansion of the material of the object is about zero at a zero-crossing temperature. And the passing the fluid at the first fluid temperature through the at least one channel generates an average temperature of the object when exposing the object with radiation that is equal to about the zero-crossing temperature of the material of the object.
  • the first fluid temperature is such that internal forces of the object when the object is being exposed with radiation are substantially symmetric in a direction perpendicular to a surface of the clamp holding the object. In some embodiments, the first fluid temperature is such that a sum of the internal forces of the object when the object is being exposed with radiation is about equal to zero. In some embodiments, the object is a patterning device.
  • FIG. 1 is a schematic illustration of a reflective lithographic apparatus, according to an embodiment.
  • FIG. 2 is a schematic illustration of a reflective lithographic apparatus, according to another embodiment.
  • FIG. 3 is a schematic illustration of a cross-sectional view of a chuck and a clamp holding an object, according to an embodiment.
  • FIG. 4 illustrates a top diagram charting the exposure power of a radiation beam incident on an object as a function of time, and a bottom diagram charting the temperature of cooling fluid passing through separate channels of a clamp as a function of time, according to an embodiment.
  • FIG. 5 illustrates a diagram charting the coefficient of thermal expansion of a material that varies as a function of temperature, according to an embodiment.
  • FIG. 6 illustrates a diagram charting the generated internal forces of an object comprising a material with a temperature-dependent coefficient of thermal expansion (as shown in FIG. 5 ) as a function of temperature, according to an embodiment.
  • FIG. 7 charts the temperature of an object and the clamp along a direction perpendicular to the exposure surface of the object at various points in time, according to an embodiment.
  • FIG. 8 illustrates a diagram illustrating the generated internal forces of an object comprising a material having a temperature-dependent coefficient of thermal expansion as shown in FIG. 5 and a temperature distribution as shown in FIG. 7 , according to an embodiment.
  • FIG. 9 is a cross-sectional view of the chuck and the clamp holding the object of FIG. 3 , schematically showing the generated internal forces generated by a temperature distribution as shown in FIG. 8 , according to another embodiment.
  • FIG. 10 charts the raw overlay error of a pattern exposed on a substrate at various points in time, according to an embodiment.
  • FIG. 11 charts the raw overlay error of a pattern exposed on a substrate at various points in time, according to another embodiment.
  • FIG. 12 is a schematic illustration of a cross-sectional view of the chuck and the clamp holding the object of FIG. 3 with a pellicle, according to an embodiment.
  • FIG. 13 charts the temperature of a surface of the object in FIG. 12 exposed to radiation at various points in time, according to an embodiment.
  • FIG. 14 illustrates a diagram illustrating the generated internal thermal forces of an object comprising a material having a temperature-dependent coefficient of thermal expansion and a zero-crossing temperature greater than the temperature of the object at an undeformed state, according to an embodiment.
  • FIG. 15 is a schematic illustration of a cross-sectional view of a clamp holding an object, according to an embodiment.
  • FIG. 16 is a schematic illustration of a cross-sectional view of a chuck and clamp holding an object, according to another embodiment.
  • FIG. 1 schematically shows a lithographic apparatus 100 including a source collector module SO according to an embodiment.
  • the apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g., EUV radiation); a support structure (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; a substrate table (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and a projection system (e.g., a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
  • a radiation beam B e.g., EUV
  • the illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • optical components such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • the support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment.
  • the support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device.
  • the support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system.
  • patterning device should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate.
  • the pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • the patterning device may be reflective (as in lithographic apparatus 100 of FIG. 1 ) or transmissive.
  • Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels.
  • Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types.
  • An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
  • the projection system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since other gases may absorb too much radiation. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps.
  • the lithographic apparatus is of a reflective type (e.g., employing a reflective mask).
  • the lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
  • the illuminator IL receives an extreme ultra violet radiation beam from the source collector apparatus SO.
  • Methods to produce EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range.
  • LPP laser produced plasma
  • the required plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam.
  • the source collector apparatus SO may be part of a EUV radiation system including a laser, not shown in FIG. 1 , for providing the laser beam exciting the fuel.
  • the resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector apparatus.
  • output radiation e.g., EUV radiation
  • the laser and the source collector apparatus may be separate entities, for example when a CO 2 laser is used to provide the laser beam for fuel excitation.
  • the laser is not considered to form part of the lithographic apparatus and the laser beam is passed from the laser to the source collector apparatus with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
  • the EUV emitting plasma is produced by using an electrical discharge to vaporize a fuel.
  • the fuel may be an element such as xenon, lithium or tin which has one or more emission lines in the EUV range.
  • the electrical discharge may be generated by a power supply which may form part of the source collector apparatus or may be a separate entity that is connected via an electrical connection to the source collector apparatus.
  • the illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
  • the radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g., mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W.
  • the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B.
  • the first positioner PM and another position sensor PS 1 can be used to accurately position the patterning device (e.g., mask) MA with respect to the path of the radiation beam B.
  • Patterning device (e.g., mask) MA and substrate W may be aligned using mask alignment marks M 1 , M 2 and substrate alignment marks P 1 , P 2 .
  • the depicted apparatus could be used in at least one of the following modes:
  • step mode the support structure (e.g., mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e., a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • the support structure (e.g., mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e., a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the support structure (e.g., mask table) MT may be determined by the (de-) magnification and image reversal characteristics of the projection system PS.
  • the support structure (e.g., mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • FIG. 2 shows the lithographic apparatus 100 in more detail, including the source collector apparatus SO, the illumination system IL, and the projection system PS.
  • the source collector apparatus SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the source collector apparatus SO.
  • a EUV radiation emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the very hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum.
  • the very hot plasma 210 is created by, for example, an electrical discharge causing an at least partially ionized plasma.
  • Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation.
  • a plasma of excited tin (Sn) is provided to produce EUV radiation.
  • the radiation emitted by the hot plasma 210 is passed from a source chamber 211 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber 211 .
  • the contaminant trap 230 may include a channel structure.
  • Contamination trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure.
  • the contaminant trap or contaminant barrier 230 further indicated herein at least includes a channel structure, as known in the art.
  • the collector chamber 212 may include a radiation collector CO which may be a so-called grazing incidence collector.
  • Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252 . Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF.
  • the virtual source point IF is commonly referred to as the intermediate focus, and the source collector apparatus is arranged such that the intermediate focus IF is located at or near an opening 219 in the enclosing structure 220 .
  • the virtual source point IF is an image of the radiation emitting plasma 210 .
  • Grating spectral filter 240 is used in particular for suppressing infra-red (IR) radiation.
  • the radiation traverses the illumination system IL, which may include a facetted field mirror device 222 and a facetted pupil mirror device 224 arranged to provide a desired angular distribution of the radiation beam 221 , at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • the illumination system IL may include a facetted field mirror device 222 and a facetted pupil mirror device 224 arranged to provide a desired angular distribution of the radiation beam 221 , at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • More elements than shown may generally be present in illumination optics unit IL and projection system PS.
  • the grating spectral filter 240 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the figures, for example there may be 1-6 additional reflective elements present in the projection system PS than shown in FIG. 2 .
  • Collector optic CO is depicted as a nested collector with grazing incidence reflectors 253 , 254 and 255 , just as an example of a collector (or collector mirror).
  • the grazing incidence reflectors 253 , 254 and 255 are disposed axially symmetric around an optical axis O and a collector optic CO of this type is preferably used in combination with a discharge produced plasma source, often called a DPP source.
  • a support of a lithographic apparatus is configured to hold an object and control the temperature of the object.
  • the support can be a substrate table WT configured to hold substrate W or a support structure MT configured to hold patterning device MA as described above in FIGS. 1 and 2 .
  • the object can be, for example, a patterning device such as a mask or reticle as described above, or the object can be, for example, a substrate such as a wafer as described above.
  • patterning device imparts a pattern onto a beam of radiation incident on a surface of patterning device. When the object is clamped to the clamp, a surface of the object receives a radiation beam.
  • the object When the object is exposed with an incident radiation beam, the object can absorb power from the radiation beam and heat up. When the object is heated, portions of the object can expand and deform.
  • the support can be configured to condition the object to be held at substantially room temperature (for example, about 22° C.) or any other defined operating temperature, according to various embodiments.
  • the clamp is configured to act as a heat sink, and the clamp can be configured to be maintained at a temperature lower than the target average temperature of the object to accomplish this temperature control of the object. In some embodiments, the clamp is maintained at a temperature lower than the target temperature of object by passing a fluid conditioned to a target temperature through at least one channel defined by clamp.
  • the cooling power of fluid passing through the at least one channel will also cool the chuck, causing deformation of the chuck which in turn leads to deformation of the clamp and the object.
  • the object is a patterning device having a reflective surface
  • portions of the reflective surface can be deformed, causing unwanted image distortion at the substrate wafer.
  • deformation of the object can cause slip between the chuck and the object.
  • chuck deformations by itself, can also lead to changes in the chuck metrology leading to chuck positioning errors and, consequently, image overlay errors at the substrate wafer.
  • a support configured to hold an object of a lithographic apparatus can include a clamp with both (1) at least one channel configured to pass a fluid to condition a chuck at target temperature and (2) at least one separate channel configured to pass a fluid to condition the object at target temperatures in an embodiment.
  • FIG. 3 shows a cross-sectional diagram of a portion of a lithographic apparatus according to one such embodiment.
  • FIG. 3 illustrates a support 400 configured to hold and control the temperature of an object 402 and control the temperature of object 402 and of chuck 404 .
  • Support 400 can be a substrate table WT configured to hold a substrate W or can be a support structure MT configured to hold a patterning device MA as described above in FIGS. 1 and 2 .
  • object 402 can be, for example, patterning device MA such as a mask or reticle as described above, or object 402 can be, for example, a substrate W such as a wafer as described above.
  • patterning device 402 imparts a pattern onto an incident beam of radiation 403 .
  • support 400 includes a chuck 404 and a clamp 406 coupled to chuck 404 .
  • clamp 406 can be bonded to chuck 404 .
  • Clamp 406 is configured to selectively couple object 402 to chuck 404 such that object 402 moves along with chuck 404 .
  • clamp 406 is an electrostatic clamp.
  • clamp 406 can be configured to generate an electrostatic field to hold object 402 in place.
  • clamp 406 can include electrodes (not shown) that generate this electrostatic field.
  • Clamp 406 defines a mounting surface 416 configured to receive object 402 (e.g., a substrate W or a patterning device MA).
  • mounting surface 416 is planar as shown in FIG. 3 .
  • mounting surface 416 is non-planar.
  • mounting surface 416 can have protruding burls configured to contact object 402 during clamping operation of clamp 406 .
  • clamp 406 is made of a single layer as shown in FIG. 3 . In other embodiments (not shown), clamp 406 can be made of a plurality of layers.
  • clamp 406 is composed of one or more dielectric materials configured to support an electrostatic field during operation of clamp 406 as described above.
  • the dielectric materials can have ultra-low coefficients of thermal expansion that are zero or substantially zero.
  • Materials having ultra-low coefficients of thermal expansion include, but not limited to, ultra-low expansion silicon-based materials (e.g., ULE® glass manufactured by Corning), glass materials, ceramic materials, silicon-based glass ceramic materials (e.g., ZERODUR® glass ceramic manufactured by SCHOTT), or a combination thereof.
  • ultra-low expansion silicon-based materials e.g., ULE® glass manufactured by Corning
  • glass materials e.g., ceramic materials, silicon-based glass ceramic materials (e.g., ZERODUR® glass ceramic manufactured by SCHOTT), or a combination thereof.
  • Using materials that have ultra-low coefficients of thermal expansion can help reduce thermal stress in clamp 406 which may be transferred to object 402 during clamping operation.
  • clamp 406 is composed of one or more materials having ultra-low coefficients of thermal expansion that vary as a function of temperature.
  • FIG. 5 charts the coefficient of thermal expansion of one such material as a function of temperature according to an embodiment. Referencing FIG. 5 , the temperature at which the coefficient of thermal expansion is about zero is called the zero-crossing temperature T zc . If this function is substantially linear as shown in FIG. 5 , the internal forces generated within clamp 406 will be a second order polynomial function of temperature as shown in FIG. 6 , which illustrates an exemplary diagram of internal thermal forces F of clamp 406 due to expansion of the material as a function of temperature. As shown in FIG.
  • the generated internal thermal forces within clamp 406 are about zero.
  • the temperature of the component, for example, object 402 or clamp 406 at the undeformed state is about equal to the zero-crossing temperature of the material composing the component.
  • the generated internal forces of clamp 406 increase in a quadratic fashion symmetric about the vertical axis crossing through the zero-crossing temperature T zc .
  • clamp 406 is composed of a material that has a coefficient of thermal expansion that varies as a function of temperature and that has a zero-crossing temperature deviating substantially from the undeformed temperature of clamp 406 (for example, room temperature or about 22° C.) or any other target operating temperature of the lithographic apparatus.
  • clamp 406 is composed of a material that has a coefficient of thermal expansion that varies as a function of temperature and that has a zero-crossing temperature less than or more than about room temperature (for example, less than or more than about 22° C.).
  • clamp 406 is composed of a material that has a coefficient of thermal expansion that varies as a function of temperature and that has a zero-crossing temperature that is less than a zero-crossing temperature of the material composing object 402 (which can also be composed of a material that has a coefficient of thermal expansion that varies as a function of temperature in some embodiments).
  • clamp 406 has a thickness (i.e., in a direction perpendicular to mounting surface 416 ) in a range from about 4 mm to about 12 mm in some embodiments.
  • a thickness i.e., in a direction perpendicular to mounting surface 416
  • clamp 406 has a thickness of about 8 mm.
  • clamp 406 has a thickness greater than a thickness of object 402 .
  • object 402 is composed of one or more materials having ultra-low coefficients of thermal expansion that are zero or substantially zero.
  • Materials having ultra-low coefficients of thermal expansion include, but not limited to, ultra-low expansion silicon-based materials (e.g., ULE® glass manufactured by Corning), glass materials, ceramic materials, silicon-based glass ceramic materials (e.g., ZERODUR® glass ceramic manufactured by SCHOTT), or a combination thereof.
  • object 402 is composed of one or more materials having ultra-low coefficients of thermal expansion that vary as a function of temperature.
  • FIG. 5 charts the coefficient of thermal expansion of one such material as a function of temperature according to an embodiment. Referencing FIG. 5 , the temperature at which the coefficient of thermal expansion is about zero is called the zero-crossing temperature T zc . If this function is substantially linear as shown in FIG. 5 , the internal thermal forces generated within object 402 will vary substantially as a second order polynomial function of temperature as shown in FIG. 6 .
  • object 402 is composed of a material that has a coefficient of thermal expansion that varies as a function of temperature and that has a zero-crossing temperature equal to about room temperature (for example, about 22° C.) or any other target operating temperature of the lithographic apparatus. In other embodiments, object 402 is composed of a material that has a coefficient of thermal expansion that varies as a function of temperature and that has a zero-crossing temperature less than or more than about room temperature (for example, less than or more than about 22° C.).
  • object 402 is composed of a material that has a coefficient of thermal expansion that varies as a function of temperature and that has a zero-crossing temperature that is more than a zero-crossing temperature of the material composing clamp 406 (which can also be composed of a material that has a coefficient of thermal expansion that varies as a function of temperature in some embodiments as described above). Referencing FIG. 6 in which the temperature of object 402 at the undeformed state is about equal to the zero-crossing temperature of the material composing object 402 , if the temperature of a portion of object 402 is about equal to the zero-crossing temperature T zc , the generated internal forces within object 402 at that point is about zero.
  • object 402 is composed of a material having ultra-low coefficients of thermal expansion that vary as a function of temperature, and the zero-crossing temperature of the material is greater than the temperature of object 402 at the undeformed state (desired or calibrated shape).
  • the zero-crossing temperature of the material composing object 402 can be about 30° C.
  • the temperature of object 402 at the undeformed state can be about 20° C.
  • FIG. 14 illustrates the internal forces generated within object 402 due to expansion of the material as a function of temperature according to one such embodiment.
  • object 402 is composed of one or more materials having ultra-low coefficients of thermal expansion that vary as a function of temperature
  • clamp 406 is composed of one or more materials having ultra-low coefficients of thermal expansion that vary as a function of temperature.
  • the zero-crossing temperature of the one or more materials composing object 402 is higher than the zero-crossing temperature of the one or more materials composing clamp 406 .
  • the zero-crossing temperature of the material(s) composing object 402 is about 22° C.
  • the zero-crossing temperature of the material(s) composing clamp 406 is about 8° C.
  • the zero-crossing temperature of the one or more materials composing object 402 is lower than the zero-crossing temperature of the one or more materials composing clamp 406 . In yet other embodiments, the zero-crossing temperature of the one or more materials composing object 402 is about equal to the zero-crossing temperature of the one or more materials composing clamp 406 .
  • a surface 412 of object 402 is adjacent mounting surface 416 of clamp 406 , and a surface 414 opposing surface 412 of object 402 faces away from clamp 406 and chuck 404 .
  • Surface 414 of object 402 receives radiation beam 403 .
  • object 402 can absorb power from radiation beam 403 and heat up.
  • radiation beam 403 can deliver a target wattage such that the absorption power at object 402 can be, for example, 3-500 Watts (such as 28 Watts or 80 Watts).
  • radiation beam 403 is from projection system PS described above and/or other systems of lithographic apparatus 100 during their operation.
  • support 400 can be configured to condition object 402 to be held at substantially room temperature (for example, about 22° C.) or any other defined operating temperature, and configured to condition chuck 404 to be held at substantially room temperature (for example, about 22° C.) or any other defined operating temperature, according to various embodiments.
  • clamp 406 is configured to act as a heat sink for object 402 .
  • a portion of clamp 406 that receives object 402 can be configured to be maintained at a temperature lower than the target average temperature of object 402 (for example, less than about 22° C.) to accomplish this temperature control of object 402 .
  • a portion of clamp 406 that receives object 402 can be maintained at a temperature less than about 22° C., for example, about ⁇ 8° C.
  • heat can be transferred from object 402 to clamp 406 .
  • a portion of clamp 406 that receives object 402 is maintained at a temperature lower than the target average temperature of object 402 by passing a fluid through at least one channel 408 defined by clamp 406 , which conditions the temperature of the receiving portion of clamp 406 .
  • clamp 406 can define a plurality of channels 408 in some embodiments. In other embodiments (not shown), clamp 406 defines a single channel 408 . Channels 408 are configured to circulate the conditioned fluid through clamp 406 .
  • passing fluid through channels 408 maintains the portion of clamp 406 that receives object 402 at a substantially constant temperature lower than the target average temperature of object 402 . Thereby, clamp 406 continuously removes heat from object 402 .
  • Channels 408 can be configured to run parallel to mounting surface 416 of clamp 406 in some embodiments.
  • the fluid i.e., a liquid or gas
  • the fluid is water, air, an alcohol, a glycol, a phase change coolant (e.g., Freons, carbon dioxide), or a combination thereof.
  • support 400 includes a fluid conditioning device 410 that is coupled to channels 408 to condition a characteristic, for example, temperature, of the fluid before entering clamp 406 through channels 408 .
  • fluid conditioning device 410 comprises one or more thermoelectric cooling devices such as a Peltier cooler or any other suitable thermoelectric cooling device.
  • fluid conditioning device 410 comprises one or more heat exchangers such as a shell and tube heat exchanger, a plate heat exchanger, or any other suitable heat exchanger.
  • fluid conditioning device 410 comprises a combination of one or more thermoelectric cooling devices and one or more heat exchangers.
  • the fluid passing through channels 408 is recirculated.
  • the fluid exits channels 408 and clamp 406 and is then routed back to fluid conditioning device 410 via one or more ducts before entering clamp 406 via channels 408 .
  • the fluid passing through channels 408 is not-recirculated and originates from a fluid source upstream from fluid conditioning device 410 .
  • the cooling power of fluid conditioning device 410 is adjustable, for example, based on a control signal received from a controller 413 .
  • the temperature of the fluid entering channels 408 within clamp 406 can be selectively adjusted. Selectively adjusting the temperature of the fluid entering channels 408 changes the temperature of surface 416 of clamp 406 and, consequently, changes the temperature of surface 412 of object 402 .
  • FIG. 4 illustrates the temperature adjustable control of the fluid entering channels 408 according to an embodiment.
  • controller 413 can send a control signal to fluid conditioning device 410 .
  • fluid conditioning device 410 conditions the temperature T 1 of fluid entering channels 408 to have a first temperature.
  • controller 413 can send a control signal to fluid conditioning device 410 such that at time t 2 , fluid conditioning device 410 conditions the temperature T 2 of fluid entering channels 408 to have a second temperature, which is different than the first temperature.
  • the second temperature of fluid entering channels 408 is less than the first temperature of fluid entering channels 408 as shown in FIG. 4 .
  • the first temperature of fluid entering channels 408 at time t 1 can be about room temperature (for example, about 22° C.), and the second temperature of fluid entering channels 408 at time t 2 can be, for example, about ⁇ 8° C.
  • the first temperature of fluid entering channels 408 at time t 1 is in a range from about 17° C.
  • the second temperature of fluid entering channels 408 at time t 2 is in a range from about ⁇ 15° C. to about 15° C., for example, about ⁇ 8° C. or 2° C.
  • the second temperature of fluid entering channels 408 at time t 2 is less than a target average temperature (for example, about the zero-crossing temperature of the material composing object 402 or about 22° C.) of object 402 when object 402 device is being exposed with radiation beam 403 .
  • a target average temperature for example, about the zero-crossing temperature of the material composing object 402 or about 22° C.
  • the second temperature of fluid entering channels 408 at time t 2 is more than the first temperature of fluid entering channels 408 at time t 1 .
  • time t 2 at which point the temperature of fluid entering channels 408 is adjusted from the first temperature to the second temperature is switched coincides with the time at which object 402 is subject to a heating power from being exposed with radiation beam 403 as shown in FIG. 4 .
  • time t 2 does not coincide with the time at which object 402 is subject to a heating power from being exposed with radiation beam 403 as shown in FIG. 4 .
  • the second temperature of fluid entering channels 408 of clamp 406 at time t 2 is such that clamp 406 conditions object 402 to have an average temperature that is about equal to the zero-crossing temperature of the material composing object 402 .
  • the zero-crossing temperature of the material composing object 402 is about 22° C.
  • the second temperature of fluid entering channels 408 at time t 2 is such clamp 406 conditions object 402 to have an average temperature of about to 22° C.—the zero-crossing temperature of the material composing object 402 .
  • the sum of the internal bending moments due to the internal thermal forces is about equal to zero (for example, as shown in the embodiments in both FIG. 8 and FIG. 14 ).
  • the sum of the internal thermal forces can be about equal to zero in some embodiments (for example, when the zero-crossing temperature of the material composing object 402 is greater than the temperature of object 402 at the undeformed state as shown in FIG. 14 ).
  • the deformation of object 402 can be reduced (which can lead to smaller and better correctable deformation shapes).
  • One advantage of conditioning object 402 to have an average temperature that is equal to about the zero-crossing temperature of the material composing object 402 is that the sensitivity for spatial variation of the exposure heat load can be reduced.
  • Another advantage of conditioning object 402 to have an average temperature that is equal to about the zero-crossing temperature of the material composing object 402 is that the sensitivity for spatial zero-crossing variation of the material composing object 402 can also be reduced.
  • the second temperature of fluid entering channels 408 at time t 2 is such that clamp 406 conditions object 402 to have an average temperature that is less than or more than the zero-crossing temperature of the material composing object 402 .
  • the transition from the first temperature of the fluid entering channels 408 at time t 1 to the second temperature of fluid entering channels 408 at time t 2 is stepped as shown in FIG. 4 . In other embodiments, the transition is not stepped.
  • support 400 can be configured to condition a portion of clamp 406 between channels 408 and chuck 404 .
  • a portion of clamp 406 including surface 418 of clamp 406 can be maintained at a temperature higher than the temperature of the fluid passing through channels 408 .
  • a portion of clamp 406 between channels 408 and chuck 404 can be maintained at a higher temperature by passing a fluid at a temperature higher than the temperature of the fluid passing through channels 408 through at least one channel 422 between channel(s) 408 and chuck 404 and that is separate from channel(s) 408 . As shown in FIG.
  • clamp 406 can define a plurality of channels 422 in some embodiments. In other embodiments (not shown), clamp 406 defines a single channel 422 . Channels 422 are configured to circulate the conditioned fluid through clamp 406 . Passing fluid through channels 422 maintains the portion of clamp 406 between channels 408 and chuck 404 at a substantially constant temperature higher than the temperature of the fluid passing through channels 408 . Thereby, clamp 406 continuously conditions chuck 404 to have a temperature, for example, about 22° C., that prevents or reduces deformation of chuck 404 .
  • Channels 422 can be configured to run parallel to mounting surface 416 of clamp 406 in some embodiments.
  • the fluid (i.e., a liquid or gas) passing through channels 422 is water, air, an alcohol, a glycol, a phase change coolant (e.g., Freons, carbon dioxide), or a combination thereof.
  • channels 422 are between chuck 404 and channels 408 , and channels 422 are separate from channels 408 in some embodiments.
  • support 400 includes a fluid conditioning device 411 coupled to channels 422 to condition a characteristic, for example, temperature, of the fluid passing through channels 422 before entering clamp 406 .
  • fluid conditioning device 411 comprises one or more thermoelectric cooling devices such as a Peltier cooler or any other thermoelectric cooling device.
  • fluid conditioning device 411 comprises one or more heat exchangers such as a shell and tube heat exchanger, a plate heat exchanger, or any other heat exchanger.
  • fluid conditioning device 411 comprises a combination of one or more of thermoelectric cooling device and one or more heat exchangers.
  • the fluid passing through channels 422 is recirculated.
  • the fluid exits channels 422 and clamp 406 and is then routed back to fluid conditioning device 411 via one or more ducts before entering clamp 406 via channels 422 .
  • the fluid passing through channels 422 is not-recirculated and originates from a fluid source upstream from fluid conditioning device 411 .
  • the cooling power of fluid conditioning device 411 is based on a control signal received from controller 413 .
  • the temperature of the fluid entering channels 422 within clamp 406 can be maintained at a constant temperature.
  • controller 413 can send a control signal to fluid conditioning device 411 such that at a first time t 1 and a subsequent second time t 2 , fluid conditioning device 411 conditions the fluid entering channels 422 to have a substantially constant temperature T 2 .
  • the temperature of fluid entering channels 422 is about room temperature (for example, about 22° C.).
  • the first temperature of fluid entering channels 422 is in a range from about 17° C. to about 27° C., for example, about 22° C.
  • the temperature of fluid entering channels 422 is greater than the temperature of fluid entering channels 408 at time t 2 as shown in FIG. 4 .
  • the temperature conditioning power of fluid conditioning device 411 is adjustable, for example, based on a control signal received from controller 413 .
  • the temperature of the fluid entering channels 422 within clamp 406 can be selectively adjusted. Selectively adjusting the temperature of the fluid entering channels 422 changes the temperature conditioning power of clamp 406 on chuck 404 .
  • FIG. 7 illustrates the temperature of object 402 and clamp 406 having channels 408 and 422 , according to an embodiment, at various times T 1 -T 8 after (1) object 402 is exposed with radiation beam 403 , and (2) the temperature of fluid entering channels 408 is adjusted from a first temperature to a lower second temperature.
  • the cooling power on object 402 generated by passing fluid through channels 408 at the second temperature is about equal to a heating power applied to object 402 during exposure with radiation 403 , and the average temperature of object 402 remains over time about equal to the zero-crossing temperature of the material composing object 402 .
  • the temperature of fluid entering channels 408 is adjusted from about 22° C.
  • the average temperature of object 402 remains, over time, equal to about to room temperature, for example, about 22° C., which is also about the zero-crossing temperature of the material composing object 402 in some embodiments.
  • the horizontal axis corresponds to a position on object 402 or clamp 406 in a direction substantially perpendicular to mounting surface 416 of clamp 406 and surface 414 of object 402 .
  • the dashed line at the right end of the horizontal axis corresponds to surface 414 of object that receives radiation beam 403 .
  • the dashed line at the left end of the horizontal axis corresponds to surface 418 of clamp 406 that is adjacent chuck 404 .
  • the intermediate dashed line 416 , 412 to the left of dashed lane 414 corresponds to the interface between mounting surface 416 of clamp 406 and surface 412 of object 402 , which is adjacent to mounting surface 416 .
  • the dashed line 408 to the left of dashed line 416 , 412 corresponds to the location of channels 408 of clamp 406
  • the dashed line to the left of dashed line 408 corresponds to the location of channels 422 .
  • the discontinuity of the temperatures at the interface 416 , 412 between mounting surface 416 of clamp 406 and surface 412 of object 402 is due to the thermal resistance of a back-fill pressure between clamp 406 and object 402 .
  • Object 402 has a thickness in the range of about 4 mm to about 8 mm and comprises a material having ultra-low coefficient of thermal expansion that varies as a function of temperature and has a zero-crossing temperature of about 22° C.
  • clamp 406 has a thickness in the range of about 6 mm to about 10 mm, for example, 8 mm, and comprises a material having ultra-low coefficient of thermal expansion that varies as a function of temperature and has a zero-crossing temperature of about 22° C. (Although in some embodiments, clamp 406 comprises a material having ultra-low coefficient of thermal expansion that has a zero-crossing temperature, for example, about 8° C., less than the zero-crossing temperature of the material composing object 402 .) In some embodiments, time T 8 is about 200 seconds after surface 414 of object 402 is exposed with radiation beam 403 and after the temperature of fluid entering channels 408 is adjusted to the lower temperature, for example, about ⁇ 8° C.
  • the average temperature of object 402 at times T 1 -T 8 is about 22° C., which is about the zero-crossing temperature of the material composing object 402 in some embodiments.
  • the portion of object 402 including surface 414 of object 402 is hotter than about 22° C. (i.e., hotter than about the zero-crossing temperature of the material composing object 402 ), and the portion of object 402 including surface 412 of object 402 is colder than about 22° C. (i.e., colder than about the zero-crossing temperature of the material composing object 402 ).
  • the temperature of object 402 at surface 414 can be about 38° C. when exposed with radiation 403 at time T 8 .
  • the temperature of object 402 decreases in the direction of clamp 406 until the temperature of object 402 at about the midpoint of object 402 is about 22° C. (i.e., about the zero-crossing temperature of the material composing object 402 ). From that point on object 402 , the temperature of object 402 continues to decrease in the direction of clamp 406 until the temperature of object 402 is about 10° C. at surface 412 of object 402 at time T 8 .
  • the average temperature of object 402 remains (during substantially the entire transition from time T 1 to time T 8 in some embodiments) at about the zero-crossing temperature of the material composing object 402 , for example, at about 22° C. And in some embodiments as shown in FIG. 7 , the difference between the average temperature of object 402 (about the zero-crossing temperature of the material composing object 402 ) and the temperature of object 402 at surface 412 is about equal to the difference between the average temperature of object 402 (about the zero-crossing temperature of the material composing object 402 ) and the temperature of object 402 at surface 414 during the transition from time T 1 to time T 8 .
  • This temperature distribution can generate a substantially symmetric internal thermal force distribution about an axis substantially parallel to surface 414 of object 402 due to expansion of object 402 as shown in FIG. 8 .
  • force F 1 corresponds to the internal thermal force generated at surface 414 of object 402 having a temperature of about 38° C.
  • force F 2 corresponds to the internal thermal force generated in object 402 at a point between surface 414 and the point in object 402 having a temperature of about 22° C. (i.e., about the zero-crossing temperature of the material composing object 402 ).
  • Force F 3 corresponds to the internal thermal force generated in object 402 at a point between the point in object 402 having a temperature of about 22° C. and surface 412 of object 402
  • force F 4 corresponds to the internal thermal force generated at surface 412 of object 402 .
  • FIG. 9 schematically diagrams the distribution of forces F 1 -F 4 on object 402 .
  • forces F 1 -F 4 are substantially symmetrical about an axis parallel with surface 414 of object 402 that intersects a point on object 402 having a temperature equal to about the zero-crossing temperature of the material composing object 402 , for example, about 22° C.
  • This substantially symmetric force distribution can help reduce the internal bending moments applied to object 402 by internal thermal forces generated by expansion of object 402 , which can help reduce deformation of object 402 .
  • FIG. 14 illustrates another example of a symmetric internal thermal force distribution about an axis substantially parallel to surface 414 of object 402 due to expansion of object 402 that can be achieved from the resulting cooling by clamp 406 .
  • object 402 is composed of a material having a zero-crossing temperature (for example, about 30° C. as shown in FIG. 14 ) that is greater than a temperature of object 402 at its undeformed state (for example, about 20° C. as shown in FIG. 14 ).
  • force F 1 corresponds to the internal thermal force generated at surface 414 of object 402 having a temperature of about 45° C.
  • force F 2 corresponds to the internal thermal force generated at a midpoint of object 402 having a temperature of about 30° C.
  • Force F 3 corresponds to the internal thermal force generated at surface 412 of object 402 having a temperature of about 15° C.
  • the sum of the internal bending moments due to the internal thermal forces equal to about zero due to the symmetric internal thermal force distribution.
  • the sum of the internal thermal forces in a plane parallel to surface 414 of object 402 is about equal to zero. Reducing the sum of the internal bending moments due to internal thermal forces or by reducing the sum of internal thermal forces can reduce deformation of object 402 .
  • FIG. 10 charts the raw overlay error of a pattern exposed on a substrate as a function of the position on the substrate at various times T 1 -T 8 after object 402 is exposed with radiation beam 403 using support 400 , according to an embodiment.
  • clamp 406 and object 402 have a temperature distribution as shown in FIG. 7
  • clamp 406 comprises a material having ultra-low coefficient of thermal expansion that varies as a function of temperature
  • object 402 comprises a material having ultra-low coefficient of thermal expansion that varies as a function of temperature.
  • the material composing clamp 406 has a zero-crossing temperature, for example, about 22° C., that is about equal to the zero-crossing temperature, for example, about 22° C., of the material composing object 402 .
  • times T 1 -T 8 correspond with times T 1 -T 8 in FIG. 7 .
  • T 8 can be about 200 seconds (for example, 214 seconds) as shown in FIG. 7
  • the maximum raw overlay error along the substrate from times T 1 -T 8 is about 0.5 nm as shown in FIG. 10 .
  • FIG. 11 charts the raw overlay error of a pattern exposed on a substrate as a function of the position on the substrate at various times T 1 -T 8 after object 402 is exposed with radiation beam 403 using support 400 , according to another embodiment.
  • clamp 406 and object 402 have a temperature distribution as shown in FIG. 7
  • clamp 406 comprises a material having ultra-low coefficient of thermal expansion that varies as a function of temperature
  • object 402 comprises a material having ultra-low coefficient of thermal expansion that varies as a function of temperature.
  • the material composing clamp 406 has a zero-crossing temperature, for example, about 8° C., that is less than about the zero-crossing temperature, for example, about 22° C., of the material composing object 402 .
  • times T 1 -T 8 correspond with times T 1 -T 8 in FIG. 7 .
  • time T 8 can be about 200 seconds (for example, 214 seconds) as shown in FIG. 7
  • the maximum raw overlay error along the substrate from times T 1 -T 8 is about 0.1 nm as shown in FIG. 11 .
  • the reduction in raw overlay error in this embodiment relative to the embodiment in FIG. 10 is attributable, at least in part, to internal thermal forces generated in clamp 406 .
  • support 400 includes a pellicle 424 as shown in FIG. 12 .
  • pellicle 424 can be mounted to a lateral end portion 426 of object 402 and the other side of pellicle 424 can be mounted to the opposing lateral end portion 428 of object 402 .
  • support 400 includes a mount 430 , for example, a silicon carbide or metal stud, coupled to surface 414 of object 402 at lateral end portion 426 on one end of mount 430 and coupled to pellicle 424 on the other end of mount 430 .
  • Support 400 also includes a mount 432 , for example, a silicon carbide or metal stud, coupled to surface 414 of object 402 at lateral end portion 428 on one end of mount 432 and coupled to pellicle 424 on the other end of mount 432 .
  • Mounts 430 and 432 can be adhered directly to surface 414 of object 402 in some embodiments.
  • lateral end portions 426 and 428 correspond to portions of object 402 that are not included in the field of exposure and that are not aligned with interfaces between object 402 and clamp 406 that are back filled.
  • controller 413 controls the temperature of the fluid passing through channels 408 such that lateral end portions 426 and 428 of object 402 are maintained at substantially room temperature (for example, about 22° C.).
  • FIG. 13 illustrates the temperature of surface 414 of object 402 having channels 408 and 422 according to one such embodiment at various times T 1 -T 6 after: (1) object 402 is exposed with radiation beam 403 ; and (2) the temperature of fluid entering channels 408 is adjusted from a first temperature to a lower second temperature as described in the above embodiments.
  • time T 6 is about 300 seconds or more after exposure with radiation beam 403 starts.
  • the temperature of surface 414 of object 402 at lateral end portions 426 and 428 is about room temperature, for example, about 22° C.
  • mounts 430 and 432 will also remain at about room temperature, for example, about 22° C. Maintaining mounts 430 and 432 at about room temperature can help reduce expansion of mounts 430 and 432 during exposure, which in turn can reduce local deformations of object 402 .
  • clamp 406 includes a first layer 434 , a second layer 436 , and a third layer 438 .
  • First layer 434 includes a plurality of burls 440 that define surface 416 that receives object 402 .
  • Second layer 436 defines channels 408
  • third layer 438 includes a plurality of burls 442 that define a plurality of voids 444 .
  • Third layer 438 is coupled to chuck 404 at surface 418 of clamp 406 .
  • first, second, and third layers 434 , 436 , and 438 are optically coupled to each other by anodic or fusion bonding.
  • first and second layers 434 and 436 can be fusion bonded together at interface 448
  • second and third layers 436 and 438 can be fusion bonded together at interface 446
  • third layer 438 can be optically coupled to chuck 404 (not shown) at surface 418 . After bonding, first, second, and third layers 434 , 436 , and 438 is monolithic in some embodiments.
  • clamp 406 is a single layer defining voids 444 , channels 408 , and channels 422 .
  • a vacuum is formed in voids 444 .
  • the vacuum occurs during operational use of the lithographic apparatus.
  • voids 444 are filled with a thermally insulating fluid, for example, air or any other insulating fluid.
  • voids 444 reduce thermal conduction between third layer 438 adjacent chuck 404 and second layer 436 that includes channels 408 by a factor of 50-100 relative to a similar clamp without voids 444 .
  • this thermal insulation provided by voids 444 allows first and second layers 434 and 436 to operate at temperatures substantially lower than room temperature or 22° C., for example, at temperatures below 2° C., while third layer 438 maintains a temperature about equal to the temperature of chuck 404 at its undeformed, manufactured state, for example, about room temperature or 22° C.
  • This configuration can reduce residual thermal errors in chuck 404 while also improving chuck stability and manufacturing.
  • Voids 444 decrease the thermal coupling (i.e., thermally isolates) between the portion of clamp 406 including channels 408 (for example, first layer 434 or second layer 436 ) from the portion of clamp 406 adjacent chuck 404 (for example, third layer 438 ).
  • clamp 406 includes channels 422
  • the resulting thermal isolation allows for a smaller temperature difference between the fluids passing through channels 408 and 422 and/or a smaller distance between channels 408 and 422 , which can improve scale stability and chuck flatness.
  • channels 422 can be omitted from clamp 406 . Because voids 444 thermally isolate the portion of clamp 406 contacting chuck 404 from the portion of clamp 406 that includes channels 408 , conditioning of chuck 404 via fluid flow in channels 422 is rendered unnecessary.
  • the surface area of burls 442 that interface second layer 436 is less than 50 percent the surface area of the surface of second layer 436 facing third layer 438 . In some embodiments, the surface area of burls 442 that interface second layer 436 is less than 10 percent the surface area of the surface of second layer 436 facing third layer 438 .
  • each of layers 434 , 436 , and 438 is composed of one or more materials having ultra-low coefficients of thermal expansion that vary as a function of temperature.
  • the material composing layers 434 , 436 , and 438 can be ultra-low expansion silicon-based materials (e.g., ULE® glass manufactured by Corning), glass materials, ceramic materials, silicon-based glass ceramic materials (e.g., ZERODUR® glass ceramic manufactured by SCHOTT), or a combination thereof.
  • chuck 404 can have voids 464 that thermally isolate chuck 404 from clamp 406 as shown in FIG. 16 .
  • This configuration i.e., voids 464 in chuck 404 instead of clamp 406
  • forming voids 464 in chuck 404 instead of clamp 406 , can reduce the number of layers forming clamp 406 .
  • clamp 406 having voids 444 is formed of three layers, but in FIG. 16 , clamp 406 without voids 444 is formed of two layers.
  • clamp 406 can be made of a plurality of layers.
  • clamp 406 can include a first layer 450 and a second layer 452 .
  • First layer 450 includes plurality of burls 440 that define surface 416 that receives object 402 .
  • Second layer 452 defines channels 408 and is coupled to chuck 404 .
  • first layer 450 defines channels 408 .
  • clamp 406 is formed of a single layer or of more than two layers.
  • burls 440 in FIG. 16 have a trapezoidal cross-sectional shape
  • burls 440 can have other suitable cross-sectional shapes, for example, a rectangular, triangular, or hemispherical shape.
  • clamp 406 can be an electrostatic clamp that generates an electrostatic field to hold object 402 in place in some embodiments.
  • one or more of layers 450 and 452 can include electrodes (not shown) that generate this electrostatic field.
  • chuck 404 can be made of a plurality of layers.
  • chuck 404 can include a first layer 454 that defines surface 420 coupled to second layer 452 of clamp 406 , and a second layer 456 coupled to first layer 454 .
  • first layer 454 can be omitted such that layer 456 defining voids 464 is coupled directly to clamp 406 (for example, to layer 452 of clamp 406 .)
  • more than one layer of chuck 404 can be positioned between layer 456 defining voids 464 and clamp 406 .
  • chuck 404 is formed of a single layer or of more than two layers (for example, three, four, or five layers).
  • first and second layers 454 and 456 of chuck 404 can be a single integral layer defining voids 464 .
  • each of layers 450 , 452 , 454 , and 456 is composed of one or more materials having ultra-low coefficients of thermal expansion that vary as a function of temperature.
  • the material composing layers 450 , 452 , 454 , and 456 can be ultra-low expansion silicon-based materials (e.g., ULE® glass manufactured by Corning), glass materials, ceramic materials, silicon-based glass ceramic materials (e.g., ZERODUR® glass ceramic manufactured by SCHOTT), or a combination thereof.
  • An interface 458 between surface 418 of clamp 406 and surface 420 of chuck 404 can be optically coupled.
  • interface 458 can be an anodic bond.
  • chuck 404 and clamp 406 are made of ultra-low expansion silicon-based materials (e.g., ULE® glass manufactured by Corning)
  • interface 458 can be a fusion bond.
  • first and second layers 450 and 452 of clamp 406 and first and second layers 454 and 456 of chuck 404 are optically coupled to each other by anodic or fusion bonding.
  • first and second layers 450 and 452 of clamp 406 can be fusion or anodic bonded together at interface 448
  • first and second layers 436 and 438 can be fusion or anodic bonded together at interface 446 .
  • first and second layers 450 and 452 of clamp 406 and first and second layers 454 and 456 of chuck 404 are monolithic in some embodiments.
  • second layer 456 of chuck 404 includes a plurality of burls 462 that define a plurality of voids 464 as shown in FIG. 16 .
  • burls 462 in FIG. 16 have a trapezoidal cross-sectional shape
  • burls 462 can have other suitable cross-sectional shapes, for example, a rectangular, triangular, or hemispherical shape.
  • voids 464 in FIG. 16 have a trapezoidal cross-sectional shape
  • voids 464 can have other suitable cross-sectional shapes, for example, a rectangular, triangular, arcuate, or circular shape.
  • chuck 404 is a single layer defining voids 464 .
  • a vacuum is formed in voids 464 .
  • the vacuum occurs during operational use of the lithographic apparatus.
  • voids 464 are filled with a thermally insulating fluid, for example, air or any other insulating fluid.
  • Voids 464 decreases the thermal coupling (i.e., thermally isolates) between (i) first layer 454 of chuck 404 that is adjacent clamp 406 and (ii) second layer 456 of chuck 404 that includes voids 464 , which in turn reduces thermal coupling between clamp 406 and chuck 404 .
  • This thermal insulation provided by voids 464 allows second layer 454 of chuck 404 and clamp 406 to operate at temperatures substantially lower than room temperature or 22° C., for example, at temperatures below 2° C., while second layer 456 of chuck 404 maintains a temperature about equal to the temperature of chuck 404 at its undeformed, manufactured state, for example, about room temperature or 22° C. This configuration can reduce residual thermal errors in chuck 404 while also improving chuck stability and manufacturing.
  • voids 464 are filled with a thermally insulating fluid and/or in which fluid circulating channels 466 are optionally formed in second layer 456 of chuck 404
  • the resulting thermal isolation from voids 464 allows for (1) a smaller temperature difference between the fluids passing through channels 408 and voids 464 or channels 466 , and/or (2) a smaller distance between channels 408 chuck 404 , which can improve scale stability and chuck flatness.
  • channels 466 can be omitted from chuck 406 . Because voids 464 thermally isolate chuck 404 from the portion of clamp 406 that includes channels 408 , conditioning of chuck 404 via fluid flow in channels 466 is rendered unnecessary.
  • the surface area of burls 462 that interface with first layer 454 of clamp 406 is less than 50 percent the surface area of the surface of first layer 454 facing second layer 456 of chuck 404 . In some embodiments, the surface area of burls 462 that interface first layer 454 of chuck 404 is less than 10 percent the surface area of the surface of first layer 454 facing second layer 456 of chuck 404 .
  • the temperature of fluid flowing through channels 408 of clamp 406 (and channels 466 ) is controlled as described in any one of the described embodiments of this application.
  • any of the above embodiments of support 400 can control a temperature of object 402 held by clamp 406 , which is coupled to chuck 404 of a lithographic apparatus.
  • a method of cooling object 402 using clamp 406 and chuck 404 includes exposing object 402 with radiation beam 403 .
  • exposing object 402 with radiation beam 403 is part of the process of manufacturing ICs.
  • exposing object 402 with radiation beam 403 includes exposing a reticle with radiation to impart a pattern on the radiation beam.
  • the method of cooling object 402 using clamp 406 and chuck 404 includes can also include conditioning a temperature of a first portion of clamp 406 .
  • the temperature of a portion of clamp 406 having channels 422 and surface 418 coupled to a surface 420 of chuck 404 can be conditioned by passing fluid at a first temperature, for example, about 22° C., through channels 422 .
  • the method can also include conditioning a temperature of a second portion of clamp 406 , for example, a portion of clamp 406 having channels 408 and surface 416 , holding object 402 by passing a fluid at a temperature lower than the temperature of the fluid passing through channels 422 through channels 408 .
  • the fluid passing through channels 408 is conditioned to have different temperatures at different points in time.
  • the fluid passing through channels 408 can be conditioned to have a temperature, for example, about 22° C., that is about equal to the temperature of fluid passing through channels 422 .
  • controller 413 can then transmit a control signal to fluid conditioning device 410 to adjust the temperature of fluid passing through channels 408 from the higher temperature, for example, about 22° C., to the lower temperature, for example, ⁇ 8° C., as shown in FIG. 4 .
  • the change in temperature can coincide with the start of object 402 being exposed with radiation beam 403 as shown in FIG. 4 .
  • object 402 is loaded on clamp 406 while the fluid passing through channels 408 is conditioned to have a temperature, for example, about 22° C., that is about equal to the temperature of fluid passing through channels 422 .
  • the method of cooling object 402 includes conditioning the fluid passing through channels 408 to have a temperature, for example, ⁇ 8° C., that is less than a target average temperature, for example, about 22° C., of object 402 when exposed with radiation beam 403 .
  • the target average temperature of object 402 is about the zero-crossing temperature of the material composing object 402 .
  • the method of cooling object 402 includes conditioning the fluid passing through channels 408 to have a temperature, for example, about ⁇ 8° C., such that the cooling power on object 402 generated by passing fluid through channels 408 is about equal to a heating power, for example, about 80 W, applied to object 402 during exposure with radiation beam 403 .
  • the method of cooling object 402 includes conditioning the fluid passing through channels 408 to have a temperature, for example, about ⁇ 8° C., such that generated internal thermal forces of object 402 when object 402 is being exposed with radiation beam 403 are substantially symmetric about an axis substantially parallel with surface 414 of object 402 .
  • the sum of the internal bending moments in object 402 due to the internal thermal forces is about zero.
  • fluid passing through channels 408 can be conditioned to have a temperature that generates internal thermal forces as shown in FIG. 9 .
  • the fluid passing through channels 408 is conditioned to have a temperature, for example, about 22° C., that is about equal to the temperature of fluid passing through channels 422 .
  • controller 413 can transmit a control signal to fluid conditioning device 410 to adjust the temperature of fluid passing through channels 408 from the lower temperature, for example, about ⁇ 8° C., to the higher temperature, for example, about 22° C.
  • the change in temperature can coincide with cessation of object 402 being exposed with radiation beam 403 .
  • any one of the above described embodiments for controlling the temperature of an object 402 and chuck 404 can be used to manufacture ICs.
  • the object 402 can be a reticle used to impart a pattern of one layer of an IC on radiation beam 403 that will be exposed on a wafer.
  • electrostatic clamp in lithographic apparatus
  • the electrostatic clamp described herein may have other applications, such as for use in mask inspection apparatus, wafer inspection apparatus, aerial image metrology apparatus and more generally in any apparatus that measures or processes an object such as a wafer (or other substrate) or mask (or other patterning device) either in vacuum or in ambient (non-vacuum) conditions, such as, for example in plasma etching apparatus or deposition apparatus.
  • lithographic apparatus in the manufacture of ICs
  • the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc.
  • LCDs liquid-crystal displays
  • any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively.
  • the substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • imprint lithography a topography in a patterning device defines the pattern created on a substrate.
  • the topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof.
  • the patterning device is moved out of the resist leaving a pattern in it after the resist is cured.
  • UV radiation e.g., having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm
  • EUV radiation e.g., having a wavelength in the range of 5-20 nm
  • beams of charged particles such as ion beams or electron beams.
  • lens may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
  • etch or “etching” or “etch-back” as used herein generally describes a fabrication process of patterning a material, such that at least a portion of the material remains after the etch is completed.
  • the process of etching a material involves the steps of patterning a masking layer (e.g., photoresist or a hard mask) over the material, subsequently removing areas of the material that are no longer protected by the mask layer, and optionally removing remaining portions of the mask layer.
  • the removing step is conducted using an “etchant” that has a “selectivity” that is higher to the material than to the mask layer.
  • etching may also refer to a process that does not use a mask, but still leaves behind at least a portion of the material after the etch process is complete.
  • etching when etching a material, at least a portion of the material remains behind after the process is completed. In contrast, when removing a material, substantially all of the material is removed in the process. However, in other embodiments, ‘removing’ may incorporate etching.
  • deposit or “dispose” as used herein describe the act of applying a layer of material to a substrate. Such terms are meant to describe any possible layer-forming technique including, but not limited to, thermal growth, sputtering, evaporation, chemical vapor deposition, epitaxial growth, atomic layer deposition, electroplating, etc.
  • substrate as used herein describes a material onto which subsequent material layers are added.
  • the substrate itself may be patterned and materials added on top of it may also be patterned, or may remain without patterning.
  • substantially or “in substantial contact” as used herein generally describes elements or structures in physical substantial contact with each other with only a slight separation from each other which typically results from fabrication and/or misalignment tolerances. It should be understood that relative spatial descriptions between one or more particular features, structures, or characteristics (e.g., “vertically aligned,” “substantial contact,” etc.) used herein are for purposes of illustration only, and that practical implementations of the structures described herein may include fabrication and/or misalignment tolerances without departing from the spirit and scope of the present disclosure.
US16/804,549 2015-10-06 2016-10-05 Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus Active USRE49066E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/804,549 USRE49066E1 (en) 2015-10-06 2016-10-05 Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562237732P 2015-10-06 2015-10-06
US201562271688P 2015-12-28 2015-12-28
US15/764,594 US10324383B2 (en) 2015-10-06 2016-10-05 Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus
PCT/EP2016/073704 WO2017060259A1 (en) 2015-10-06 2016-10-05 Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus
US16/804,549 USRE49066E1 (en) 2015-10-06 2016-10-05 Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus

Publications (1)

Publication Number Publication Date
USRE49066E1 true USRE49066E1 (en) 2022-05-10

Family

ID=57068118

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/804,549 Active USRE49066E1 (en) 2015-10-06 2016-10-05 Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus
US15/764,594 Active US10324383B2 (en) 2015-10-06 2016-10-05 Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/764,594 Active US10324383B2 (en) 2015-10-06 2016-10-05 Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus

Country Status (7)

Country Link
US (2) USRE49066E1 (zh)
JP (2) JP2018531410A (zh)
KR (2) KR20190126450A (zh)
CN (2) CN108139684B (zh)
NL (1) NL2017576A (zh)
TW (2) TWI732788B (zh)
WO (1) WO2017060259A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018531410A (ja) 2015-10-06 2018-10-25 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法
CN113490884A (zh) * 2019-02-11 2021-10-08 Asml荷兰有限公司 具有热控制系统的光刻设备和方法
US11927889B2 (en) 2019-02-13 2024-03-12 Asml Holding N.V. Intermediate layer for mechanical interface
CN113785242A (zh) 2019-05-01 2021-12-10 Asml荷兰有限公司 物体定位器、用于校正物体形状的方法、光刻设备、物体检查设备、装置制造方法
KR20220010725A (ko) * 2019-05-29 2022-01-26 에이에스엠엘 홀딩 엔.브이. 분할된 양면 웨이퍼 및 레티클 클램프
CN110502049B (zh) * 2019-08-30 2021-05-07 北京北方华创微电子装备有限公司 卡盘温度控制方法、卡盘温度控制系统及半导体设备
CN114585973A (zh) 2019-11-07 2022-06-03 Asml控股股份有限公司 在光刻设备中使用的光学部件和夹具
JP2023509667A (ja) * 2019-12-31 2023-03-09 エーエスエムエル ホールディング エヌ.ブイ. 両面静電クランプを製造するためのシステムおよび方法
US11875967B2 (en) * 2020-05-21 2024-01-16 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
JP7433147B2 (ja) * 2020-06-26 2024-02-19 東京エレクトロン株式会社 載置台及び検査装置
CN116324621A (zh) 2020-08-07 2023-06-23 卡尔蔡司Smt有限责任公司 光学系统与操作光学系统的方法
EP4123373A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus
EP4123376A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus
EP4123374A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus
EP4123378A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus
WO2023001798A1 (en) * 2021-07-21 2023-01-26 Koninklijke Philips N.V. Imprinting apparatus

Citations (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5063582A (en) 1988-09-02 1991-11-05 Canon Kabushiki Kaisha Liquid cooled x-ray lithographic exposure apparatus
JPH0637172A (ja) 1992-05-19 1994-02-10 Nikon Corp 基板保持装置
JPH07106244A (ja) 1993-08-13 1995-04-21 Sony Corp 露光方法および露光装置
US5738165A (en) 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JPH11135407A (ja) 1997-10-28 1999-05-21 Nikon Corp 露光方法および装置
US6555936B1 (en) 1998-12-04 2003-04-29 Nikon Corporation Flatmotor device and exposure device
JP2003282685A (ja) 2002-03-27 2003-10-03 Sumitomo Metal Ind Ltd 冷却プレート
CN1529994A (zh) 2001-04-30 2004-09-15 ���з� 用于控制工件支架表面上空间温度分布的方法与装置
JP2005197447A (ja) 2004-01-07 2005-07-21 Canon Inc 露光装置及びデバイス製造方法
US20050211694A1 (en) 2004-03-26 2005-09-29 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
JP2005276886A (ja) 2004-03-23 2005-10-06 Nikon Corp 静電チャックおよび露光装置
JP2005353982A (ja) 2004-06-14 2005-12-22 Ricoh Co Ltd アライメント装置
US20060274298A1 (en) 2005-06-06 2006-12-07 Tokyo Electron Limited Substrate supporting unit, and substrate temperature control apparatus and method
US20070029740A1 (en) 2005-07-07 2007-02-08 Masuhiro Natsuhara Body for keeping a wafer, method of manufacturing the same and device using the same
US7212273B2 (en) 2003-03-04 2007-05-01 Canon Kabushiki Kaisha Exposure apparatus and method of producing device
JP2007142238A (ja) 2005-11-21 2007-06-07 Nikon Corp 基板保持装置、露光装置及びデバイスの製造方法
JP2007235171A (ja) 2007-05-17 2007-09-13 Sumitomo Electric Ind Ltd ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
US20080017104A1 (en) * 2006-07-20 2008-01-24 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080023926A1 (en) 2006-07-25 2008-01-31 Young-Han Kim Chuck assembly and method for controlling a temperature of a chuck
US20080121821A1 (en) 2006-11-27 2008-05-29 Varian Semiconductor Equipment Associates Inc. Techniques for low-temperature ion implantation
US20080144251A1 (en) * 2006-12-19 2008-06-19 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
TW200836290A (en) 2007-01-24 2008-09-01 Asml Holding Nv A wafer chuck and a method of forming a wafer chuck
US20090159590A1 (en) 2007-12-21 2009-06-25 Shinko Electric Industries Co., Ltd. Substrate temperature adjusting-fixing devices
US20090207392A1 (en) * 2008-02-20 2009-08-20 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7719659B2 (en) 2004-01-06 2010-05-18 Canon Kabushiki Kaisha Exposure apparatus and device manufacturing method
JP2010114397A (ja) 2008-11-10 2010-05-20 Canon Inc 露光装置およびデバイス製造方法
US20110116068A1 (en) * 2008-08-21 2011-05-19 Euv Reticle Substrates With High Thermal Conductiv EUV Reticle Substrates With High Thermal Conductivity
JP2011151386A (ja) 2009-12-25 2011-08-04 Asahi Glass Co Ltd Euvl光学部材用基材
US20120026474A1 (en) * 2010-08-02 2012-02-02 Asml Holding N.V. Reticle Cooling in a Lithographic Apparatus
US20130045447A1 (en) * 2011-08-18 2013-02-21 Asml Netherlands B.V. Lithographic apparatus, support table for a lithographic apparatus and device manufacturing method
JP2013251311A (ja) 2012-05-30 2013-12-12 Nikon Corp 露光装置、露光方法、デバイス製造方法、プログラム、及び記録媒体
WO2014093306A1 (en) 2012-12-11 2014-06-19 Schneider Electric Buildings, Llc Fast attachment open end direct mount damper and valve actuator
WO2014122151A2 (en) * 2013-02-07 2014-08-14 Asml Holding N.V. Lithographic apparatus and method
US20140253900A1 (en) * 2011-10-06 2014-09-11 Asml Netherlands B.V. Chuck, a Chuck Control System, a Lithography Apparatus and a Method of Using a Chuck
CN104176699A (zh) 2014-07-18 2014-12-03 苏州能斯达电子科技有限公司 一种具有绝热沟槽的mems硅基微热板及其加工方法
JP2015035448A (ja) 2013-08-07 2015-02-19 日本特殊陶業株式会社 静電チャック
JP2015519755A (ja) 2012-05-29 2015-07-09 エーエスエムエル ネザーランズ ビー.ブイ. 支持装置、リソグラフィ装置及びデバイス製造方法
US20150228514A1 (en) 2014-02-12 2015-08-13 Axcelis Technologies, Inc. Multi Fluid Cooling System for Large Temperature Range Chuck
WO2016030069A1 (en) 2014-08-26 2016-03-03 Asml Holding N.V. An electrostatic clamp and a method for manufacturing the same
US20180321602A1 (en) 2015-10-06 2018-11-08 Asml Holding N.V. Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6983086B2 (en) * 2003-06-19 2006-01-03 Intel Corporation Thermally isolating optical devices
US7327439B2 (en) 2004-11-16 2008-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP5908927B2 (ja) * 2011-03-11 2016-04-26 アライアンス フォー サステイナブル エナジー リミテッド ライアビリティ カンパニー エネルギー貯蔵システムとパワーエレクトロニクスを試験するための熱量計ならびにそれらの製造方法および使用方法
CN103904014B (zh) * 2012-12-31 2016-12-28 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘和反应腔室

Patent Citations (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5063582A (en) 1988-09-02 1991-11-05 Canon Kabushiki Kaisha Liquid cooled x-ray lithographic exposure apparatus
JPH0637172A (ja) 1992-05-19 1994-02-10 Nikon Corp 基板保持装置
US5738165A (en) 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JPH07106244A (ja) 1993-08-13 1995-04-21 Sony Corp 露光方法および露光装置
JPH11135407A (ja) 1997-10-28 1999-05-21 Nikon Corp 露光方法および装置
US6555936B1 (en) 1998-12-04 2003-04-29 Nikon Corporation Flatmotor device and exposure device
US20140034608A1 (en) * 2001-04-30 2014-02-06 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
CN1529994A (zh) 2001-04-30 2004-09-15 ���з� 用于控制工件支架表面上空间温度分布的方法与装置
US7274004B2 (en) 2001-04-30 2007-09-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2003282685A (ja) 2002-03-27 2003-10-03 Sumitomo Metal Ind Ltd 冷却プレート
US7212273B2 (en) 2003-03-04 2007-05-01 Canon Kabushiki Kaisha Exposure apparatus and method of producing device
US7719659B2 (en) 2004-01-06 2010-05-18 Canon Kabushiki Kaisha Exposure apparatus and device manufacturing method
JP2005197447A (ja) 2004-01-07 2005-07-21 Canon Inc 露光装置及びデバイス製造方法
US7528930B2 (en) 2004-01-07 2009-05-05 Canon Kabushiki Kaisha Exposure apparatus and device manufacturing method
JP2005276886A (ja) 2004-03-23 2005-10-06 Nikon Corp 静電チャックおよび露光装置
US20050211694A1 (en) 2004-03-26 2005-09-29 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
JP2005353982A (ja) 2004-06-14 2005-12-22 Ricoh Co Ltd アライメント装置
US20060274298A1 (en) 2005-06-06 2006-12-07 Tokyo Electron Limited Substrate supporting unit, and substrate temperature control apparatus and method
JP2007043042A (ja) 2005-07-07 2007-02-15 Sumitomo Electric Ind Ltd ウェハ保持体およびその製造方法、ならびにそれを搭載したウェハプローバ及び半導体加熱装置
US20070029740A1 (en) 2005-07-07 2007-02-08 Masuhiro Natsuhara Body for keeping a wafer, method of manufacturing the same and device using the same
JP2007142238A (ja) 2005-11-21 2007-06-07 Nikon Corp 基板保持装置、露光装置及びデバイスの製造方法
US20080017104A1 (en) * 2006-07-20 2008-01-24 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080023926A1 (en) 2006-07-25 2008-01-31 Young-Han Kim Chuck assembly and method for controlling a temperature of a chuck
US20080121821A1 (en) 2006-11-27 2008-05-29 Varian Semiconductor Equipment Associates Inc. Techniques for low-temperature ion implantation
US20080144251A1 (en) * 2006-12-19 2008-06-19 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
TW200836290A (en) 2007-01-24 2008-09-01 Asml Holding Nv A wafer chuck and a method of forming a wafer chuck
US8168017B2 (en) 2007-01-24 2012-05-01 Asml Holding N.V. Bonding silicon silicon carbide to glass ceramics
WO2008124555A1 (en) 2007-04-04 2008-10-16 Varian Semiconductor Equipment Associates, Inc. Techniques for low-temperature ion implantation
JP2007235171A (ja) 2007-05-17 2007-09-13 Sumitomo Electric Ind Ltd ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
US20090159590A1 (en) 2007-12-21 2009-06-25 Shinko Electric Industries Co., Ltd. Substrate temperature adjusting-fixing devices
JP2009152475A (ja) 2007-12-21 2009-07-09 Shinko Electric Ind Co Ltd 基板温調固定装置
US20090207392A1 (en) * 2008-02-20 2009-08-20 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US20110116068A1 (en) * 2008-08-21 2011-05-19 Euv Reticle Substrates With High Thermal Conductiv EUV Reticle Substrates With High Thermal Conductivity
JP2010114397A (ja) 2008-11-10 2010-05-20 Canon Inc 露光装置およびデバイス製造方法
JP2011151386A (ja) 2009-12-25 2011-08-04 Asahi Glass Co Ltd Euvl光学部材用基材
US8546283B2 (en) 2009-12-25 2013-10-01 Asahi Glass Company, Limited Substrate for EUVL optical member
US20120026474A1 (en) * 2010-08-02 2012-02-02 Asml Holding N.V. Reticle Cooling in a Lithographic Apparatus
US20130045447A1 (en) * 2011-08-18 2013-02-21 Asml Netherlands B.V. Lithographic apparatus, support table for a lithographic apparatus and device manufacturing method
CN102981370A (zh) 2011-08-18 2013-03-20 Asml荷兰有限公司 光刻设备、用于光刻设备的支撑台以及器件制造方法
US9897928B2 (en) 2011-08-18 2018-02-20 Asml Netherlands B.V. Lithographic apparatus, support table for a lithographic apparatus and device manufacturing method
US20140253900A1 (en) * 2011-10-06 2014-09-11 Asml Netherlands B.V. Chuck, a Chuck Control System, a Lithography Apparatus and a Method of Using a Chuck
JP2015519755A (ja) 2012-05-29 2015-07-09 エーエスエムエル ネザーランズ ビー.ブイ. 支持装置、リソグラフィ装置及びデバイス製造方法
US9507275B2 (en) 2012-05-29 2016-11-29 Asml Netherlands B.V. Support apparatus, lithographic apparatus and device manufacturing method
JP2013251311A (ja) 2012-05-30 2013-12-12 Nikon Corp 露光装置、露光方法、デバイス製造方法、プログラム、及び記録媒体
WO2014093306A1 (en) 2012-12-11 2014-06-19 Schneider Electric Buildings, Llc Fast attachment open end direct mount damper and valve actuator
WO2014122151A2 (en) * 2013-02-07 2014-08-14 Asml Holding N.V. Lithographic apparatus and method
US20150370180A1 (en) 2013-02-07 2015-12-24 Asml Holding N.V. Lithographic Apparatus and Method
JP2015035448A (ja) 2013-08-07 2015-02-19 日本特殊陶業株式会社 静電チャック
US20150228514A1 (en) 2014-02-12 2015-08-13 Axcelis Technologies, Inc. Multi Fluid Cooling System for Large Temperature Range Chuck
CN104176699A (zh) 2014-07-18 2014-12-03 苏州能斯达电子科技有限公司 一种具有绝热沟槽的mems硅基微热板及其加工方法
WO2016030069A1 (en) 2014-08-26 2016-03-03 Asml Holding N.V. An electrostatic clamp and a method for manufacturing the same
US20180321602A1 (en) 2015-10-06 2018-11-08 Asml Holding N.V. Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus
US10324383B2 (en) 2015-10-06 2019-06-18 Asml Holding N.V. Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
"Room temperature." The American Heritage(R) Dictionary of the English Language, edited by Editors of the American Heritage Dictionaries, Houghton Mifflin, 6th edition, 2016. (Year: 2016). *
International Preliminary Report on Patentability directed to related International Patent Application No. PCT/EP2016/073704, dated Apr. 10, 2018; 8 pages.
International Search Report and Written Opinion of the International Searching Authority directed to related International Patent Application No. PCT/EP2016/073704, dated Jan. 5, 2017; 12 pages.
Non-Final Office Action directed to U.S. Appl. No. 15/764,594, dated Oct. 10, 2018; 7 pages.
Notice of Allowance directed to U.S. Appl. No. 15/764,594, dated Feb. 5, 2019; 5 pages.

Also Published As

Publication number Publication date
NL2017576A (en) 2017-04-11
CN108139684A (zh) 2018-06-08
US10324383B2 (en) 2019-06-18
TWI733234B (zh) 2021-07-11
TW202006478A (zh) 2020-02-01
JP7369753B2 (ja) 2023-10-26
JP2022023178A (ja) 2022-02-07
CN108139684B (zh) 2021-06-18
KR20190126450A (ko) 2019-11-11
WO2017060259A1 (en) 2017-04-13
US20180321602A1 (en) 2018-11-08
TW201723676A (zh) 2017-07-01
JP2018531410A (ja) 2018-10-25
CN110716396A (zh) 2020-01-21
CN110716396B (zh) 2022-05-31
KR20180059936A (ko) 2018-06-05
TWI732788B (zh) 2021-07-11

Similar Documents

Publication Publication Date Title
USRE49066E1 (en) Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus
JP2018531410A6 (ja) リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法
TWI434132B (zh) 具有高熱傳導性之極紫外線主光罩基板
US9958787B2 (en) Lithographic method and apparatus
US10908518B2 (en) Lithographic apparatus and method
US10310391B2 (en) Electrostatic clamp and a method for manufacturing the same
US8537330B2 (en) Lithographic apparatus, device manufacturing method and computer readable medium
US20150015856A1 (en) Lithographic apparatus and device manufacturing method
TWI539242B (zh) 微影裝置及元件製造方法
EP1522892B1 (en) Lithographic apparatus and device manufacturing method
US7106416B2 (en) Lithographic apparatus and device manufacturing method
US20050134827A1 (en) Lithographic apparatus and device manufacturing method
US9778574B2 (en) Apparatus, a device and a device manufacturing method

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: ASML HOLDING N.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHIEDA, MICHAEL ANDREW;NELSON, MICHAEL LEO;O'CONNOR, GEOFFREY;AND OTHERS;REEL/FRAME:059885/0537

Effective date: 20160113

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KOEVOETS, ADRIANUS HENDRIK;LAFARRE, RAYMOND WILHELMUS LOUIS;VAN DER SANDEN, JACOBUS CORNELIS GERARDUS;SIGNING DATES FROM 20160210 TO 20160229;REEL/FRAME:059885/0511

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4