US7250371B2 - Reduction of feature critical dimensions - Google Patents

Reduction of feature critical dimensions Download PDF

Info

Publication number
US7250371B2
US7250371B2 US10/648,953 US64895303A US7250371B2 US 7250371 B2 US7250371 B2 US 7250371B2 US 64895303 A US64895303 A US 64895303A US 7250371 B2 US7250371 B2 US 7250371B2
Authority
US
United States
Prior art keywords
layer
photoresist
deposition
features
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US10/648,953
Other languages
English (en)
Other versions
US20050048785A1 (en
Inventor
Sean S. Kang
Sangheon Lee
Wan-Lin Chen
Eric A. Hudson
S. M. Reza Sadjadi
Gan Ming Zhao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ZHAO, GAN MING, CHEN, WAN-LIN, HUDSON, ERIC A., KANG, SEAN S., LEE, SANGHEON, SADJADI, S. M. REZA
Priority to US10/648,953 priority Critical patent/US7250371B2/en
Priority to SG200809458-3A priority patent/SG149047A1/en
Priority to CN2004800313250A priority patent/CN1922722B/zh
Priority to PCT/US2004/024853 priority patent/WO2005024904A2/en
Priority to KR1020067004099A priority patent/KR101083622B1/ko
Priority to JP2006524669A priority patent/JP4886513B2/ja
Priority to TW093123824A priority patent/TWI357094B/zh
Publication of US20050048785A1 publication Critical patent/US20050048785A1/en
Priority to US11/821,422 priority patent/US7541291B2/en
Publication of US7250371B2 publication Critical patent/US7250371B2/en
Application granted granted Critical
Priority to JP2011228441A priority patent/JP2012019242A/ja
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Definitions

  • the present invention relates to the formation of semiconductor devices.
  • a photoresist (PR) material is deposited on the wafer and then is exposed to light filtered by a reticle.
  • the reticle is generally a glass plate that is patterned with exemplary feature geometries that block light from propagating through the reticle.
  • the light After passing through the reticle, the light contacts the surface of the photoresist material.
  • the light changes the chemical composition of the photoresist material such that a developer can remove a portion of the photoresist material.
  • the exposed regions are removed, and in the case of negative photoresist materials, the unexposed regions are removed.
  • the wafer is etched to remove the underlying material from the areas that are no longer protected by the photoresist material, and thereby define the desired features in the wafer.
  • FIG. 1A is a schematic cross-sectional view of a layer 108 over a substrate 104 , with a patterned photoresist layer 112 , over an ARL (Anti-reflective layer) 110 over the layer 108 to be etched forming a stack 100 .
  • the photoresist pattern has a critical dimension (CD), which may be the width 116 of the smallest feature.
  • CD critical dimension
  • a typical CD for the photoresist may be 230-250 nm using conventional processes. Due to optical properties dependent on wavelength, photoresist exposed by longer wavelength light has larger theoretical minimal critical dimensions.
  • a feature 120 may then be etched through the photoresist pattern, as shown in FIG. 1B .
  • the CD of the feature (the width of the feature) is equal to the CD 116 of the feature in the photoresist 112 .
  • the CD of the feature 116 may be larger than the CD of the photoresist 112 due to faceting, erosion of the photoresist, or undercutting.
  • the feature may also be tapered, where the CD of the feature is at least as great as the CD of the photoresist, but where the feature tapers to have a smaller width near the feature bottom. Such tapering may provide unreliable features.
  • 193 nm photoresist is exposed by 193 nm light.
  • a 90-100 nm CD photoresist pattern may be formed, using 193 nm photoresist. This would be able to provide a feature with a CD of 90-100 nm.
  • 157 nm photoresist is exposed by 157 nm light.
  • sub 90 nm CD photoresist patterns may be formed. This would be able to provide a feature with a sub 90 nm CD.
  • a method for forming a feature in a layer is provided.
  • a photoresist layer is formed over the layer.
  • the photoresist layer is patterned to form photoresist features with photoresist sidewalls, where the photoresist features have a first critical dimension.
  • a conformal layer is deposited over the sidewalls of the photoresist features to reduce the critical dimensions of the photoresist features.
  • Features are etched into the layer, wherein the layer features have a second critical dimension, which is less than the first critical dimension.
  • a method for forming a feature in a layer is provided.
  • a photoresist layer is formed over the layer.
  • the photoresist layer is patterned to form photoresist features with photoresist sidewalls, where the photoresist features have a first critical dimension.
  • a layer is deposited over the sidewalls of the photoresist features to reduce the critical dimensions of the photoresist features.
  • the depositing the layer over the sidewalls of the photoresist feature comprises, a first deposition with a first gas chemistry to form a first deposition plasma, and a second deposition with a second gas chemistry to form a second deposition plasma, wherein the first gas chemistry is different than the second gas chemistry.
  • Features are etched into the layer, wherein the layer features have a second critical dimension, wherein the second critical dimension is not greater than 70% of the first critical dimension.
  • a plasma processing chamber comprises a chamber wall forming a plasma processing chamber enclosure, a substrate support for supporting a substrate within the plasma processing chamber enclosure, a pressure regulator for regulating the pressure in the plasma processing chamber enclosure, at least one electrode for providing power to the plasma processing chamber enclosure for sustaining a plasma, a gas inlet for providing gas into the plasma processing chamber enclosure, and a gas outlet for exhausting gas from the plasma processing chamber enclosure.
  • a gas source in fluid connection with the gas inlet comprises a first deposition gas source, a second deposition gas source, and an etchant gas source.
  • a controller controllably connected to the gas source and the at least one electrode comprises at least one processor and computer readable media.
  • the computer readable media comprises computer readable code for providing at least three deposition cycles to form a sidewall deposition on a photoresist mask to form features with a second CD, within the photoresist features, computer readable code for providing a flow of an etchant gas from the etchant gas source to the plasma processing chamber after completion of the at least three deposition cycles, and computer readable code for etching features in the layer, using the etchant gas wherein the features in the layer have a third CD.
  • the computer code for providing at least three deposition cycles to form a sidewall deposition on a photoresist mask to form features with a second CD within the photoresist features comprises computer readable code for providing a flow of a first deposition gas from the first deposition gas source to the plasma processing chamber enclosure, computer readable code for stopping the flow of the first deposition gas from the first deposition gas source to the plasma processing chamber enclosure, computer readable code for providing a flow of a second deposition gas from the second deposition gas source to the plasma processing chamber enclosure after the flow of the first deposition gas is stopped, and computer readable code for stopping the flow of the second deposition gas from the second deposition gas source to the plasma processing chamber enclosure.
  • a method of forming a plurality of conductive lines is provided.
  • a conductive layer is placed over a substrate.
  • a mask is formed, where the mask defines a plurality of mask lines with mask spaces between the mask lines, where the mask spaces have a width and wherein the mask lines have a width and have sidewalls.
  • a conformal layer is deposited over the sidewalls of the mask.
  • the conductive layer is etched through the mask to form conductive lines and spaces between the conductive lines, where the conductive lines have a width and the spaces between the conductive line have widths, where the widths of the spaces between the conductive lines is less than the widths of the mask spaces, and where the widths of the conductive lines is greater than the widths of the line masks.
  • FIGS. 1A-B are schematic cross-sectional views of a stack etched according to the prior art.
  • FIG. 2 is a high level flow chart of a process that may be used in an embodiment of the invention.
  • FIGS. 3A-D are schematic cross-sectional views of a stack processed according to an embodiment of the invention.
  • FIG. 4 is a more detailed flow chart of the step of depositing a layer over sidewalls of photoresist features to reduce CD.
  • FIG. 5 is a schematic view of a plasma processing chamber that may be used in practicing the invention.
  • FIG. 6 is a schematic cross-sectional view of a deposition layer where only a first deposition phase deposition is used for an entire deposition layer.
  • FIG. 7 is a schematic cross-sectional view of a deposition layer where only the second deposition phase deposition is used for an entire deposition layer.
  • FIG. 8 is a top view of a photoresist etch mask using 248 nm photoresist.
  • FIG. 9 is a top view of a deposition layer that has been deposited over the photoresist etch mask.
  • FIG. 10 is a cross-sectional view of a feature in a photoresist mask covered with a deposition layer.
  • FIGS. 11A-B illustrate a computer system, which is suitable for implementing a controller used in embodiments of the present invention.
  • FIG. 12 is a cross-sectional view of a feature in a photoresist mask formed using a 248 nm photoresist.
  • FIG. 13 is a cross-sectional view of the feature in the photoresist mask covered with a deposition layer.
  • FIG. 14 is a cross-sectional view of a feature etched into the layer below the photoresist through the feature in the deposition layer, after the deposition layer and photoresist layer has been stripped.
  • FIGS. 15A-C are cross-sectional views of photoresist masks for producing conductive lines, according to the prior art.
  • FIGS. 16A-E are schematic cross-sectional views of a conductive layer processed according to an embodiment of the invention.
  • FIG. 17 is a flow chart of an etch step.
  • FIGS. 18A-D are schematic cross-sectional views of a conductive layer processed according to an embodiment of the invention.
  • FIG. 19 is a schematic illustration of a device that may be used for practicing the invention for etching conductive layers.
  • the invention provides features with small critical dimensions (CD). More specifically, the invention provides a features with CD's that are less than the CD of the photoresist pattern used to etch the feature.
  • FIG. 2 is a high level flow chart of a process that may be used in an embodiment of the invention.
  • a patterned photoresist mask is provided (step 204 ).
  • FIG. 3A is a schematic cross-sectional view of a layer to be etched 308 over a substrate 304 , with a patterned photoresist mask 312 with a feature 314 , over a ARL 310 , over the layer 308 to be etched forming a stack 300 .
  • the photoresist mask has a photoresist feature critical dimension (CD), which may be the widest part of the width 316 of the smallest possible feature.
  • CD photoresist feature critical dimension
  • a typical CD for the photoresist may be 230-250 nm, using conventional processes.
  • FIG. 3B is a schematic cross-sectional view of the patterned photoresist mask 312 with a layer 320 deposited over the sidewalls of the feature 314 .
  • the deposited layer 320 forms a deposited layer feature 322 within the photoresist feature 314 , where the deposited layer feature 322 has a reduced CD 324 that is less than the CD 316 of the photoresist feature 314 .
  • the reduced CD 324 of the deposited layer feature 322 is at least 30% less than the CD 316 of the photoresist feature (i.e. not greater than 70% of the CD 316 of the photoresist feature).
  • the reduced CD 324 of the deposited layer feature 322 is at least 40% less than the CD 316 of the photoresist feature (i.e. not greater than 60% of the CD 316 of the photoresist feature). Most preferably, the reduced CD 324 of the deposited layer feature 322 is at least 50% less than the CD 316 of the photoresist feature (i.e. not greater than 50% of the CD 316 of the photoresist feature). For example, the deposited layer feature may have a reduced CD 316 that is 99% less than the CD 316 of the photoresist feature. It is also desirable that the deposited layer feature 322 has substantially vertical sidewalls 328 , which are highly conformal as shown.
  • a substantially vertical sidewall is a sidewall that from bottom to top makes an angle of between 88° to 90° with the bottom of the feature.
  • Conformal sidewalls have a deposition layer that has substantially the same thickness from the top to the bottom of the feature.
  • Non-conformal sidewalls may form a faceting or a bread-loafing formation, which provide non-substantially vertical sidewalls. Tapered sidewalls (from the faceting formation) or bread-loafing sidewalls may increase the deposited layer CD and provide a poor etching mask.
  • the deposition on the side wall is thicker than the deposition on the bottom of the photoresist feature. More preferably, no layer is deposited over the bottom of the photoresist feature.
  • FIG. 3C shows a feature 332 etched into the layer to be etched 308 .
  • the feature 332 etched in the layer to be etched 308 has a CD 336 , which is equal to the CD 324 of the deposited layer feature 322 .
  • the CD 336 of the feature 332 may be slightly larger than the CD 324 of the feature 322 of the deposited layer 320 .
  • the CD 324 of the deposited layer feature 322 is significantly smaller than the CD 316 of the photoresist 312
  • the CD 336 of the feature 332 in the layer to be etched 308 is still smaller than the CD 316 of the photoresist 312 .
  • the CD 324 of the deposited layer was only slightly smaller than the CD of the photoresist, or if the deposited layer was faceted or bread loafed, then the CD of the layer to be etched might not be smaller than the CD of the photoresist.
  • a faceted or bread-loafing deposited layer may cause a faceted or irregularly shaped feature in the layer to be etched. It is also desirable to minimize deposition on the bottom of the photoresist feature.
  • the CD 336 of the feature 332 etched in the layer to be etched 308 is at least 30% less than the CD 316 of the photoresist feature. More preferably, the CD 336 of the feature 332 etched in the layer to be etched 308 is at least 40% less than the CD 316 of the photoresist feature. Most preferably, the CD 336 of the feature 332 etched in the layer to be etched 308 is at least 50% less than the CD 316 of the photoresist feature.
  • the photoresist and deposited layer may then be stripped (step 216 ). This may be done as a single step or two separate steps with a separate deposited layer removal step and photoresist strip step. Ashing may be used for the stripping process.
  • FIG. 3D shows the stack 300 after the deposited layer and photoresist mask have been removed. Additional formation steps may be performed (step 220 ). For example, a contact 340 may then be formed in the feature. To provide a dual damascene structure, a trench may be etched before the contact is formed. Additional processes may be performed after the contact is formed.
  • FIG. 4 is a more detailed flow chart of the deposit layer over sidewalls of photoresist features to reduce CD step 208 .
  • the deposit layer over sidewalls of photoresist features to reduce CD step 208 comprises a first deposition phase 404 and a second deposition phase 408 .
  • FIG. 5 is a schematic view of a plasma processing chamber 500 that may be used for depositing the layer, etching, and stripping.
  • the plasma processing chamber 500 comprises confinement rings 502 , an upper electrode 504 , a lower electrode 508 , a gas source 510 , and an exhaust pump 520 .
  • the gas source 510 comprises a first deposition gas source 512 and a second deposition gas source 516 .
  • the gas source 510 may comprise additional gas sources, such as an etching gas source 518 .
  • the substrate 304 is positioned upon the lower electrode 508 .
  • the lower electrode 508 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding the substrate 304 .
  • a suitable substrate chucking mechanism e.g., electrostatic, mechanical clamping, or the like
  • the reactor top 528 incorporates the upper electrode 504 disposed immediately opposite the lower electrode 508 .
  • the upper electrode 504 , lower electrode 508 , and confinement rings 502 define the confined plasma volume. Gas is supplied to the confined plasma volume by the gas source 510 and is exhausted from the confined plasma volume through the confinement rings 502 and an exhaust port by the exhaust pump 520 .
  • a first RF source 544 is electrically connected to the upper electrode 504 .
  • a second RF source 548 is electrically connected to the lower electrode 508 .
  • Chamber walls 552 surround the confinement rings 502 , the upper electrode 504 , and the lower electrode 508 . Both the first RF source 544 and the second RF source 548 may comprise a 27 MHz power source and a 2 MHz power source.
  • both the 27 MHz and 2 MHz power sources make up the second RF power source 548 connected to the lower electrode, and the upper electrode is grounded.
  • a controller 535 is controllably connected to the RF sources 544 , 548 , exhaust pump 520 , and the gas source 510 .
  • the Exelan HPT would be used when the layer to be etched 308 is a dielectric layer, such as silicon oxide or organo silicate glass.
  • FIGS. 11A and 11B illustrate a computer system 1300 , which is suitable for implementing a controller 535 used in embodiments of the present invention.
  • FIG. 11 A shows one possible physical form of the computer system.
  • the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer.
  • Computer system 1300 includes a monitor 1302 , a display 1304 , a housing 1306 , a disk drive 1308 , a keyboard 1310 , and a mouse 1312 .
  • Disk 1314 is a computer-readable medium used to transfer data to and from computer system 1300 .
  • FIG. 11B is an example of a block diagram for computer system 1300 . Attached to system bus 1320 is a wide variety of subsystems.
  • Processor(s) 1322 also referred to as central processing units, or CPUs
  • Memory 1324 includes random access memory (RAM) and read-only memory (ROM).
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • a fixed disk 1326 is also coupled bi-directionally to CPU 1322 ; it provides additional data storage capacity and may also include any of the computer-readable media described below.
  • Fixed disk 1326 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 1326 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 1324 .
  • Removable disk 1314 may take the form of any of the computer-readable media described below.
  • CPU 1322 is also coupled to a variety of input/output devices, such as display 1304 , keyboard 1310 , mouse 1312 and speakers 1330 .
  • an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers.
  • CPU 1322 optionally may be coupled to another computer or telecommunications network using network interface 1340 . With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps.
  • method embodiments of the present invention may execute solely upon CPU 1322 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
  • embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations.
  • the media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts.
  • Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices.
  • ASICs application-specific integrated circuits
  • PLDs programmable logic devices
  • Computer code examples include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter.
  • Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • One example of a first deposition phase 404 may be CH 3 F deposition using a chemistry of 250 sccm (standard cubic centimeters per minute) Ar and 50 sccm CH 3 F, at a pressure of 60 mTorr, established by setting a Vat valve of the turbo pump to 1000.
  • the 27 MHz RF source provides 500 Watts of power, while the 2 MHz RF source provides 100 Watts of power.
  • the chamber temperature is maintained at 20° C.
  • a helium cooling pressure, to cool the substrate is 15 Torr.
  • One example of a second deposition phase 408 may be C 4 F 6 /O 2 /CO deposition using a chemistry of 270 sccm Ar, 12 sccm C 4 F 6 , 8 sccm O 2 , and 100 sccm CO, at a pressure of 50 mTorr, established by setting a Vat valve of the turbo pump to 1000.
  • the 27 MHz RF source provides 1500 Watts of power, while the 2 MHz RF source provides 480 Watts of power.
  • the chamber temperature is maintained at 20° C.
  • a helium cooling pressure, to cool the substrate is 15 Torr.
  • FIG. 6 is a schematic cross-sectional view of a deposition layer 620 where only the first deposition phase deposition is used for an entire deposition layer 620 .
  • the deposition layer 620 is formed over a photoresist mask 612 , over an ARL 610 , over a layer to be etched 608 , over a substrate 604 .
  • the photoresist mask 612 forms a feature 614 .
  • the first deposition forms a “bread-loafing” deposition layer.
  • the bread-loafing deposition layer is characterized by a thicker sidewall deposition 632 near the top of the feature and a thinner (or no) sidewall deposition 636 near the bottom of the feature.
  • this deposition provides a non-conformal sidewall deposition.
  • Such a deposition does not provide the desired substantially vertical sidewalls.
  • the bread-loafing eventually pinches off the top, which then cannot be used as a masking layer, since the contact will be closed off and no etching can be done.
  • FIG. 7 is a schematic cross-sectional view of a deposition layer 720 where only the second deposition phase deposition is used for an entire deposition layer 720 .
  • the deposition layer 720 is formed over a photoresist mask 712 , over an ARL 710 , over a layer to be etched 708 , over a substrate 704 .
  • the photoresist mask 712 forms a feature 714 .
  • the first deposition forms a “faceting” deposition layer.
  • the faceting deposition layer is characterized by a thinner (or no) sidewall deposition 732 near the top of the feature and a thicker sidewall deposition 736 near the bottom of the feature. Therefore, this deposition also provides a non-conformal sidewall deposition.
  • faceting 740 of the photoresist mask 712 may result. Such a deposition does not provide the desired substantially vertical sidewalls.
  • the faceting of the corners of the photoresist mask may cause lower etch selectivity and fast mask erosion.
  • the faceting of the mask will also result in faceting of the etched profile. In almost all cases, once the mask is faceted, then the final etched profile is also faceted, since the mask vertical profile generally translates into the etched material.
  • the first deposition phase 404 and the second deposition phase 408 in the example above are alternated for 6 cycles, where the first deposition phase 404 is for 2 seconds and the second deposition phase 408 is for 25 seconds.
  • Such a deposition would have a first deposition of the first deposition phase 404 , and then a second deposition of the second deposition phase 408 , then a third deposition of the first deposition phase 404 , then a fourth deposition of the second deposition phase 408 , which is repeated until there is a twelfth deposition.
  • FIG. 8 is a top view of a photoresist etch mask 804 using 248 nm photoresist.
  • the photoresist etch mask 804 has a plurality of photoresist features 808 .
  • the photoresist features 808 have a CD 812 of 206 nm.
  • the CD 812 is the diameter of the photoresist feature.
  • FIG. 9 is a top view of a deposition layer 904 that has been deposited over the photoresist etch mask using the above described example.
  • the deposition layer 904 has plurality of features 908 , located within the plurality of photoresist features.
  • the features 908 have a CD 912 that was measured, in this example, to be 115 nm, which is 56% of the CD 812 of the photoresist feature, so that the CD 912 of the deposited layer feature is 44% less than the CD 812 of the photoresist feature.
  • the CD is the diameter of the feature.
  • FIG. 10 is a cross-sectional view of a feature 1002 in a photoresist mask 1004 covered with a deposition layer 1008 .
  • the feature 1002 has substantially vertical sidewalls 1010 , so that the width of the feature is substantially the same along the length of the feature 1002 .
  • the layer on the sidewalls is highly conformal, so that the layer has a uniform thickness from the top to the bottom of the feature.
  • FIG. 12 is a cross-sectional view of a feature 1202 in a photoresist mask 1204 formed using a 248 nm photoresist.
  • the photoresist feature has a CD of 250 nm.
  • a two phase deposition is used to provide a deposition layer over the sidewalls of the photoresist mask 1204 .
  • the two phase deposition may use a different recipe than the previous example.
  • FIG. 13 is a cross-sectional view of the feature 1202 in the photoresist mask 1204 covered with a deposition layer 1208 .
  • the CD of the feature in the deposition layer 1208 is 140 nm.
  • the feature in the deposition layer 1208 is used to etch a layer below the photoresist.
  • FIG. 12 is a cross-sectional view of a feature 1202 in a photoresist mask 1204 formed using a 248 nm photoresist.
  • the photoresist feature has a CD of 250 nm.
  • FIG. 14 is a cross-sectional view of a feature 1404 etched into the layer 1408 below the photoresist through the feature in the deposition layer, after the deposition layer and photoresist layer has been stripped.
  • the CD of the feature 1404 is 140 nm.
  • the ability to control the ratio of etch times of the first deposition phase 404 and the second deposition phase 408 provide another control variable.
  • a proper ratio will provide substantially vertical and conformal sidewalls as illustrated in FIG. 3B .
  • Such a deposition layer is also able to protect the photoresist mask to increase etch selectivity.
  • Other control parameters provided by the invention that can be used to control the deposition profiles are: number of cycles, total deposition time, depostion 1 /deposition 2 time ratio, gas chemistry ratio (such as CH 3 F/O 2 ratio or C 4 F 6 /O 2 ratio).
  • Other gas chemistries such as CH 2 F 2 instead of CH 3 F or C 4 F 8 instead of C 4 F 6 , etc. may be used.
  • the ability to form features with smaller critical dimensions, without changing the photoresist, allows for smaller features without purchasing new lithography equipment.
  • the invention provides small CD's for the newer generations of photoresist.
  • three or more different gas chemistries may be used providing three or more different deposition phases.
  • FIG. 15 A is a cross-sectional view of a photoresist mask for producing conductive lines, when spacing between the lines is too close according to the prior art.
  • a barrier layer 1506 may be placed over a substrate 1504 , such as a wafer.
  • a conductive layer 1508 such as a metal layer or a polysilicon layer is formed.
  • an antireflective layer (ARL) 1510 such as a DARC layer is formed.
  • a photoresist mask 1512 is formed over the ARL 1510 .
  • the photoresist mask 1512 forms line masks 1514 with photoresist residue 1518 formed in spaces between the line masks 1514 .
  • the presence of the photoresist residue 1518 is caused by providing too small of a space between the line masks 1514 , since it is more difficult to remove residue from a small space. This may limit the density of the conductive lines that may be provided.
  • FIG. 15B is another cross-sectional view of a photoresist mask 1512 b for producing conductive lines, used in the prior art to attempt to overcome the problem created by too small spacing.
  • the line masks 1514 b in this example are made thinner to allow wider spaces 1520 to prevent resist residue and maintain the same pitch or density as the previous example.
  • thinner line masks 1514 b result in thinner lines.
  • the thinner lines may result in less reliability and poorer performance.
  • the thinner lines may result in smaller transistor areas, which may cause a short channel effect and other performance problems, such as short channel effect and high wordline resistance (causing a slower speed)).
  • FIG. 15C is another cross-sectional view of a photoresist mask 1512 c for producing conductive lines, used in the prior art to attempt to overcome the problem created by too small spacing.
  • the line masks 1514 c have the same width as the spaces 1522 . Since in this example, the spaces 1522 are made wider to prevent resist residue, the line masks 1514 c are also wider. As a result, the pitch is increased and the density of the lines is decreased.
  • FIG. 16A is a schematic cross-sectional view of a conductive layer to be etched 1608 over a barrier layer 1606 over a substrate 1604 , with a patterned photoresist mask 1612 forming mask lines 1614 , with mask spaces 1620 therebetween, over an ARL 1610 , over the conductive layer 1608 to be etched.
  • the photoresist mask has a space critical dimension (CD), which is the width 1616 of the space, and a line CD, which is the width 1626 of the mask lines 1614 .
  • CD space critical dimension
  • a typical CD for the space width CD is 0.16 um.
  • the width of the space in the photoresist is made wide enough to provide the formation of the space in the photoresist without photoresist residue in the space.
  • the width of the photoresist mask lines is thin enough to provide an increase density of conductive lines.
  • FIG. 16B is a schematic cross-sectional view of the patterned photoresist mask 1612 with a layer 1630 deposited over the sidewalls of the mask 1612 .
  • the deposited layer 1630 forms a deposited layer space 1632 within the mask space, where the deposited layer space 1632 has a reduced width (CD) 1634 that is less than the width 1616 of the mask space.
  • the deposited layer 1630 forms deposited layer mask lines with a width 1638 that is greater than the width 1626 of the mask lines 1614 .
  • the reduced width 1634 of the deposited layer space 1632 is at least 20% less than the width 1616 of the mask space 1620 (i.e. not greater than 80% of the width 1616 of the mask space 1620 ). More preferably, the reduced width 1634 of the deposited layer space 1632 is at least 50% less than the width 1616 of the mask space 1620 (i.e. not greater than 50% of the width 1616 of the mask space 1620 ). Most preferably, the reduced width 1616 of the deposited layer space 1632 is at least 70% less than the width 1634 of the mask space 1620 (i.e. not greater than 30% of the width 1616 of the mask space 1620 ).
  • the deposited layer forms substantially vertical sidewalls 1642 , which are highly conformal as shown.
  • An example of a substantially vertical sidewall is a sidewall that from bottom to top makes an angle of between 88° to 90° with the bottom of the space.
  • Conformal sidewalls have a deposition layer that has substantially the same thickness from the top to the bottom of the space. This process for a conductive layer etch is able to provide a conformal layer in a single deposition.
  • the deposition layer is conformal in all directions (isotropic). This results in the layer over the ARL 1610 to be about as thick as the layer on the sidewalls of the mask
  • the conductive layer 1608 may be etched through the deposited layer 1630 (step 212 ).
  • the etching step comprises at least two separate etches, as illustrated in FIG. 17 .
  • An anisotropic deposition layer etch is used to etch the deposited layer 1630 (step 1704 ).
  • FIG. 16C is a cross-sectional view of the substrate after the deposited layer is anisotropically etched. The remaining deposited layer forms sidewalls 1642 around the mask lines 1614 .
  • An anisotropic conductive layer etch is used to etch into the conductive layer 1608 (step 1708 ).
  • FIG. 16D is a cross-sectional view of the substrate after the conductive layer is etched to form conductive lines 1646 with spaces 1650 formed therebetween.
  • the conductive lines 1646 have a width 1648 and the spaces between the conductive lines have a width 1652 , as shown in FIG. 16D .
  • the width 1652 of the space 1650 between the conductive lines is at least 20% less than the width 1616 of the space 1620 between the mask lines. More preferably, the width 1652 of the space 1650 between the conductive lines is at least 50% less than the width 1616 of the space 1620 between the mask lines. Most preferably, the width 1652 of the space 1650 between the conductive lines is at least 70% less than the width 1616 of the space 1620 between the mask lines.
  • the photoresist and deposited layer may then be stripped (step 216 ). This may be done as a single step or two separate steps with a separate deposited layer removal step and photoresist strip step. Ashing may be used for the stripping process.
  • FIG. 16E shows the stack 1600 after the deposited layer and photoresist mask have been removed. Additional processes may be performed (step 220 ). For example, the conductive lines may be formed to be part of a memory device.
  • the resulting structure provides a higher density device with smaller spacing and wider conductive lines.
  • the widths 1648 of the conductive lines 1646 are about equal to the widths 1652 of the spaces 1650 .
  • Other conductive line width to space width ratios may be provided by this embodiment.
  • the ratio of the width of the mask line to the width of the space between mask lines is less than 1:1, where the ratios of the width of the conductive line to the space between the conductive line is not less than 1:1, and even more preferably greater than 1:1.
  • Such ratios may be useful in providing higher density memory devices, where the conductive layer is a polysilicon.
  • the mask lines have a width that is about equal to the width of the space.
  • FIG. 18A is a cross-sectional view of a conductive layer to be etched 1808 over a barrier layer 1806 over a substrate 1804 , with a patterned photoresist mask 1812 forming mask lines 1814 , with mask spaces 1820 therebetween, over an ARL 1810 , over the conductive layer 1808 to be etched.
  • the photoresist mask has a space critical dimension (CD), which is the width 1816 of the space, and a line CD, which is the width 1826 of the mask lines 1814 .
  • CD space critical dimension
  • the width of the space in the photoresist is made wide enough to provide the formation of the space in the photoresist without photoresist residue in the space.
  • FIG. 18B is a schematic cross-sectional view of the patterned photoresist mask 1812 with a layer 1830 deposited over the sidewalls of the mask 1812 .
  • the deposited layer 1830 forms a deposited layer space 1832 within the mask space, where the deposited layer space 1832 has a reduced width (CD) 1834 that is less than the width 1816 of the mask space.
  • the deposited layer 1830 forms deposited layer mask lines with a width 1838 that is greater than the width 1826 of the mask lines 1814 .
  • FIG. 18C is a cross-sectional view of the substrate after the conductive layer is etched to form conductive lines 1846 with spaces 1850 formed therebetween.
  • the conductive lines 1846 have a width 1848 and the spaces between the conductive lines have a width 1852 , as shown in FIG. 18C .
  • the photoresist and deposited layer may then be stripped (step 216 ).
  • FIG. 18D shows the stack 1800 after the deposited layer and photoresist mask have been removed. Additional processes may be performed (step 220 ). For example, the metal lines may be used for electrically connecting various devices.
  • the resulting structure provides wider conductive wires that are more closely spaced.
  • the conductive metal lines may have the same density as done previously, providing wider conductive lines with smaller spacing improves the performance of the conductive lines, such as by providing a reduced resistance.
  • the inventions may provide a conductive line width that is more than 100% greater than the line width of the original mask. More preferably, the conductive line width is more than 150% greater than the line width of the original mask.
  • the deposition steps are in order and are not simultaneous.
  • a device that may be used for both depositing and etching the deposition layer and the conductive layer is the 2300 VersysTM made by LAM Research CorporationTM of Fremont, Calif.
  • FIG. 19 is a schematic illustration of such a device 1900 used for both depositing and etching the deposition layer.
  • the plasma processing chamber 1900 comprises an inductive antenna (or coil) 1902 , a gas distribution plate (GDP) 1904 , a substrate support 1908 , a gas source 1910 , and an exhaust pump 1920 .
  • the gas source 1910 is in fluid connection with the gas distribution plate 1904 and comprises a deposition gas source 1912 and an etch gas source 1916 .
  • the gas source 1910 may comprise additional gas sources, such as a second etching or deposition gas source.
  • the substrate 1604 is positioned upon the substrate support 1908 .
  • the substrate support 1908 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding the substrate 1604 .
  • the reactor top 1928 incorporates a quartz dielectric window 1976 , which allows the transmission of energy from the antenna 1902 into the chamber.
  • the dielectric window 1976 , the substrate support 1908 , and anodized aluminum chamber walls 1952 define the confined plasma volume. Gas is supplied to the confined plasma volume by the gas source 1910 and is exhausted from the confined plasma volume through an exhaust port by the exhaust pump 1920 .
  • a first RF source 1944 is electrically connected to the antenna.
  • a second RF source 1948 is electrically connected to the substrate support 1908 . In this example, the first RF source 1944 provides a signal with a 13.56 MHz frequency, and the second RF source 1948 provides a signal with a 13.56 MHz frequency.
  • a pressure of 10 mTorr is provided to the chamber.
  • the first RF source 1944 provides 1000 Watts (TCP power) by the antenna 1902 through the dielectric window 1976 into the plasma volume 1940 .
  • No bias power is provided to the substrate holder 1908 .
  • the deposition gas source 1912 provides a flow of 50 sccm SiCl 4 and 100 sccm O 2 for a 15 second deposition. This forms a 1,000-2,000 ⁇ thick layer of SiCl x O y .
  • Such a film may be an oxide film, which is strong enough to resist ething.
  • a pressure of 5 mTorr is provided to the chamber.
  • the first RF source 1994 provides 500 Watts by the antenna 1902 to the plasma volume 1940 .
  • a bias of ⁇ 175 volts is applied to the substrate support to accelerate positive ions to the substrate to facilitate etching.
  • the etch gas source 1916 provides 100 sccm of CF 4 .
  • the anisotropic etch of the conductive layer is accomplished using four etches a BT (breakthrough) etch, an ME 1 (main etch 1 ), ME 2 (main etch 2 ), and an OE (over etch).
  • a BT (breakthrough) etch For the BT a 5 mTorr pressure is provided. 500 Watts are provided through the antenna 1902 to the chamber 1900 .
  • a bias of ⁇ 175 volts is applied to the substrate support 1908 to accelerate ions to the substrate to facilitate etching.
  • the etch source provide 100 sccm of CF 4 for about 10 seconds.
  • a 10 mTorr pressure is provided for the ME 1 .
  • 800 Watts are provided through the antenna 1902 to the chamber 1900 .
  • a bias of ⁇ 90 volts is applied to the substrate support 1908 to accelerate ions to the substrate to facilitate etching.
  • the etch source provide 100 sccm of Cl 2 , 100 sccm HBr, and 5 sccm O 2 for about 45 seconds.
  • a 20 mTorr pressure is provided for the ME 2 .
  • 400 Watts are provided through the antenna 1902 to the chamber 1900 .
  • a bias of ⁇ 170 volts is applied to the substrate support 1908 to accelerate ions to the substrate to facilitate etching.
  • the etch source provide 20 sccm of Cl 2 , 360 sccm HBr, and 5 sccm O 2 .
  • An endpoint detection is used to determine when to stop this etch.
  • a 60 mTorr pressure is provided for the OE .
  • 500 Watts are provided through the antenna 1902 to the chamber 1900 .
  • a bias of ⁇ 210 volts is applied to the substrate support 1908 to accelerate ions to the substrate to facilitate etching.
  • the etch source provide 267 sccm of He, 133 sccm HBr, and 2 sccm O 2 for about 80 seconds.
  • a hardmask for the mask.
  • a photoresist mask may be used to open a hardmask.
  • the deposition layer may be placed over the hardmask to reduce the spacing.
  • the deposition layer may be placed over the photoresist before etching the hardmask.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • ing And Chemical Polishing (AREA)
US10/648,953 2003-08-26 2003-08-26 Reduction of feature critical dimensions Expired - Lifetime US7250371B2 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US10/648,953 US7250371B2 (en) 2003-08-26 2003-08-26 Reduction of feature critical dimensions
SG200809458-3A SG149047A1 (en) 2003-08-26 2004-07-29 Reduction of feature critical dimensions
CN2004800313250A CN1922722B (zh) 2003-08-26 2004-07-29 减少图案特征的临界尺寸
PCT/US2004/024853 WO2005024904A2 (en) 2003-08-26 2004-07-29 Reduction of feature critical dimensions
KR1020067004099A KR101083622B1 (ko) 2003-08-26 2004-07-29 피쳐 임계 치수의 감소
JP2006524669A JP4886513B2 (ja) 2003-08-26 2004-07-29 フィーチャ微小寸法の低減
TW093123824A TWI357094B (en) 2003-08-26 2004-08-09 Reduction of feature critical dimensions
US11/821,422 US7541291B2 (en) 2003-08-26 2007-06-22 Reduction of feature critical dimensions
JP2011228441A JP2012019242A (ja) 2003-08-26 2011-10-18 フィーチャ微小寸法の低減

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/648,953 US7250371B2 (en) 2003-08-26 2003-08-26 Reduction of feature critical dimensions

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/821,422 Continuation US7541291B2 (en) 2003-08-26 2007-06-22 Reduction of feature critical dimensions

Publications (2)

Publication Number Publication Date
US20050048785A1 US20050048785A1 (en) 2005-03-03
US7250371B2 true US7250371B2 (en) 2007-07-31

Family

ID=34216827

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/648,953 Expired - Lifetime US7250371B2 (en) 2003-08-26 2003-08-26 Reduction of feature critical dimensions
US11/821,422 Expired - Lifetime US7541291B2 (en) 2003-08-26 2007-06-22 Reduction of feature critical dimensions

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/821,422 Expired - Lifetime US7541291B2 (en) 2003-08-26 2007-06-22 Reduction of feature critical dimensions

Country Status (7)

Country Link
US (2) US7250371B2 (zh)
JP (2) JP4886513B2 (zh)
KR (1) KR101083622B1 (zh)
CN (1) CN1922722B (zh)
SG (1) SG149047A1 (zh)
TW (1) TWI357094B (zh)
WO (1) WO2005024904A2 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060266478A1 (en) * 2005-05-31 2006-11-30 Lam Research Corporation Critical dimension reduction and roughness control
US20070075038A1 (en) * 2005-10-05 2007-04-05 Lam Research Corporation Vertical profile fixing
US20080308526A1 (en) * 2007-06-18 2008-12-18 Lam Research Corporation Minimization of mask undercut on deep silicon etch
US20090121324A1 (en) * 2005-03-08 2009-05-14 Lam Research Corporation Etch with striation control
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US20100068885A1 (en) * 2008-09-18 2010-03-18 Lam Research Corporation Sidewall forming processes
US20110244263A1 (en) * 2010-04-02 2011-10-06 Peicheng Ku Patterning using electrolysis
US20130000846A1 (en) * 2007-12-21 2013-01-03 Lam Research Corporation Photoresist double patterning apparatus
US20130020026A1 (en) * 2011-02-17 2013-01-24 Lam Research Corporation Wiggling control for pseudo-hardmask
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
US9543203B1 (en) 2015-07-02 2017-01-10 United Microelectronics Corp. Method of fabricating a semiconductor structure with a self-aligned contact
US10415080B2 (en) 2016-11-21 2019-09-17 Nanostring Technologies, Inc. Chemical compositions and methods of using same
WO2019222178A1 (en) 2018-05-14 2019-11-21 Nanostring Technologies, Inc. Chemical compositions and methods of using same

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7539969B2 (en) * 2005-05-10 2009-05-26 Lam Research Corporation Computer readable mask shrink control processor
US7465525B2 (en) * 2005-05-10 2008-12-16 Lam Research Corporation Reticle alignment and overlay for multiple reticle process
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7427458B2 (en) * 2005-06-30 2008-09-23 Lam Research Corporation System and method for critical dimension reduction and pitch reduction
US8529728B2 (en) * 2005-06-30 2013-09-10 Lam Research Corporation System and method for critical dimension reduction and pitch reduction
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
KR100842763B1 (ko) 2007-03-19 2008-07-01 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7985681B2 (en) * 2007-06-22 2011-07-26 Micron Technology, Inc. Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
JP5248902B2 (ja) 2007-10-11 2013-07-31 東京エレクトロン株式会社 基板処理方法
WO2009085597A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Cd bias loading control with arc layer open
WO2009085564A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Etch with high etch rate resist mask
JP2009295790A (ja) * 2008-06-05 2009-12-17 Toshiba Corp パターン形成方法
US8748323B2 (en) * 2008-07-07 2014-06-10 Macronix International Co., Ltd. Patterning method
JP2010041028A (ja) * 2008-07-11 2010-02-18 Tokyo Electron Ltd 基板処理方法
CN101794729B (zh) * 2009-02-02 2012-12-12 和舰科技(苏州)有限公司 一种通过蚀刻形成半导体结构中的通孔的方法
US8304175B2 (en) * 2009-03-25 2012-11-06 Macronix International Co., Ltd. Patterning method
CN101996937A (zh) * 2009-08-17 2011-03-30 上海宏力半导体制造有限公司 接触孔形成方法
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
JP5634313B2 (ja) 2011-03-29 2014-12-03 富士フイルム株式会社 レジストパターン形成方法およびそれを用いたパターン化基板の製造方法
KR20120120729A (ko) 2011-04-25 2012-11-02 에스케이하이닉스 주식회사 반도체장치의 금속패턴 제조 방법
US8450212B2 (en) 2011-06-28 2013-05-28 International Business Machines Corporation Method of reducing critical dimension process bias differences between narrow and wide damascene wires
JP6050944B2 (ja) * 2012-04-05 2016-12-21 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
US9252183B2 (en) * 2013-01-16 2016-02-02 Canon Kabushiki Kaisha Solid state image pickup apparatus and method for manufacturing the same
CN104465386A (zh) * 2013-09-24 2015-03-25 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
GB201322931D0 (en) 2013-12-23 2014-02-12 Spts Technologies Ltd Method of etching
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9543165B2 (en) * 2015-02-13 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
CN106154743B (zh) * 2015-03-24 2019-11-01 中芯国际集成电路制造(上海)有限公司 掩模及其形成方法
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US20190035673A1 (en) * 2016-03-31 2019-01-31 Intel Corporation Flowable dielectrics from vapor phase precursors
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
CN108470678A (zh) * 2018-03-29 2018-08-31 德淮半导体有限公司 半导体结构及其形成方法
JP7077108B2 (ja) * 2018-04-05 2022-05-30 東京エレクトロン株式会社 被加工物の処理方法
US10453684B1 (en) * 2018-05-09 2019-10-22 Applied Materials, Inc. Method for patterning a material layer with desired dimensions

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151034A (en) * 1976-12-22 1979-04-24 Tokyo Shibaura Electric Co., Ltd. Continuous gas plasma etching apparatus
US4871630A (en) * 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5296410A (en) * 1992-12-16 1994-03-22 Samsung Electronics Co., Ltd. Method for separating fine patterns of a semiconductor device
US5501893A (en) 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6261962B1 (en) 1996-08-01 2001-07-17 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US6368974B1 (en) 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6740977B2 (en) * 2002-04-24 2004-05-25 Samsung Electronics Co., Ltd. Insulating layers in semiconductor devices having a multi-layer nanolaminate structure of SiNx thin film and BN thin film and methods for forming the same
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
US6780708B1 (en) * 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US20050164479A1 (en) 2004-01-27 2005-07-28 Taiwan Semiconductor Manufacturing Co. Zirconium oxide and hafnium oxide etching using halogen containing chemicals

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH04282835A (ja) * 1991-03-11 1992-10-07 Fujitsu Ltd 半導体装置の製造方法
JP2689031B2 (ja) * 1991-04-01 1997-12-10 三菱電機株式会社 半導体記憶装置およびその製造方法
JPH0637072A (ja) * 1992-07-15 1994-02-10 Kawasaki Steel Corp テーパエッチング方法
JP3685832B2 (ja) * 1995-02-28 2005-08-24 ソニー株式会社 半導体装置の製造方法
US6218288B1 (en) * 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6416933B1 (en) * 1999-04-01 2002-07-09 Advanced Micro Devices, Inc. Method to produce small space pattern using plasma polymerization layer
JP3589201B2 (ja) * 2001-07-02 2004-11-17 Tdk株式会社 薄膜パターニング方法、薄膜デバイスの製造方法及び薄膜磁気ヘッドの製造方法
US20060134917A1 (en) 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7273815B2 (en) 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151034A (en) * 1976-12-22 1979-04-24 Tokyo Shibaura Electric Co., Ltd. Continuous gas plasma etching apparatus
US4871630A (en) * 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5501893A (en) 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US5296410A (en) * 1992-12-16 1994-03-22 Samsung Electronics Co., Ltd. Method for separating fine patterns of a semiconductor device
US6261962B1 (en) 1996-08-01 2001-07-17 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6368974B1 (en) 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
US6740977B2 (en) * 2002-04-24 2004-05-25 Samsung Electronics Co., Ltd. Insulating layers in semiconductor devices having a multi-layer nanolaminate structure of SiNx thin film and BN thin film and methods for forming the same
US6780708B1 (en) * 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US20050164479A1 (en) 2004-01-27 2005-07-28 Taiwan Semiconductor Manufacturing Co. Zirconium oxide and hafnium oxide etching using halogen containing chemicals

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Eric Eisenbraun et al., "Atomic Layer Deposition (ALD) of Tantalum-based Materials for Zero Thickness Copper Barrier Applications", Proceedings of IEEE (2001), pp. 207-209.
International Search Report, dated Mar. 20, 2006.
U.S. Appl. No. 11/016,455, entitled "Reduction of Etch Mask Feature Critical Dimensions", by inventors Huang et al., filed Dec. 16, 2004.
U.S. Appl. No. 11/208,098, entitled "Etch Features with Reduced Line Edge Roughness", by inventors Sadjadi et al., filed Aug. 18, 2005.

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090121324A1 (en) * 2005-03-08 2009-05-14 Lam Research Corporation Etch with striation control
US8614149B2 (en) 2005-05-31 2013-12-24 Lam Research Corporation Critical dimension reduction and roughness control
US20060266478A1 (en) * 2005-05-31 2006-11-30 Lam Research Corporation Critical dimension reduction and roughness control
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US8268118B2 (en) 2005-05-31 2012-09-18 Lam Research Corporation Critical dimension reduction and roughness control
US20070075038A1 (en) * 2005-10-05 2007-04-05 Lam Research Corporation Vertical profile fixing
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US8262920B2 (en) 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
US20080308526A1 (en) * 2007-06-18 2008-12-18 Lam Research Corporation Minimization of mask undercut on deep silicon etch
US20130000846A1 (en) * 2007-12-21 2013-01-03 Lam Research Corporation Photoresist double patterning apparatus
US8911587B2 (en) * 2007-12-21 2014-12-16 Lam Research Corporation Photoresist double patterning apparatus
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US7772122B2 (en) 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
US20100068885A1 (en) * 2008-09-18 2010-03-18 Lam Research Corporation Sidewall forming processes
US20110244263A1 (en) * 2010-04-02 2011-10-06 Peicheng Ku Patterning using electrolysis
US20130020026A1 (en) * 2011-02-17 2013-01-24 Lam Research Corporation Wiggling control for pseudo-hardmask
US8470126B2 (en) * 2011-02-17 2013-06-25 Lam Research Corporation Wiggling control for pseudo-hardmask
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
US9543203B1 (en) 2015-07-02 2017-01-10 United Microelectronics Corp. Method of fabricating a semiconductor structure with a self-aligned contact
US10415080B2 (en) 2016-11-21 2019-09-17 Nanostring Technologies, Inc. Chemical compositions and methods of using same
US11279969B2 (en) 2016-11-21 2022-03-22 Nanostring Technologies, Inc. Chemical compositions and methods of using same
US11821026B2 (en) 2016-11-21 2023-11-21 Nanostring Technologies, Inc. Chemical compositions and methods of using same
WO2019222178A1 (en) 2018-05-14 2019-11-21 Nanostring Technologies, Inc. Chemical compositions and methods of using same
US11549139B2 (en) 2018-05-14 2023-01-10 Nanostring Technologies, Inc. Chemical compositions and methods of using same

Also Published As

Publication number Publication date
CN1922722B (zh) 2011-05-11
US20050048785A1 (en) 2005-03-03
JP4886513B2 (ja) 2012-02-29
JP2012019242A (ja) 2012-01-26
US7541291B2 (en) 2009-06-02
KR20060126909A (ko) 2006-12-11
SG149047A1 (en) 2009-01-29
US20070293050A1 (en) 2007-12-20
TWI357094B (en) 2012-01-21
CN1922722A (zh) 2007-02-28
JP2007503720A (ja) 2007-02-22
WO2005024904A3 (en) 2006-06-15
WO2005024904A2 (en) 2005-03-17
TW200509213A (en) 2005-03-01
KR101083622B1 (ko) 2011-11-16

Similar Documents

Publication Publication Date Title
US7250371B2 (en) Reduction of feature critical dimensions
US8172948B2 (en) De-fluoridation process
US8614149B2 (en) Critical dimension reduction and roughness control
US7271107B2 (en) Reduction of feature critical dimensions using multiple masks
US7241683B2 (en) Stabilized photoresist structure for etching process
US7081407B2 (en) Method of preventing damage to porous low-k materials during resist stripping
US7491647B2 (en) Etch with striation control
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
US8864931B2 (en) Mask trimming
WO2008157018A1 (en) Minimization of mask undercut on deep silicon etch
US8470715B2 (en) CD bias loading control with ARC layer open
US20060024968A1 (en) Method for stripping photoresist from etched wafer
US20060011578A1 (en) Low-k dielectric etch

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KANG, SEAN S.;LEE, SANGHEON;CHEN, WAN-LIN;AND OTHERS;REEL/FRAME:014439/0649;SIGNING DATES FROM 20030825 TO 20030826

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12