US20190259580A1 - Optical emission spectroscopy (oes) for remote plasma monitoring - Google Patents

Optical emission spectroscopy (oes) for remote plasma monitoring Download PDF

Info

Publication number
US20190259580A1
US20190259580A1 US16/400,615 US201916400615A US2019259580A1 US 20190259580 A1 US20190259580 A1 US 20190259580A1 US 201916400615 A US201916400615 A US 201916400615A US 2019259580 A1 US2019259580 A1 US 2019259580A1
Authority
US
United States
Prior art keywords
plasma
substrate processing
viewport
electrode
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US16/400,615
Inventor
Tae Seung Cho
Soonam Park
JungHoon Kim
Dmitry Lubomirsky
Shankar Venkataraman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US16/400,615 priority Critical patent/US20190259580A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PARK, SOONAM, VENKATARAMAN, SHANKAR, KIM, JUNGHOON, LUBOMIRSKY, DMITRY, CHO, TAE SEUNG
Publication of US20190259580A1 publication Critical patent/US20190259580A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/02Details
    • G01J3/0205Optical elements not provided otherwise, e.g. optical manifolds, diffusers, windows
    • G01J3/0218Optical elements not provided otherwise, e.g. optical manifolds, diffusers, windows using optical fibers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/443Emission spectrometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/71Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light thermally excited
    • G01N21/73Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light thermally excited using plasma burners or torches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/08Optical fibres; light guides
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/08Optical fibres; light guides
    • G01N2201/0833Fibre array at detector, resolving
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Definitions

  • Embodiments disclosed herein relate to remote plasma etch processes.
  • Integrated circuits are made possible by processes which produce intricately patterned material layers on substrate surfaces. Producing patterned material on a substrate requires controlled methods for removal of exposed material. Chemical etching is used for a variety of purposes including transferring a photoresist pattern into underlying layers, thinning layers or thinning lateral dimensions of features already present on the surface. Often it is desirable to have an etch process which etches one material faster than another helping e.g. a pattern transfer process proceed. Such an etch process is said to be selective of the first material relative to the second material. As a result of the diversity of materials, circuits and processes, etch processes have been developed with a selectivity towards a variety of materials.
  • Dry etch processes are often desirable for selectively removing material from semiconductor substrates. The desirability stems from the ability to gently remove material from miniature structures with minimal physical disturbance. Dry etch processes also allow the etch rate to be abruptly stopped by removing the gas phase reagents. Some dry-etch processes involve the exposure of a substrate to remote plasma by-products formed from one or more precursors. Remote excitation of etchants in a remote plasma system (instead of locally) may desirably increase selectivity.
  • Remotely excited etchants are formed in a remote plasma and flowed through a showerhead into a substrate processing region to etch the substrate.
  • Optical emission spectra are acquired from the substrate processing region just above the substrate. The optical emission spectra may be used to determine an endpoint of the etch, determine the etch rate or otherwise characterize the etch process in-situ.
  • a weak plasma may be present in the substrate processing region. The weak plasma may have lower intensity than the remote plasma. In cases where no bias plasma above the substrate is used in an etch process, a weak plasma may be ignited near a viewport disposed near the side of the substrate processing region to characterize the etchants.
  • Embodiments disclosed herein include methods of etching a substrate.
  • the methods include placing the substrate in a substrate processing region of a substrate processing chamber.
  • the methods further include flowing a fluorine-containing precursor into a remote plasma region separated from the substrate processing region by a showerhead.
  • the methods further include forming a remote plasma having a remote plasma power in the remote plasma region.
  • the methods further include producing plasma effluents from the fluorine-containing precursor in the remote plasma in the remote plasma region.
  • the methods further include flowing the plasma effluents through the showerhead into the substrate processing region.
  • the methods further include etching the substrate with the plasma effluents.
  • the methods further include forming a local plasma having a local plasma power in the substrate processing region.
  • the methods further include acquiring an optical emission spectrum through a viewport affixed to a side of the substrate processing chamber, the side forming a border of the substrate processing region.
  • the optical emission spectrum represents intensity as a function of optical wavelength and the optical emission spectrum is acquired with an optical emission spectrometer.
  • the remote plasma power of the remote plasma may exceed the local plasma power of the local plasma by a factor of ten or more.
  • the local plasma may be centered over the substrate.
  • the local plasma may be positioned above the substrate and outside an edge of the substrate near the viewport.
  • the local plasma may be formed using an electrode located on the outside of the viewport and the local plasma power may be applied between the electrode and the substrate processing chamber.
  • the local plasma may be formed using a first electrode and a second electrode, each positioned on the outside of the viewport and the local plasma power may be applied between the first electrode and the second electrode.
  • Embodiments disclosed herein include substrate processing chambers
  • the substrate processing chambers include a remote plasma region.
  • the remote plasma region is configured to receive a fluorine-containing precursor and form a remote plasma from the fluorine-containing precursor.
  • the substrate processing chambers further include a remote plasma power supply configured to apply a remote plasma power to the remote plasma region and configured to form the remote plasma.
  • the substrate processing chambers further include a substrate processing region.
  • the substrate processing chambers further include a showerhead positioned between the remote plasma region and the substrate processing region.
  • the substrate processing region is fluidly coupled to the remote plasma region by through-holes in the showerhead.
  • the substrate processing chambers further include a pedestal configured to support a substrate.
  • the substrate processing chambers further include a flange attached to the substrate processing chamber.
  • the flange forms a vacuum seal with the substrate processing chamber.
  • the substrate processing chamber further includes a viewport attached to the flange forming a vacuum seal with the flange.
  • the viewport is optically transmissive in a near infrared spectrum.
  • the substrate processing chambers further include an optical emission spectrometer configured to receive optical radiation after the optical radiation passes through the viewport.
  • the optical emission spectrometer is positioned on an exterior of the viewport and the optical radiation originates from inside the substrate processing region above the substrate.
  • the substrate processing chambers may further include a local plasma power supply configured to form a local plasma in the substrate processing region.
  • the local plasma may have a local plasma power less than 10% of the remote plasma power.
  • the substrate processing chambers may further include a fiber optic cable configured to guide the optical radiation from the viewport to the optical emission spectrometer.
  • the substrate processing chambers may further include an electrode proximal to the viewport. The electrode may be positioned on the exterior of the viewport.
  • the substrate processing chambers may further include a plasma power supply configured to apply a plasma power to the electrode.
  • the substrate processing chambers may further include a second electrode configured to apply a plasma power to the electrode. The electrode may be electrically insulated from the second electrode.
  • Embodiments disclosed herein include optical emission spectrometer assemblies.
  • the optical emission spectrometer assemblies include a flange configured to attach to a substrate processing chamber. The flange is configured to form a vacuum seal with the substrate processing chamber.
  • the optical emission spectrometer assemblies further include a planar viewport attached to the flange forming a vacuum seal with the flange.
  • the planar viewport is optically transmissive in a near infrared spectrum.
  • the optical emission spectrometer assemblies further include an electrode proximal to the planar viewport.
  • the electrode is disposed on an external side of the planar viewport.
  • the optical emission spectrometer assemblies further include an optical emission spectrometer configured to receive optical radiation after the optical radiation passes through the planar viewport.
  • the optical emission spectrometer is positioned on the external side of the planar viewport.
  • the optical emission spectrometer assemblies further include a plasma power supply configured to apply a plasma power to the electrode.
  • the optical emission spectrometer assemblies may further include a fiber optic cable configured to guide infrared light from the planar viewport to the optical emission spectrometer.
  • the plasma power supply may be configured to apply the plasma power between the electrode and the substrate processing chamber.
  • the optical emission spectrometer assemblies may further include a second electrode proximal to the planar viewport. The electrode may be electrically insulated from the second electrode.
  • the plasma power supply may be configured to apply the plasma power between the electrode and the second electrode.
  • FIG. 1 shows a schematic cross-sectional view of a substrate processing chamber according to embodiments.
  • FIG. 2 is a flow chart of a remote plasma etch process according to embodiments.
  • FIG. 3A shows a schematic cross-sectional view of a substrate processing chamber according to embodiments.
  • FIG. 3B shows a schematic cross-sectional view of a substrate processing chamber according to embodiments.
  • FIG. 4 is an optical emission spectrum according to embodiments.
  • FIG. 5 is a plot of etch amount correlation with fluorine signal according to embodiments.
  • FIG. 6A shows a cross-sectional side view of a weak plasma viewport according to embodiments.
  • FIG. 6B shows a cross-sectional end view of a weak plasma viewport according to embodiments.
  • FIG. 7A shows a cross-sectional side view of a weak plasma viewport according to embodiments.
  • FIG. 7B shows a cross-sectional end view of a weak plasma viewport according to embodiments.
  • FIG. 7C shows a cross-sectional side view of a weak plasma viewport according to embodiments.
  • FIG. 8A shows a cross-sectional side view of a weak plasma viewport according to embodiments.
  • FIG. 8B shows a cross-sectional side view of a weak plasma viewport according to embodiments.
  • Remotely excited etchants are formed in a remote plasma and flowed through a showerhead into a substrate processing region to etch the substrate.
  • Optical emission spectra are acquired from the substrate processing region just above the substrate. The optical emission spectra may be used to determine an endpoint of the etch, determine the etch rate or otherwise characterize the etch process in-situ.
  • a weak plasma may be present in the substrate processing region. The weak plasma may have much lower intensity than the remote plasma. In cases where no bias plasma above the substrate is used in an etch process, a weak plasma may be ignited near a viewport disposed near the side of the substrate processing region to characterize the etchants.
  • gas phase etch processes have excited NF 3 in a local plasma inside substrate processing region.
  • Optical emission spectroscopy was performed by flowing some of the reactants in the substrate processing region through tubing to a separate plasma used for the characterization and then disposing any chemical effluents through a vacuum pump.
  • high selectivity gas-phase etch processes have been developed using a spatially-constrained remote plasma region separated from the substrate processing region by a showerhead (sometimes a dual-channel showerhead). Plasma effluents are formed in the remote plasma region and flow into the substrate processing region through the showerhead. The remote plasma effluents are optionally further excited in a bias plasma above the substrate.
  • the methods and systems described herein provide the benefit of characterizing remote plasma etch processes in the substrate processing region where there is more space than in the remote plasma region.
  • the characterization of the plasma effluents occurs closer to the substrate, providing a more accurate determination of the etch process compared to the more circuitous sampling routes used previously.
  • FIG. 1 shows a schematic cross-sectional view of an exemplary substrate processing chamber.
  • the schematic of the substrate processing chamber 1001 serves to introduce the optical emission spectrometer but also provide context for alternative configurations and details provided in subsequent descriptions. Later drawings will provide less detail compared to FIG. 1 but only for the sake of brevity. Any combination of features found in FIG. 1 may be present in any or all subsequent embodiments.
  • the substrate processing chamber 1001 has a remote plasma region 1015 and a substrate processing region 1033 inside.
  • the remote plasma region 1015 is partitioned from the substrate processing region 1033 by an ion suppressor 1023 and a showerhead 1025 .
  • a top plate 1017 , ion suppressor 1023 , showerhead 1025 , and a substrate support 1065 (also known as a pedestal), having a substrate 1055 disposed thereon, are shown and may each be included according to all embodiments described herein.
  • the pedestal 1065 may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate 1055 . This configuration may allow the substrate 1055 temperature to be cooled or heated to maintain relatively low temperatures, such as between ⁇ 20° C. to 200° C.
  • the pedestal 1065 may also be resistively heated to relatively high temperatures, such as between 100° C. and 1100° C., using an embedded heater element.
  • the etchant precursors flow from the etchant supply system 1010 through the holes in the top plate 1017 into the remote plasma region 1015 .
  • the structural features may include the selection of dimensions and cross-sectional geometries of the apertures in the top plate 1017 to deactivate back-streaming plasma in cases where a plasma is generated in remote plasma region 1015 .
  • the top plate 1017 , or a conductive top portion of the substrate processing chamber 1001 , and the showerhead 1025 are shown with an intervening insulating ring 1020 , which allows an AC potential to be applied to the top plate 1017 relative to the showerhead 1025 and/or the ion suppressor 1023 .
  • the insulating ring 1020 may be positioned between the top plate 1017 and the showerhead 1025 and/or the ion suppressor 1023 enabling a capacitively-coupled plasma (CCP) to be formed in the remote plasma region 1015 .
  • the remote plasma region 1015 houses the remote plasma.
  • the plurality of holes in the ion suppressor 1023 may be configured to control the passage of the activated gas, i.e., the ionic, radical, and/or neutral species, through the ion suppressor 1023 .
  • the aspect ratio of the holes, or the hole diameter to length, and/or the geometry of the holes may be selected so that the flow of ionically-charged species in the activated gas passing through the ion suppressor 1023 is reduced.
  • the holes in the ion suppressor 1023 may include a tapered portion that faces the remote plasma region 1015 , and a cylindrical portion that faces the showerhead 1025 .
  • the cylindrical portion may be shaped and dimensioned to control the flow of ionic species passing to and through the showerhead 1025 .
  • An adjustable electrical bias may also be applied to the ion suppressor 1023 as an additional means to control the flow of ionic species through the suppressor.
  • the ion suppressor 1023 may function to reduce or eliminate the amount of ionically charged species traveling from the plasma generation region to the substrate. Uncharged neutral and radical species may still pass through the openings in the ion suppressor to react with the substrate.
  • Remote plasma power can be of a variety of frequencies or a combination of multiple frequencies.
  • the remote plasma may be provided by remote RF power delivered from the remote plasma power supply 1068 to the top plate 1017 relative to the ion suppressor 1023 , relative to the showerhead 1025 , or relative to both the ion suppressor 1023 and the showerhead 1025 (as shown).
  • the remote RF power may be between 10 watts and 10,000 watts, between 10 watts and 5,000 watts, preferably between 25 watts and 2000 watts or more preferably between 50 watts and 1500 watts to increase the longevity of chamber components.
  • the remote RF frequency applied in the exemplary processing system to the remote plasma region may be low RF frequencies less than 200 kHz, higher RF frequencies between 10 MHz and 15 MHz, or microwave frequencies greater than 1 GHz in embodiments.
  • the plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the remote plasma region.
  • Plasma effluents derived from the etchant precursors in the remote plasma region 1015 may travel through apertures in the ion suppressor 1023 , and/or the showerhead 1025 and into the substrate processing region 1033 through through-holes or the first fluid channels 1019 of the showerhead in embodiments. Little or no plasma may be present in substrate processing region 1033 during the remote plasma etch process.
  • the plasma effluents react with the substrate to etch material from the substrate.
  • the showerhead 1025 may be a dual channel showerhead (DCSH).
  • the dual channel showerhead 1025 may provide for etching processes that allow for separation of etchants outside of the substrate processing region 1033 to provide limited interaction with chamber components and each other prior to being delivered into the substrate processing region 1033 .
  • the showerhead 1025 may comprise an upper plate 1014 and a lower plate 1016 .
  • the plates may be coupled with one another to define a volume 1018 between the plates.
  • the plate configuration may provide the first fluid channels 1019 through the upper and lower plates, and the second fluid channels 1021 through the lower plate 1016 .
  • the formed channels may be configured to provide fluid access from the volume 1018 through the lower plate 1016 via the second fluid channels 1021 alone, and the first fluid channels 1019 may be fluidly isolated from the volume 1018 between the plates and the second fluid channels 1021 .
  • the volume 1018 may be fluidly accessible through a side of the showerhead 1025 and used to supply an unexcited precursor in embodiments.
  • a bias plasma power may be present in the substrate processing region in embodiments.
  • the bias plasma may be used to further excite plasma effluents already excited in the remote plasma.
  • the bias plasma refers to a local plasma located just above the substrate.
  • the term bias plasma is used since the plasma effluents may be ionized and/or accelerated towards the substrate to beneficially accelerate or provide incoming alignment to some etch processes.
  • the bias plasma may be formed by applying bias plasma power from a bias plasma power supply 1069 to the substrate 1055 /pedestal 1065 relative to the ion suppressor 1023 , relative to the showerhead 1025 , or relative to both the ion suppressor 1023 and the showerhead 1025 (as shown).
  • the bias RF plasma power may be lower than the remote RF power.
  • the bias RF plasma power may be below 20%, below 15%, below 10% or below 5% of the remote RF plasma power.
  • the bias RF plasma power may be between 1 watt and 1,000 watts, between 1 watt and 500 watts, or between 2 watts and 100 watts in embodiments.
  • the bias RF plasma frequency applied in the exemplary processing system to the remote plasma region may be low RF plasma frequencies less than 200 kHz, higher RF plasma frequencies between 10 MHz and 15 MHz, or microwave frequencies greater than 1 GHz in embodiments.
  • the bias RF plasma frequency may be different from the remote RF frequency to further improve the integrity of the optical emission spectra.
  • the plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the substrate plasma region.
  • a viewport 1071 is disposed on the side of the substrate processing chamber 1001 and forms a border of the substrate processing region 1033 .
  • the viewport 1071 transmits optical radiation and may be transmissive in the infrared portion of the optical spectrum. Viewports described herein may be transmissive between 650 nm and 800 nm, between 680 nm and 760 nm or between 700 nm and 740 nm in embodiments.
  • An optical emission spectrometer (OES) is disposed outside the viewport 1071 and configured to receive optical radiation, preferably infrared radiation, originating from the bias plasma formed in the substrate processing region 1033 .
  • a weak plasma may be formed on the interior side of the viewport 1071 to facilitate the acquisition of the optical emission spectrum by the optical emission spectrometer.
  • the characteristics of the weak plasma may be the same as the bias power properties provided earlier, according to embodiments.
  • the weak RF plasma power may be below 20%, below 15%, below 10% or below 5% of the remote RF plasma power.
  • the weak RF plasma power may be between 1 watt and 1,000 watts, between 1 watt and 500 watts, or between 2 watts and 100 watts in embodiments.
  • the weak RF plasma frequency applied in the exemplary processing system to the remote plasma region may be low RF plasma frequencies less than 200 kHz, higher RF plasma frequencies between 10 MHz and 15 MHz, or microwave frequencies greater than 1 GHz in embodiments.
  • the weak RF plasma frequency may be different from the remote RF frequency to further improve the integrity of the optical emission spectra.
  • the weak plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the substrate plasma region.
  • FIG. 2 is a flow chart of a highly selective etch process 2010 according to embodiments.
  • the substrate Prior to the first operation, the substrate is patterned and then placed within the substrate processing region in optional operation 2100 .
  • a fluorine-containing precursor e.g. NF 3
  • a remote plasma is formed from the fluorine-containing precursor in the remote plasma region by applying a remote plasma power across the remote plasma region to form plasma effluents.
  • the plasma effluents are flowed through a showerhead disposed between the remote plasma region and the substrate processing region in operation 2300 .
  • a bias plasma is formed by applying a bias plasma power across the substrate processing region to further excite the plasma effluents in operation 2400 .
  • the bias plasma power is less than the remote plasma power and the bias plasma may be referred to as a “weak” plasma in embodiments.
  • Portions of a patterned substrate are selectively etched in operation 2500 .
  • An optical emission spectrum is acquired through a viewport in the side of the substrate processing chamber (operation 2600 ) and the etch is stopped based on the results.
  • the viewport forms a border of the substrate processing region.
  • the patterned substrate is removed from the substrate processing region (operation 2700 ).
  • FIG. 3A shows a schematic cross-sectional view of an exemplary substrate processing chamber.
  • the substrate processing chamber 3001 has a remote plasma region 3015 and a substrate processing region 3033 inside.
  • the remote plasma region 3015 is partitioned from the substrate processing region 3033 by a showerhead 3025 with through-holes 3019 configured to pass plasma effluents.
  • a top plate 3017 , showerhead 3025 , and a pedestal 3065 supporting a substrate 3055 are shown.
  • a fluorine-containing precursor may flow from the etchant supply system 3010 into the remote plasma region 3015 .
  • the top plate 3017 and the showerhead 3025 are electrically-separated conductors separated by insulating ring 3020 .
  • An AC potential (the remote plasma power) is applied to the top plate 3017 relative to the showerhead 3025 to form the remote plasma.
  • the remote plasma may be a capacitively-coupled plasma (CCP) in the remote plasma region 3015 . Plasma frequencies and plasma powers provided by a remote plasma power supply (not shown) were provided previously.
  • Plasma effluents derived from the fluorine precursors in the remote plasma region 3015 may travel through the through-holes 3019 in the showerhead 3025 and into the substrate processing region 3033 .
  • a bias plasma power is applied by a bias plasma power supply (not shown) to the substrate processing region 3033 .
  • the bias plasma further excites the plasma effluents.
  • the bias plasma weakly ionizes and accelerates plasma effluents towards the substrate to beneficially accelerate or provide incoming alignment to the etch processes.
  • the bias plasma may be formed by applying bias plasma power from a bias plasma power supply to the substrate 3055 /pedestal 3065 relative to the showerhead 3025 .
  • the plasma effluents react with the substrate to etch material from the substrate.
  • Acquisition of optical emission spectra uses the recombination of electrons with ions to emit photons indicative of a presence of specific atomic species.
  • the bias plasma is sufficient to supply the ionization needed to acquire an optical emission spectrum.
  • a viewport 3071 is disposed in the side of the substrate processing chamber 3001 .
  • the optical emission spectrum is measured using an optical emission spectrometer on the outside of the viewport 3071 .
  • FIG. 3B shows a schematic cross-sectional view of an exemplary substrate processing chamber.
  • the substrate processing chamber 3001 has a remote plasma region 3015 and a substrate processing region 3033 inside.
  • the remote plasma region 3015 is partitioned from the substrate processing region 3033 by a showerhead 3025 with through-holes 3019 to pass plasma effluents.
  • a top plate 3017 , showerhead 3025 , and a pedestal 3065 supporting a substrate 3055 are shown.
  • a fluorine-containing precursor may flow from the etchant supply system 3010 into the remote plasma region 3015 .
  • An AC potential (the remote plasma power) is applied to the top plate 3017 relative to the showerhead 3025 to form the remote plasma.
  • Plasma effluents derived from the fluorine precursors in the remote plasma region 3015 travel through the through-holes 3019 in the showerhead 3025 and into the substrate processing region 3033 .
  • the plasma effluents react with the substrate to etch material from the substrate.
  • the substrate processing region 3033 may be referred to as plasma-free and may be devoid of plasma in embodiments.
  • a weak plasma near the substrate processing region is used to obtain an optical emission spectrum.
  • the weak plasma results in recombination of electrons with ions and concomitant emission of photons indicative of a presence of specific atomic species. Therefore a weak plasma is formed just on the inside of a viewport 3071 by a variety of techniques to be described herein.
  • the viewport 3071 is disposed in the side of the substrate processing chamber 3001 .
  • the optical emission spectrum is measured using an optical emission spectrometer on the outside of the viewport 3071 .
  • the weak plasma is formed by applying weak plasma power from a weak plasma power supply to one or more electrode(s) (not shown) near the viewport 3071 .
  • the weak RF plasma power may be lower than the remote RF power.
  • a relatively low weak RF plasma power avoids swamping the remote plasma OES signal with characteristics of the weak local plasma.
  • the weak RF plasma power may be below 10%, below 8%, below 5% or below 3% of the remote RF plasma power.
  • the weak RF plasma power may be between 0.1 watts and 300 watts, between 0.2 watts and 100 watts, or between 0.5 watts and 20 watts in embodiments.
  • the weak RF plasma frequency applied in the exemplary processing system to the remote plasma region may be low RF plasma frequencies less than 200 kHz, higher RF plasma frequencies between 10 MHz and 15 MHz, or microwave frequencies greater than 1 GHz in embodiments.
  • the weak RF plasma frequency may be different from the remote RF frequency to further improve the integrity of the optical emission spectra.
  • the weak RF plasma frequency may be 60 kHz and the remote RF frequency may be 13 MHz.
  • the plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the substrate plasma region.
  • the pressure in the substrate processing region and the remote plasma region during the etching operations may be between 0.01 Torr and 50 Torr, between 0.1 Torr and 15 Torr or between 0.5 Torr and 10 Torr in embodiments.
  • the temperature of the patterned substrate during the etching operations may be between ⁇ 20° C. and 450° C., between 0° C. and 350° C. or between 5° C. and 200° C. in embodiments.
  • FIG. 4 is plot of an optical emission spectra.
  • An optical emission spectrum 4010 is depicted which represents a concurrent remote plasma and a weak local plasma.
  • Another optical emission spectrum 4020 is depicted which represents only a weak local plasma.
  • the peaks near 703.7 nm, 712.8 nm, and 720.2 nm correspond with fluorine atom concentration.
  • the peaks near 706.5 nm and 728.1 nm correspond with helium atom concentration.
  • Helium or another inert gas may be used to benefit the etch process but may also be useful to compare and normalize the fluorine peaks.
  • the fluorine measurements can be seen to become more pronounced when the remote plasma is in use. More generally, the fluorine measurements may be used to determine whether the fluorine concentration is changing, has reached a plateau, or has reached a predetermined setpoint during an etch process.
  • FIG. 5 is a plot of etch amount correlation with fluorine signal according to embodiments.
  • the fluorine signal normalized to helium signal is shown on the x-axis whereas the etch amount corresponds to the y-axis.
  • a plot of etch amount (proportion to etch rate) versus normalized fluorine signal 5010 is shown to be roughly linear with an offset. The linearity enables the normalized fluorine signal to be an in-situ indicator of the etch rate of a substrate without measuring the substrate directly.
  • FIGS. 6A and 6B show cross-sectional side views of a weak plasma viewport according to embodiments.
  • FIG. 6A shows a substrate processing region 6033 with a tubular viewport 6071 affixed to the side and forming a vacuum seal with the wall of the substrate processing chamber.
  • a first electrode 6072 - 1 and a second electrode 6072 - 2 are affixed to the tubular viewport 6071 on opposite sides.
  • All electrodes described herein may be copper adhesive tape or silver paste to facilitate attaching the electrodes to the various viewports according to embodiments. Other adhesive formats may also be used.
  • the electrodes may simply be placed near the tubular viewport 6071 on opposite sides since mechanical contact between the viewport and the electrodes is not necessary to form the plasma.
  • a weak plasma 6034 is formed on the inside of the tubular viewport 6071 by applying a weak RF plasma power from a weak RF plasma power supply (not shown) between the first electrode 6072 - 1 and the second electrode 6072 - 2 .
  • FIG. 6B shows an end view of the same configuration and includes a view of the weak plasma power supply 6068 .
  • a fiber optic cable 6073 is configured to guide optical radiation from the weak plasma 6034 through the tubular viewport 6071 and into the optical emission spectrometer 6070 .
  • FIGS. 7A, 7B, and 7C show cross-sectional side views of a weak plasma viewport according to embodiments.
  • FIG. 7A shows a substrate processing region 7033 with a tubular viewport 7071 affixed to the side and forming a vacuum seal with the wall of the substrate processing chamber.
  • An electrode 7072 - 1 is affixed to the tubular viewport 7071 around the exterior of the tubular viewport 7071 .
  • the electrode 7072 - 1 may be a piece of conducting adhesive tape (e.g. copper) or a layer of conductive paste (e.g. silver) to facilitate attaching the electrode 7072 - 1 to the tubular viewport 7071 according to embodiments.
  • the electrode 7072 - 1 may simply be a conducting hoop looped loosely around the tubular viewport 7071 since forming the weak plasma does not rely on mechanical contact between the tubular viewport 7071 and the electrode 7072 - 1 .
  • a weak plasma 7034 - 1 is formed on the inside of the tubular viewport 7071 by applying a weak RF plasma power from a weak RF plasma power supply 7068 - 1 between the electrode 7072 - 1 and the rest of the substrate processing chamber or the wall shown as a border of the substrate processing region 7033 .
  • a fiber optic cable 7073 configured to guide optical radiation from the weak plasma 7034 through the tubular viewport 7071 and into the optical emission spectrometer 7070 .
  • FIG. 7B shows an end view of the same configuration and includes a view of the electrode 7072 - 1 which is shaped like a hoop.
  • FIG. 7C shows a related configuration having an electrode 7072 - 2 over the end of the tubular viewport 7071 and the fiber optic cable 7073 is relocated to peer at the weak plasma 7034 - 2 from a different angle through an unobstructed portion of the tubular viewport 7071 .
  • a weak RF plasma power supply 7068 - 2 provides the weak RF plasma power between the electrode 7072 - 2 and the wall of the substrate processing chamber.
  • FIGS. 8A and 8B show cross-sectional side views of a weak plasma viewport according to embodiments.
  • FIG. 8A shows a substrate processing region 8033 with a planar viewport 8071 affixed to the side and forming a vacuum seal with the wall of the substrate processing chamber.
  • a first electrode 8072 - 1 and a second electrode 8072 - 2 are affixed to the planar viewport 8071 without any direct electrical connection between them.
  • the first electrode 8072 - 1 and the second electrode may be pieces of conducting adhesive tape (e.g. copper), a layer of conductive paste (e.g. silver) or electrodes placed near or adjacent to the planar viewport 8071 .
  • the electrodes ( 8072 - 1 , 8072 - 2 ) do not need to be in mechanical contact with the planar viewport 8071 , in embodiments, to provide the capability of forming a weak plasma 8034 - 1 .
  • the weak plasma 8034 - 1 is formed on the inside of the planar viewport 8071 by applying a weak RF plasma power from a weak RF plasma power supply 8068 - 1 between the first electrode 8072 - 1 and the second electrode 8072 - 2 .
  • a fiber optic cable 8073 configured to guide optical radiation from the weak plasma 8034 - 1 through the planar viewport 8071 and into the optical emission spectrometer 8070 .
  • FIG. 8B shows a substrate processing region 8033 with a planar viewport 8071 affixed to the side and forming a vacuum seal with the wall of the substrate processing chamber.
  • An electrode 8072 - 3 is affixed to the planar viewport 8071 .
  • the first electrode 8072 - 1 may again be a piece of conducting adhesive tape, a layer of conductive paste, or an electrode placed very near or contacting the planar viewport 8071 .
  • the weak plasma 8034 - 1 is formed on the inside of the planar viewport 8071 by applying a weak RF plasma power from a weak RF plasma power supply 8068 - 2 between the electrode 8072 - 3 and the rest of the substrate processing chamber or the wall shown as a border of the substrate processing region 8033 .
  • a fiber optic cable 8073 configured to guide optical radiation from the weak plasma 8034 - 2 through the planar viewport 8071 and into the optical emission spectrometer 8070 .
  • the tubular viewports described herein may be more prone to breakage since they stick out from the substrate processing chamber.
  • the planar viewports provide the benefit of reducing the chance of accidentally breaking the viewport.
  • the thickness of the planar viewports described herein affect the intensity of the weak plasmas inside the substrate processing chamber near the substrate.
  • the thickness of the planar viewports may be between 1 mm and 15 mm, between 2 mm and 10 mm or preferably between 3 mm and 8 mm according to embodiments.
  • the height and/or width of the planar viewports (as viewed from the axis of the thinnest dimension) may be between 20 mm and 100 mm or between 30 mm and 70 mm in embodiments.
  • the fiber optic cable in all embodiments described herein may be positioned to the side of the substrate and just above the major plane of the substrate to preferentially sample the portion of the plasma effluents most likely to be participating in the etch process.
  • the fiber optic cable may point horizontally (parallel to the major plane of the substrate) between 1 mm and 10 mm above the top surface of the substrate according to embodiments.
  • an ion suppressor (which may be the showerhead) may be used to provide radical and/or neutral species for gas-phase etching.
  • the ion suppressor may also be referred to as an ion suppression element and may be positioned between the remote chamber region and the substrate processing region along with the showerhead.
  • the ion suppressor is used to filter etching plasma effluents en route from the remote plasma region(s) to the substrate processing region.
  • the ion suppressor may be used to provide a reactive gas having a higher concentration of radicals than ions. Plasma effluents pass through the ion suppressor disposed between the remote plasma region and the substrate processing region.
  • the ion suppressor functions to dramatically reduce or substantially eliminate ionic species traveling from the plasma generation region to the substrate.
  • the ion suppressors described herein are simply one way to achieve a low electron temperature in the substrate processing region during the gas-phase etch processes described herein.
  • an electron beam is passed through the substrate processing region in a plane parallel to the substrate to reduce the electron temperature of the plasma effluents.
  • a simpler showerhead may be used if an electron beam is applied in this manner.
  • the electron beam may be passed as a laminar sheet disposed above the substrate in embodiments.
  • the electron beam provides a source of neutralizing negative charge and provides a more active means for reducing the flow of positively charged ions towards the substrate and increasing the etch selectivity in embodiments.
  • the flow of plasma effluents and various parameters governing the operation of the electron beam may be adjusted to lower the electron temperature measured in the substrate processing region.
  • the electron temperature may be measured using a Langmuir probe in the substrate processing region during excitation of a plasma in the remote plasma. In all plasma-free regions described herein (especially in the substrate processing region), the electron temperature may be less than 0.5 eV, less than 0.45 eV, less than 0.4 eV, or less than 0.35 eV. These extremely low values for the electron temperature are enabled by the presence of the electron beam, showerhead and/or the ion suppressor. Uncharged neutral and radical species may pass through the electron beam and/or the openings in the ion suppressor to react at the substrate. Such a process using radicals and other neutral species can reduce plasma damage compared to conventional plasma etch processes that include sputtering and bombardment. Embodiments disclosed herein are also advantageous over conventional wet etch processes where surface tension of liquids can cause bending and peeling of small features.
  • the substrate processing region may be described herein as “plasma-free” during the etch processes described herein. “Plasma-free” does not necessarily mean the region is devoid of plasma.
  • a weak plasma may be present to perform the optical emission spectroscopy measurement but the region directly above the substrate may be devoid of plasma since the weak plasma is positioned off to the side of the substrate processing region in embodiments.
  • Ionized species and free electrons created within the plasma region may travel through pores (apertures) in the partition (showerhead) at exceedingly small concentrations.
  • the borders of the plasma in the remote plasma region e.g. the remote chamber region and/or the remote plasma region
  • a low intensity plasma may be created in the substrate processing region without eliminating desirable features of the etch processes described herein. All causes for a plasma having much lower intensity ion density than the remote plasma region during the creation of the excited plasma effluents do not deviate from the scope of “plasma-free” as used herein.
  • substrate may be a support substrate with or without layers formed thereon.
  • the patterned substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits.
  • silicon oxide of the patterned substrate is predominantly SiO 2 but may include concentrations of other elemental constituents such as, e.g., nitrogen, hydrogen and carbon.
  • silicon oxide portions etched using the methods disclosed herein consist essentially of silicon and oxygen.
  • Sicon nitride of the patterned substrate is predominantly Si 3 N 4 but may include concentrations of other elemental constituents such as, e.g., oxygen, hydrogen and carbon.
  • silicon nitride portions described herein consist essentially of silicon and nitrogen.
  • a first exposed portion of a patterned substrate is etched faster than a second exposed portion.
  • the first exposed portion may have an atomic stoichiometry which differs from the second exposed portion.
  • the first exposed portion may contain an element which is not present in the second exposed portion.
  • the second exposed portion may contain an element which is not present in the first exposed portion according to embodiments.
  • plasma effluents describe gas exiting from the remote plasma region and entering the remote chamber region and/or the substrate processing region. Plasma effluents are in an “excited state” wherein at least some of the gas molecules are in vibrationally-excited, dissociated and/or ionized states.
  • a “radical precursor” is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface.
  • Radical-fluorine precursors describe radical precursors which contain fluorine but may contain other elemental constituents.
  • inert gas refers to any gas which does not form chemical bonds when etching or being incorporated into a film.
  • exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.

Abstract

Methods and systems for etching substrates using a remote plasma are described. Remotely excited etchants are formed in a remote plasma and flowed through a showerhead into a substrate processing region to etch the substrate. Optical emission spectra are acquired from the substrate processing region just above the substrate. The optical emission spectra may be used to determine an endpoint of the etch, determine the etch rate or otherwise characterize the etch process. A weak plasma may be present in the substrate processing region. The weak plasma may have much lower intensity than the remote plasma. In cases where no bias plasma is used above the substrate in an etch process, a weak plasma may be ignited near a viewport disposed near the side of the substrate processing region to characterize the etchants.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional application of U.S. patent application Ser. No. 15/484,985 filed Apr. 11, 2017, the entire contents of which are hereby incorporated by reference in their entirety for all purposes.
  • FIELD
  • Embodiments disclosed herein relate to remote plasma etch processes.
  • BACKGROUND
  • Integrated circuits are made possible by processes which produce intricately patterned material layers on substrate surfaces. Producing patterned material on a substrate requires controlled methods for removal of exposed material. Chemical etching is used for a variety of purposes including transferring a photoresist pattern into underlying layers, thinning layers or thinning lateral dimensions of features already present on the surface. Often it is desirable to have an etch process which etches one material faster than another helping e.g. a pattern transfer process proceed. Such an etch process is said to be selective of the first material relative to the second material. As a result of the diversity of materials, circuits and processes, etch processes have been developed with a selectivity towards a variety of materials.
  • Dry etch processes are often desirable for selectively removing material from semiconductor substrates. The desirability stems from the ability to gently remove material from miniature structures with minimal physical disturbance. Dry etch processes also allow the etch rate to be abruptly stopped by removing the gas phase reagents. Some dry-etch processes involve the exposure of a substrate to remote plasma by-products formed from one or more precursors. Remote excitation of etchants in a remote plasma system (instead of locally) may desirably increase selectivity.
  • Methods and systems are needed to monitor aspects of remote plasmas in-situ for a variety of purposes.
  • SUMMARY
  • Methods and systems for etching substrates using a remote plasma are described. Remotely excited etchants are formed in a remote plasma and flowed through a showerhead into a substrate processing region to etch the substrate. Optical emission spectra are acquired from the substrate processing region just above the substrate. The optical emission spectra may be used to determine an endpoint of the etch, determine the etch rate or otherwise characterize the etch process in-situ. A weak plasma may be present in the substrate processing region. The weak plasma may have lower intensity than the remote plasma. In cases where no bias plasma above the substrate is used in an etch process, a weak plasma may be ignited near a viewport disposed near the side of the substrate processing region to characterize the etchants.
  • Embodiments disclosed herein include methods of etching a substrate. The methods include placing the substrate in a substrate processing region of a substrate processing chamber. The methods further include flowing a fluorine-containing precursor into a remote plasma region separated from the substrate processing region by a showerhead. The methods further include forming a remote plasma having a remote plasma power in the remote plasma region. The methods further include producing plasma effluents from the fluorine-containing precursor in the remote plasma in the remote plasma region. The methods further include flowing the plasma effluents through the showerhead into the substrate processing region. The methods further include etching the substrate with the plasma effluents. The methods further include forming a local plasma having a local plasma power in the substrate processing region. The methods further include acquiring an optical emission spectrum through a viewport affixed to a side of the substrate processing chamber, the side forming a border of the substrate processing region. The optical emission spectrum represents intensity as a function of optical wavelength and the optical emission spectrum is acquired with an optical emission spectrometer.
  • The remote plasma power of the remote plasma may exceed the local plasma power of the local plasma by a factor of ten or more. The local plasma may be centered over the substrate. The local plasma may be positioned above the substrate and outside an edge of the substrate near the viewport. The local plasma may be formed using an electrode located on the outside of the viewport and the local plasma power may be applied between the electrode and the substrate processing chamber. The local plasma may be formed using a first electrode and a second electrode, each positioned on the outside of the viewport and the local plasma power may be applied between the first electrode and the second electrode.
  • Embodiments disclosed herein include substrate processing chambers The substrate processing chambers include a remote plasma region. The remote plasma region is configured to receive a fluorine-containing precursor and form a remote plasma from the fluorine-containing precursor. The substrate processing chambers further include a remote plasma power supply configured to apply a remote plasma power to the remote plasma region and configured to form the remote plasma. The substrate processing chambers further include a substrate processing region. The substrate processing chambers further include a showerhead positioned between the remote plasma region and the substrate processing region. The substrate processing region is fluidly coupled to the remote plasma region by through-holes in the showerhead. The substrate processing chambers further include a pedestal configured to support a substrate. The substrate processing chambers further include a flange attached to the substrate processing chamber. The flange forms a vacuum seal with the substrate processing chamber. The substrate processing chamber further includes a viewport attached to the flange forming a vacuum seal with the flange. The viewport is optically transmissive in a near infrared spectrum. The substrate processing chambers further include an optical emission spectrometer configured to receive optical radiation after the optical radiation passes through the viewport. The optical emission spectrometer is positioned on an exterior of the viewport and the optical radiation originates from inside the substrate processing region above the substrate.
  • The substrate processing chambers may further include a local plasma power supply configured to form a local plasma in the substrate processing region. The local plasma may have a local plasma power less than 10% of the remote plasma power. The substrate processing chambers may further include a fiber optic cable configured to guide the optical radiation from the viewport to the optical emission spectrometer. The substrate processing chambers may further include an electrode proximal to the viewport. The electrode may be positioned on the exterior of the viewport. The substrate processing chambers may further include a plasma power supply configured to apply a plasma power to the electrode. The substrate processing chambers may further include a second electrode configured to apply a plasma power to the electrode. The electrode may be electrically insulated from the second electrode.
  • Embodiments disclosed herein include optical emission spectrometer assemblies. The optical emission spectrometer assemblies include a flange configured to attach to a substrate processing chamber. The flange is configured to form a vacuum seal with the substrate processing chamber. The optical emission spectrometer assemblies further include a planar viewport attached to the flange forming a vacuum seal with the flange. The planar viewport is optically transmissive in a near infrared spectrum. The optical emission spectrometer assemblies further include an electrode proximal to the planar viewport. The electrode is disposed on an external side of the planar viewport. The optical emission spectrometer assemblies further include an optical emission spectrometer configured to receive optical radiation after the optical radiation passes through the planar viewport. The optical emission spectrometer is positioned on the external side of the planar viewport. The optical emission spectrometer assemblies further include a plasma power supply configured to apply a plasma power to the electrode.
  • The optical emission spectrometer assemblies may further include a fiber optic cable configured to guide infrared light from the planar viewport to the optical emission spectrometer. The plasma power supply may be configured to apply the plasma power between the electrode and the substrate processing chamber. The optical emission spectrometer assemblies may further include a second electrode proximal to the planar viewport. The electrode may be electrically insulated from the second electrode. The plasma power supply may be configured to apply the plasma power between the electrode and the second electrode.
  • Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the disclosed embodiments. The features and advantages of the disclosed embodiments may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.
  • DESCRIPTION OF THE DRAWINGS
  • A further understanding of the nature and advantages of the embodiments may be realized by reference to the remaining portions of the specification and the drawings.
  • FIG. 1 shows a schematic cross-sectional view of a substrate processing chamber according to embodiments.
  • FIG. 2 is a flow chart of a remote plasma etch process according to embodiments.
  • FIG. 3A shows a schematic cross-sectional view of a substrate processing chamber according to embodiments.
  • FIG. 3B shows a schematic cross-sectional view of a substrate processing chamber according to embodiments.
  • FIG. 4 is an optical emission spectrum according to embodiments.
  • FIG. 5 is a plot of etch amount correlation with fluorine signal according to embodiments.
  • FIG. 6A shows a cross-sectional side view of a weak plasma viewport according to embodiments.
  • FIG. 6B shows a cross-sectional end view of a weak plasma viewport according to embodiments.
  • FIG. 7A shows a cross-sectional side view of a weak plasma viewport according to embodiments.
  • FIG. 7B shows a cross-sectional end view of a weak plasma viewport according to embodiments.
  • FIG. 7C shows a cross-sectional side view of a weak plasma viewport according to embodiments.
  • FIG. 8A shows a cross-sectional side view of a weak plasma viewport according to embodiments.
  • FIG. 8B shows a cross-sectional side view of a weak plasma viewport according to embodiments.
  • In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a dash and a second label that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the second reference label.
  • DETAILED DESCRIPTION
  • Methods and systems for etching substrates using a remote plasma are described. Remotely excited etchants are formed in a remote plasma and flowed through a showerhead into a substrate processing region to etch the substrate. Optical emission spectra are acquired from the substrate processing region just above the substrate. The optical emission spectra may be used to determine an endpoint of the etch, determine the etch rate or otherwise characterize the etch process in-situ. A weak plasma may be present in the substrate processing region. The weak plasma may have much lower intensity than the remote plasma. In cases where no bias plasma above the substrate is used in an etch process, a weak plasma may be ignited near a viewport disposed near the side of the substrate processing region to characterize the etchants.
  • In the past, gas phase etch processes have excited NF3 in a local plasma inside substrate processing region. Optical emission spectroscopy was performed by flowing some of the reactants in the substrate processing region through tubing to a separate plasma used for the characterization and then disposing any chemical effluents through a vacuum pump. Recently, high selectivity gas-phase etch processes have been developed using a spatially-constrained remote plasma region separated from the substrate processing region by a showerhead (sometimes a dual-channel showerhead). Plasma effluents are formed in the remote plasma region and flow into the substrate processing region through the showerhead. The remote plasma effluents are optionally further excited in a bias plasma above the substrate.
  • The methods and systems described herein provide the benefit of characterizing remote plasma etch processes in the substrate processing region where there is more space than in the remote plasma region. The characterization of the plasma effluents occurs closer to the substrate, providing a more accurate determination of the etch process compared to the more circuitous sampling routes used previously.
  • FIG. 1 shows a schematic cross-sectional view of an exemplary substrate processing chamber. The schematic of the substrate processing chamber 1001 serves to introduce the optical emission spectrometer but also provide context for alternative configurations and details provided in subsequent descriptions. Later drawings will provide less detail compared to FIG. 1 but only for the sake of brevity. Any combination of features found in FIG. 1 may be present in any or all subsequent embodiments. The substrate processing chamber 1001 has a remote plasma region 1015 and a substrate processing region 1033 inside. The remote plasma region 1015 is partitioned from the substrate processing region 1033 by an ion suppressor 1023 and a showerhead 1025.
  • A top plate 1017, ion suppressor 1023, showerhead 1025, and a substrate support 1065 (also known as a pedestal), having a substrate 1055 disposed thereon, are shown and may each be included according to all embodiments described herein. The pedestal 1065 may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate 1055. This configuration may allow the substrate 1055 temperature to be cooled or heated to maintain relatively low temperatures, such as between −20° C. to 200° C. The pedestal 1065 may also be resistively heated to relatively high temperatures, such as between 100° C. and 1100° C., using an embedded heater element.
  • The etchant precursors flow from the etchant supply system 1010 through the holes in the top plate 1017 into the remote plasma region 1015. The structural features may include the selection of dimensions and cross-sectional geometries of the apertures in the top plate 1017 to deactivate back-streaming plasma in cases where a plasma is generated in remote plasma region 1015. The top plate 1017, or a conductive top portion of the substrate processing chamber 1001, and the showerhead 1025 are shown with an intervening insulating ring 1020, which allows an AC potential to be applied to the top plate 1017 relative to the showerhead 1025 and/or the ion suppressor 1023. The insulating ring 1020 may be positioned between the top plate 1017 and the showerhead 1025 and/or the ion suppressor 1023 enabling a capacitively-coupled plasma (CCP) to be formed in the remote plasma region 1015. The remote plasma region 1015 houses the remote plasma.
  • The plurality of holes in the ion suppressor 1023 may be configured to control the passage of the activated gas, i.e., the ionic, radical, and/or neutral species, through the ion suppressor 1023. For example, the aspect ratio of the holes, or the hole diameter to length, and/or the geometry of the holes may be selected so that the flow of ionically-charged species in the activated gas passing through the ion suppressor 1023 is reduced. The holes in the ion suppressor 1023 may include a tapered portion that faces the remote plasma region 1015, and a cylindrical portion that faces the showerhead 1025. The cylindrical portion may be shaped and dimensioned to control the flow of ionic species passing to and through the showerhead 1025. An adjustable electrical bias may also be applied to the ion suppressor 1023 as an additional means to control the flow of ionic species through the suppressor. The ion suppressor 1023 may function to reduce or eliminate the amount of ionically charged species traveling from the plasma generation region to the substrate. Uncharged neutral and radical species may still pass through the openings in the ion suppressor to react with the substrate.
  • Remote plasma power can be of a variety of frequencies or a combination of multiple frequencies. The remote plasma may be provided by remote RF power delivered from the remote plasma power supply 1068 to the top plate 1017 relative to the ion suppressor 1023, relative to the showerhead 1025, or relative to both the ion suppressor 1023 and the showerhead 1025 (as shown). The remote RF power may be between 10 watts and 10,000 watts, between 10 watts and 5,000 watts, preferably between 25 watts and 2000 watts or more preferably between 50 watts and 1500 watts to increase the longevity of chamber components. The remote RF frequency applied in the exemplary processing system to the remote plasma region may be low RF frequencies less than 200 kHz, higher RF frequencies between 10 MHz and 15 MHz, or microwave frequencies greater than 1 GHz in embodiments. The plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the remote plasma region.
  • Plasma effluents derived from the etchant precursors in the remote plasma region 1015 may travel through apertures in the ion suppressor 1023, and/or the showerhead 1025 and into the substrate processing region 1033 through through-holes or the first fluid channels 1019 of the showerhead in embodiments. Little or no plasma may be present in substrate processing region 1033 during the remote plasma etch process. The plasma effluents react with the substrate to etch material from the substrate.
  • The showerhead 1025 may be a dual channel showerhead (DCSH). The dual channel showerhead 1025 may provide for etching processes that allow for separation of etchants outside of the substrate processing region 1033 to provide limited interaction with chamber components and each other prior to being delivered into the substrate processing region 1033. The showerhead 1025 may comprise an upper plate 1014 and a lower plate 1016. The plates may be coupled with one another to define a volume 1018 between the plates. The plate configuration may provide the first fluid channels 1019 through the upper and lower plates, and the second fluid channels 1021 through the lower plate 1016. The formed channels may be configured to provide fluid access from the volume 1018 through the lower plate 1016 via the second fluid channels 1021 alone, and the first fluid channels 1019 may be fluidly isolated from the volume 1018 between the plates and the second fluid channels 1021. The volume 1018 may be fluidly accessible through a side of the showerhead 1025 and used to supply an unexcited precursor in embodiments.
  • Optionally, a bias plasma power may be present in the substrate processing region in embodiments. The bias plasma may be used to further excite plasma effluents already excited in the remote plasma. The bias plasma refers to a local plasma located just above the substrate. The term bias plasma is used since the plasma effluents may be ionized and/or accelerated towards the substrate to beneficially accelerate or provide incoming alignment to some etch processes. The bias plasma may be formed by applying bias plasma power from a bias plasma power supply 1069 to the substrate 1055/pedestal 1065 relative to the ion suppressor 1023, relative to the showerhead 1025, or relative to both the ion suppressor 1023 and the showerhead 1025 (as shown). The bias RF plasma power may be lower than the remote RF power. The bias RF plasma power may be below 20%, below 15%, below 10% or below 5% of the remote RF plasma power. The bias RF plasma power may be between 1 watt and 1,000 watts, between 1 watt and 500 watts, or between 2 watts and 100 watts in embodiments. The bias RF plasma frequency applied in the exemplary processing system to the remote plasma region may be low RF plasma frequencies less than 200 kHz, higher RF plasma frequencies between 10 MHz and 15 MHz, or microwave frequencies greater than 1 GHz in embodiments. The bias RF plasma frequency may be different from the remote RF frequency to further improve the integrity of the optical emission spectra. The plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the substrate plasma region.
  • A viewport 1071 is disposed on the side of the substrate processing chamber 1001 and forms a border of the substrate processing region 1033. The viewport 1071 transmits optical radiation and may be transmissive in the infrared portion of the optical spectrum. Viewports described herein may be transmissive between 650 nm and 800 nm, between 680 nm and 760 nm or between 700 nm and 740 nm in embodiments. An optical emission spectrometer (OES) is disposed outside the viewport 1071 and configured to receive optical radiation, preferably infrared radiation, originating from the bias plasma formed in the substrate processing region 1033. In cases where there is no bias plasma, a weak plasma may be formed on the interior side of the viewport 1071 to facilitate the acquisition of the optical emission spectrum by the optical emission spectrometer. The characteristics of the weak plasma (power, frequency) may be the same as the bias power properties provided earlier, according to embodiments. For example, the weak RF plasma power may be below 20%, below 15%, below 10% or below 5% of the remote RF plasma power. The weak RF plasma power may be between 1 watt and 1,000 watts, between 1 watt and 500 watts, or between 2 watts and 100 watts in embodiments. The weak RF plasma frequency applied in the exemplary processing system to the remote plasma region may be low RF plasma frequencies less than 200 kHz, higher RF plasma frequencies between 10 MHz and 15 MHz, or microwave frequencies greater than 1 GHz in embodiments. The weak RF plasma frequency may be different from the remote RF frequency to further improve the integrity of the optical emission spectra. The weak plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the substrate plasma region.
  • To better understand and appreciate the embodiments disclosed herein, reference is now made to FIG. 2 which is a flow chart of a highly selective etch process 2010 according to embodiments. Prior to the first operation, the substrate is patterned and then placed within the substrate processing region in optional operation 2100. A fluorine-containing precursor (e.g. NF3) may be flowed into the remote plasma region in operation 2200. A remote plasma is formed from the fluorine-containing precursor in the remote plasma region by applying a remote plasma power across the remote plasma region to form plasma effluents. The plasma effluents are flowed through a showerhead disposed between the remote plasma region and the substrate processing region in operation 2300. The plasma effluents flow through the showerhead from the remote plasma region into the substrate processing region. A bias plasma is formed by applying a bias plasma power across the substrate processing region to further excite the plasma effluents in operation 2400. The bias plasma power is less than the remote plasma power and the bias plasma may be referred to as a “weak” plasma in embodiments. Portions of a patterned substrate are selectively etched in operation 2500. An optical emission spectrum is acquired through a viewport in the side of the substrate processing chamber (operation 2600) and the etch is stopped based on the results. The viewport forms a border of the substrate processing region. Optionally, the patterned substrate is removed from the substrate processing region (operation 2700).
  • FIG. 3A shows a schematic cross-sectional view of an exemplary substrate processing chamber. Process and equipment parameters given earlier apply to all embodiments described herein. Similarly, process and equipment parameters given here and in subsequent discussions may be used for all other embodiments described herein. The substrate processing chamber 3001 has a remote plasma region 3015 and a substrate processing region 3033 inside. The remote plasma region 3015 is partitioned from the substrate processing region 3033 by a showerhead 3025 with through-holes 3019 configured to pass plasma effluents.
  • A top plate 3017, showerhead 3025, and a pedestal 3065 supporting a substrate 3055 are shown. A fluorine-containing precursor may flow from the etchant supply system 3010 into the remote plasma region 3015. The top plate 3017 and the showerhead 3025 are electrically-separated conductors separated by insulating ring 3020. An AC potential (the remote plasma power) is applied to the top plate 3017 relative to the showerhead 3025 to form the remote plasma. The remote plasma may be a capacitively-coupled plasma (CCP) in the remote plasma region 3015. Plasma frequencies and plasma powers provided by a remote plasma power supply (not shown) were provided previously.
  • Plasma effluents derived from the fluorine precursors in the remote plasma region 3015 may travel through the through-holes 3019 in the showerhead 3025 and into the substrate processing region 3033. A bias plasma power is applied by a bias plasma power supply (not shown) to the substrate processing region 3033. The bias plasma further excites the plasma effluents. The bias plasma weakly ionizes and accelerates plasma effluents towards the substrate to beneficially accelerate or provide incoming alignment to the etch processes. The bias plasma may be formed by applying bias plasma power from a bias plasma power supply to the substrate 3055/pedestal 3065 relative to the showerhead 3025. The plasma effluents react with the substrate to etch material from the substrate.
  • Acquisition of optical emission spectra uses the recombination of electrons with ions to emit photons indicative of a presence of specific atomic species. In the embodiment represented in FIG. 3A, the bias plasma is sufficient to supply the ionization needed to acquire an optical emission spectrum. To further enable the measurement, a viewport 3071 is disposed in the side of the substrate processing chamber 3001. The optical emission spectrum is measured using an optical emission spectrometer on the outside of the viewport 3071.
  • FIG. 3B shows a schematic cross-sectional view of an exemplary substrate processing chamber. The substrate processing chamber 3001 has a remote plasma region 3015 and a substrate processing region 3033 inside. The remote plasma region 3015 is partitioned from the substrate processing region 3033 by a showerhead 3025 with through-holes 3019 to pass plasma effluents. A top plate 3017, showerhead 3025, and a pedestal 3065 supporting a substrate 3055 are shown. A fluorine-containing precursor may flow from the etchant supply system 3010 into the remote plasma region 3015. An AC potential (the remote plasma power) is applied to the top plate 3017 relative to the showerhead 3025 to form the remote plasma.
  • Plasma effluents derived from the fluorine precursors in the remote plasma region 3015 travel through the through-holes 3019 in the showerhead 3025 and into the substrate processing region 3033. The plasma effluents react with the substrate to etch material from the substrate.
  • No bias plasma power is applied to the substrate processing region 3033 in embodiments. The substrate processing region 3033 may be referred to as plasma-free and may be devoid of plasma in embodiments. A weak plasma near the substrate processing region is used to obtain an optical emission spectrum. The weak plasma results in recombination of electrons with ions and concomitant emission of photons indicative of a presence of specific atomic species. Therefore a weak plasma is formed just on the inside of a viewport 3071 by a variety of techniques to be described herein. The viewport 3071 is disposed in the side of the substrate processing chamber 3001. The optical emission spectrum is measured using an optical emission spectrometer on the outside of the viewport 3071. The weak plasma is formed by applying weak plasma power from a weak plasma power supply to one or more electrode(s) (not shown) near the viewport 3071. The weak RF plasma power may be lower than the remote RF power. A relatively low weak RF plasma power avoids swamping the remote plasma OES signal with characteristics of the weak local plasma. The weak RF plasma power may be below 10%, below 8%, below 5% or below 3% of the remote RF plasma power. The weak RF plasma power may be between 0.1 watts and 300 watts, between 0.2 watts and 100 watts, or between 0.5 watts and 20 watts in embodiments. The weak RF plasma frequency applied in the exemplary processing system to the remote plasma region may be low RF plasma frequencies less than 200 kHz, higher RF plasma frequencies between 10 MHz and 15 MHz, or microwave frequencies greater than 1 GHz in embodiments. The weak RF plasma frequency may be different from the remote RF frequency to further improve the integrity of the optical emission spectra. For example, the weak RF plasma frequency may be 60 kHz and the remote RF frequency may be 13 MHz. The plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the substrate plasma region.
  • The pressure in the substrate processing region and the remote plasma region during the etching operations may be between 0.01 Torr and 50 Torr, between 0.1 Torr and 15 Torr or between 0.5 Torr and 10 Torr in embodiments. The temperature of the patterned substrate during the etching operations may be between −20° C. and 450° C., between 0° C. and 350° C. or between 5° C. and 200° C. in embodiments.
  • Reference is now made to FIG. 4 which is plot of an optical emission spectra. An optical emission spectrum 4010 is depicted which represents a concurrent remote plasma and a weak local plasma. Another optical emission spectrum 4020 is depicted which represents only a weak local plasma. The peaks near 703.7 nm, 712.8 nm, and 720.2 nm correspond with fluorine atom concentration. The peaks near 706.5 nm and 728.1 nm correspond with helium atom concentration. Helium or another inert gas may be used to benefit the etch process but may also be useful to compare and normalize the fluorine peaks. Qualitatively, the fluorine measurements can be seen to become more pronounced when the remote plasma is in use. More generally, the fluorine measurements may be used to determine whether the fluorine concentration is changing, has reached a plateau, or has reached a predetermined setpoint during an etch process.
  • FIG. 5 is a plot of etch amount correlation with fluorine signal according to embodiments. The fluorine signal normalized to helium signal is shown on the x-axis whereas the etch amount corresponds to the y-axis. A plot of etch amount (proportion to etch rate) versus normalized fluorine signal 5010 is shown to be roughly linear with an offset. The linearity enables the normalized fluorine signal to be an in-situ indicator of the etch rate of a substrate without measuring the substrate directly.
  • FIGS. 6A and 6B show cross-sectional side views of a weak plasma viewport according to embodiments. FIG. 6A shows a substrate processing region 6033 with a tubular viewport 6071 affixed to the side and forming a vacuum seal with the wall of the substrate processing chamber. A first electrode 6072-1 and a second electrode 6072-2 are affixed to the tubular viewport 6071 on opposite sides. All electrodes described herein may be copper adhesive tape or silver paste to facilitate attaching the electrodes to the various viewports according to embodiments. Other adhesive formats may also be used. Alternatively, the electrodes may simply be placed near the tubular viewport 6071 on opposite sides since mechanical contact between the viewport and the electrodes is not necessary to form the plasma. A weak plasma 6034 is formed on the inside of the tubular viewport 6071 by applying a weak RF plasma power from a weak RF plasma power supply (not shown) between the first electrode 6072-1 and the second electrode 6072-2. FIG. 6B shows an end view of the same configuration and includes a view of the weak plasma power supply 6068. A fiber optic cable 6073 is configured to guide optical radiation from the weak plasma 6034 through the tubular viewport 6071 and into the optical emission spectrometer 6070.
  • FIGS. 7A, 7B, and 7C show cross-sectional side views of a weak plasma viewport according to embodiments. FIG. 7A shows a substrate processing region 7033 with a tubular viewport 7071 affixed to the side and forming a vacuum seal with the wall of the substrate processing chamber. An electrode 7072-1 is affixed to the tubular viewport 7071 around the exterior of the tubular viewport 7071. The electrode 7072-1 may be a piece of conducting adhesive tape (e.g. copper) or a layer of conductive paste (e.g. silver) to facilitate attaching the electrode 7072-1 to the tubular viewport 7071 according to embodiments. The electrode 7072-1 may simply be a conducting hoop looped loosely around the tubular viewport 7071 since forming the weak plasma does not rely on mechanical contact between the tubular viewport 7071 and the electrode 7072-1. A weak plasma 7034-1 is formed on the inside of the tubular viewport 7071 by applying a weak RF plasma power from a weak RF plasma power supply 7068-1 between the electrode 7072-1 and the rest of the substrate processing chamber or the wall shown as a border of the substrate processing region 7033. Also shown is a fiber optic cable 7073 configured to guide optical radiation from the weak plasma 7034 through the tubular viewport 7071 and into the optical emission spectrometer 7070. FIG. 7B shows an end view of the same configuration and includes a view of the electrode 7072-1 which is shaped like a hoop. FIG. 7C shows a related configuration having an electrode 7072-2 over the end of the tubular viewport 7071 and the fiber optic cable 7073 is relocated to peer at the weak plasma 7034-2 from a different angle through an unobstructed portion of the tubular viewport 7071. A weak RF plasma power supply 7068-2 provides the weak RF plasma power between the electrode 7072-2 and the wall of the substrate processing chamber.
  • FIGS. 8A and 8B show cross-sectional side views of a weak plasma viewport according to embodiments. FIG. 8A shows a substrate processing region 8033 with a planar viewport 8071 affixed to the side and forming a vacuum seal with the wall of the substrate processing chamber. A first electrode 8072-1 and a second electrode 8072-2 are affixed to the planar viewport 8071 without any direct electrical connection between them. The first electrode 8072-1 and the second electrode may be pieces of conducting adhesive tape (e.g. copper), a layer of conductive paste (e.g. silver) or electrodes placed near or adjacent to the planar viewport 8071. The electrodes (8072-1, 8072-2) do not need to be in mechanical contact with the planar viewport 8071, in embodiments, to provide the capability of forming a weak plasma 8034-1. The weak plasma 8034-1 is formed on the inside of the planar viewport 8071 by applying a weak RF plasma power from a weak RF plasma power supply 8068-1 between the first electrode 8072-1 and the second electrode 8072-2. Also shown is a fiber optic cable 8073 configured to guide optical radiation from the weak plasma 8034-1 through the planar viewport 8071 and into the optical emission spectrometer 8070. FIG. 8B shows a substrate processing region 8033 with a planar viewport 8071 affixed to the side and forming a vacuum seal with the wall of the substrate processing chamber. An electrode 8072-3 is affixed to the planar viewport 8071. The first electrode 8072-1 may again be a piece of conducting adhesive tape, a layer of conductive paste, or an electrode placed very near or contacting the planar viewport 8071. The weak plasma 8034-1 is formed on the inside of the planar viewport 8071 by applying a weak RF plasma power from a weak RF plasma power supply 8068-2 between the electrode 8072-3 and the rest of the substrate processing chamber or the wall shown as a border of the substrate processing region 8033. Also shown is a fiber optic cable 8073 configured to guide optical radiation from the weak plasma 8034-2 through the planar viewport 8071 and into the optical emission spectrometer 8070.
  • The tubular viewports described herein may be more prone to breakage since they stick out from the substrate processing chamber. The planar viewports provide the benefit of reducing the chance of accidentally breaking the viewport. The thickness of the planar viewports described herein affect the intensity of the weak plasmas inside the substrate processing chamber near the substrate. The thickness of the planar viewports may be between 1 mm and 15 mm, between 2 mm and 10 mm or preferably between 3 mm and 8 mm according to embodiments. The height and/or width of the planar viewports (as viewed from the axis of the thinnest dimension) may be between 20 mm and 100 mm or between 30 mm and 70 mm in embodiments. The fiber optic cable in all embodiments described herein may be positioned to the side of the substrate and just above the major plane of the substrate to preferentially sample the portion of the plasma effluents most likely to be participating in the etch process. The fiber optic cable may point horizontally (parallel to the major plane of the substrate) between 1 mm and 10 mm above the top surface of the substrate according to embodiments.
  • In embodiments, an ion suppressor (which may be the showerhead) may be used to provide radical and/or neutral species for gas-phase etching. The ion suppressor may also be referred to as an ion suppression element and may be positioned between the remote chamber region and the substrate processing region along with the showerhead. In embodiments, for example, the ion suppressor is used to filter etching plasma effluents en route from the remote plasma region(s) to the substrate processing region. The ion suppressor may be used to provide a reactive gas having a higher concentration of radicals than ions. Plasma effluents pass through the ion suppressor disposed between the remote plasma region and the substrate processing region. The ion suppressor functions to dramatically reduce or substantially eliminate ionic species traveling from the plasma generation region to the substrate. The ion suppressors described herein are simply one way to achieve a low electron temperature in the substrate processing region during the gas-phase etch processes described herein.
  • In embodiments, an electron beam is passed through the substrate processing region in a plane parallel to the substrate to reduce the electron temperature of the plasma effluents. A simpler showerhead may be used if an electron beam is applied in this manner. The electron beam may be passed as a laminar sheet disposed above the substrate in embodiments. The electron beam provides a source of neutralizing negative charge and provides a more active means for reducing the flow of positively charged ions towards the substrate and increasing the etch selectivity in embodiments. The flow of plasma effluents and various parameters governing the operation of the electron beam may be adjusted to lower the electron temperature measured in the substrate processing region.
  • The electron temperature may be measured using a Langmuir probe in the substrate processing region during excitation of a plasma in the remote plasma. In all plasma-free regions described herein (especially in the substrate processing region), the electron temperature may be less than 0.5 eV, less than 0.45 eV, less than 0.4 eV, or less than 0.35 eV. These extremely low values for the electron temperature are enabled by the presence of the electron beam, showerhead and/or the ion suppressor. Uncharged neutral and radical species may pass through the electron beam and/or the openings in the ion suppressor to react at the substrate. Such a process using radicals and other neutral species can reduce plasma damage compared to conventional plasma etch processes that include sputtering and bombardment. Embodiments disclosed herein are also advantageous over conventional wet etch processes where surface tension of liquids can cause bending and peeling of small features.
  • The substrate processing region may be described herein as “plasma-free” during the etch processes described herein. “Plasma-free” does not necessarily mean the region is devoid of plasma. A weak plasma may be present to perform the optical emission spectroscopy measurement but the region directly above the substrate may be devoid of plasma since the weak plasma is positioned off to the side of the substrate processing region in embodiments. Ionized species and free electrons created within the plasma region may travel through pores (apertures) in the partition (showerhead) at exceedingly small concentrations. The borders of the plasma in the remote plasma region (e.g. the remote chamber region and/or the remote plasma region) may encroach to some small degree upon the substrate processing region through the apertures in the showerhead. Furthermore, a low intensity plasma may be created in the substrate processing region without eliminating desirable features of the etch processes described herein. All causes for a plasma having much lower intensity ion density than the remote plasma region during the creation of the excited plasma effluents do not deviate from the scope of “plasma-free” as used herein.
  • As used herein “substrate” may be a support substrate with or without layers formed thereon. The patterned substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. Exposed “silicon oxide” of the patterned substrate is predominantly SiO2 but may include concentrations of other elemental constituents such as, e.g., nitrogen, hydrogen and carbon. In some embodiments, silicon oxide portions etched using the methods disclosed herein consist essentially of silicon and oxygen. Exposed “silicon nitride” of the patterned substrate is predominantly Si3N4 but may include concentrations of other elemental constituents such as, e.g., oxygen, hydrogen and carbon. In some embodiments, silicon nitride portions described herein consist essentially of silicon and nitrogen. Generally speaking, a first exposed portion of a patterned substrate is etched faster than a second exposed portion. The first exposed portion may have an atomic stoichiometry which differs from the second exposed portion. In embodiments, the first exposed portion may contain an element which is not present in the second exposed portion. Similarly, the second exposed portion may contain an element which is not present in the first exposed portion according to embodiments.
  • The term “precursor” is used to refer to any chemical which takes part in a reaction to either remove material from or deposit material onto a surface. “Plasma effluents” describe gas exiting from the remote plasma region and entering the remote chamber region and/or the substrate processing region. Plasma effluents are in an “excited state” wherein at least some of the gas molecules are in vibrationally-excited, dissociated and/or ionized states. A “radical precursor” is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface. “Radical-fluorine precursors” describe radical precursors which contain fluorine but may contain other elemental constituents. The phrase “inert gas” refers to any gas which does not form chemical bonds when etching or being incorporated into a film. Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.
  • Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well-known processes and elements have not been described to avoid unnecessarily obscuring the present embodiments. Accordingly, the above description should not be taken as limiting the scope of the claims.
  • Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the claims, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.
  • As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the dielectric material” includes reference to one or more dielectric materials and equivalents thereof known to those skilled in the art, and so forth.
  • Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims (11)

1. A substrate processing chamber, the substrate processing chamber comprising:
a remote plasma region, wherein the remote plasma region is configured to receive a fluorine-containing precursor and form a remote plasma from the fluorine-containing precursor;
a remote plasma power supply configured to apply a remote plasma power to the remote plasma region and configured to form the remote plasma;
a substrate processing region;
a showerhead disposed between the remote plasma region and the substrate processing region, wherein the substrate processing region is fluidly coupled to the remote plasma region by through-holes in the showerhead;
a pedestal configured to support a substrate;
a flange attached to the substrate processing chamber, wherein the flange forms a vacuum seal with the substrate processing chamber;
a viewport attached to the flange forming a vacuum seal with the flange, wherein the viewport is optically transmissive in a near infrared spectrum; and
an optical emission spectrometer configured to receive optical radiation after the optical radiation passes through the viewport, wherein the optical emission spectrometer is disposed on an exterior of the viewport and the optical radiation originates from above the substrate.
2. The substrate processing chamber of claim 1 further comprising a local plasma power supply configured to form a local plasma in the substrate processing region, wherein the local plasma has a local plasma power less than 10% of the remote plasma power.
3. The substrate processing chamber of claim 1 further comprising a fiber optic cable configured to guide the optical radiation from the viewport to the optical emission spectrometer.
4. The substrate processing chamber of claim 1 further comprising an electrode proximal to the viewport, wherein the electrode is disposed on the exterior of the viewport.
5. The substrate processing chamber of claim 4 further comprising a plasma power supply configured to apply a plasma power to the electrode.
6. The substrate processing chamber of claim 4 further comprising a second electrode configured to apply a plasma power to the electrode, wherein the electrode is electrically insulated from the second electrode.
7. An optical emission spectrometer assembly, the optical emission spectrometer assembly comprising:
a flange configured to attach to a substrate processing chamber, wherein the flange is configured to form a vacuum seal with the substrate processing chamber;
a planar viewport attached to the flange forming a vacuum seal with the flange, wherein the planar viewport is optically transmissive in a near infrared spectrum;
an electrode proximal to the planar viewport, wherein the electrode is disposed on an external side of the planar viewport;
an optical emission spectrometer configured to receive optical radiation after the optical radiation passes through the planar viewport, wherein the optical emission spectrometer is disposed on the external side of the planar viewport; and
a plasma power supply configured to apply a plasma power to the electrode.
8. The optical emission spectrometer assembly of claim 7 further comprising a fiber optic cable configured to guide infrared light from the planar viewport to the optical emission spectrometer.
9. The optical emission spectrometer assembly of claim 7 wherein the plasma power supply is configured to apply the plasma power between the electrode and the substrate processing chamber.
10. The optical emission spectrometer assembly of claim 7 further comprising a second electrode proximal to the planar viewport, wherein the electrode is electrically insulated from the second electrode.
11. The optical emission spectrometer assembly of claim 10 wherein the plasma power supply is configured to apply the plasma power between the electrode and the second electrode.
US16/400,615 2017-04-11 2019-05-01 Optical emission spectroscopy (oes) for remote plasma monitoring Pending US20190259580A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/400,615 US20190259580A1 (en) 2017-04-11 2019-05-01 Optical emission spectroscopy (oes) for remote plasma monitoring

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/484,985 US10319649B2 (en) 2017-04-11 2017-04-11 Optical emission spectroscopy (OES) for remote plasma monitoring
US16/400,615 US20190259580A1 (en) 2017-04-11 2019-05-01 Optical emission spectroscopy (oes) for remote plasma monitoring

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/484,985 Division US10319649B2 (en) 2017-04-11 2017-04-11 Optical emission spectroscopy (OES) for remote plasma monitoring

Publications (1)

Publication Number Publication Date
US20190259580A1 true US20190259580A1 (en) 2019-08-22

Family

ID=63711239

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/484,985 Active US10319649B2 (en) 2017-04-11 2017-04-11 Optical emission spectroscopy (OES) for remote plasma monitoring
US16/400,615 Pending US20190259580A1 (en) 2017-04-11 2019-05-01 Optical emission spectroscopy (oes) for remote plasma monitoring

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/484,985 Active US10319649B2 (en) 2017-04-11 2017-04-11 Optical emission spectroscopy (OES) for remote plasma monitoring

Country Status (6)

Country Link
US (2) US10319649B2 (en)
JP (1) JP6882515B2 (en)
KR (1) KR102333038B1 (en)
CN (1) CN110494967B (en)
TW (1) TWI808080B (en)
WO (1) WO2019018019A2 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114286B2 (en) 2019-04-08 2021-09-07 Applied Materials, Inc. In-situ optical chamber surface and process sensor
US11499869B2 (en) 2019-11-13 2022-11-15 Applied Materials, Inc. Optical wall and process sensor with plasma facing sensor
CN111599717A (en) * 2020-05-09 2020-08-28 北京北方华创微电子装备有限公司 Semiconductor reaction chamber and atomic layer plasma etching machine
US11437289B2 (en) * 2020-09-30 2022-09-06 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
US11823939B2 (en) * 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
KR20240025184A (en) * 2022-08-18 2024-02-27 한국핵융합에너지연구원 Viewport for plasma monitoring, plasma generator comprising the same and method for monitoring plasma

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6306246B1 (en) * 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
US20050127192A1 (en) * 2003-12-15 2005-06-16 Hyun-Kyu Kang Endpoint detector for a substrate manufacturing process

Family Cites Families (1667)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3401302A (en) 1965-11-01 1968-09-10 Humphreys Corp Induction plasma generator including cooling means, gas flow means, and operating means therefor
US3537474A (en) 1968-02-19 1970-11-03 Varian Associates Push button vacuum control valve and vacuum system using same
US3756511A (en) 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US3969077A (en) 1971-12-16 1976-07-13 Varian Associates Alkali metal leak detection method and apparatus
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4190488A (en) 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4361441A (en) 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (en) 1980-03-11 1986-06-18 Oronzio De Nora Impianti PROCEDURE FOR THE FORMATION OF ELECTROCES ON THE SURFACES OF SEMI-PERMEABLE MEMBRANES AND ELECTRODE-MEMBRANE SYSTEMS SO PRODUCED
US4361418A (en) 1980-05-06 1982-11-30 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
NL8004005A (en) 1980-07-11 1982-02-01 Philips Nv METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (en) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "METHOD FOR THE PRODUCTION OF FLUOREDOTED LIGHT-CONDUCTING FIBERS"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (en) 1982-05-28 1984-01-07 Fujitsu Ltd Plasma cvd device
JPS59126778A (en) 1983-01-11 1984-07-21 Tokyo Denshi Kagaku Kabushiki Method and device for plasma etching
JPS6060060A (en) 1983-09-12 1985-04-06 株式会社日立製作所 Switchgear for door of railway rolling stock
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4656076A (en) 1985-04-26 1987-04-07 Triquint Semiconductors, Inc. Self-aligned recessed gate process
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4610775A (en) 1985-07-26 1986-09-09 Westinghouse Electric Corp. Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber
JPS6245119A (en) 1985-08-23 1987-02-27 Matsushita Electric Ind Co Ltd Dry etching device
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4668335A (en) 1985-08-30 1987-05-26 Advanced Micro Devices, Inc. Anti-corrosion treatment for patterning of metallic layers
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JPS63204726A (en) 1987-02-20 1988-08-24 Anelva Corp Vacuum treatment device
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
DE3856483T2 (en) 1987-03-18 2002-04-18 Toshiba Kawasaki Kk Process for the production of thin layers
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JP2598019B2 (en) 1987-06-01 1997-04-09 富士通株式会社 Photoreceptor manufacturing method
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4828649A (en) 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4919750A (en) 1987-09-14 1990-04-24 International Business Machines Corporation Etching metal films with complexing chloride plasma
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
KR930003136B1 (en) 1987-10-14 1993-04-22 후루가와덴기 고오교오 가부시기가이샤 Method and apparatus for thinfilm formation by plasma cvd
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JP2804037B2 (en) 1988-02-05 1998-09-24 株式会社東芝 Dry etching method
JPH01297141A (en) 1988-05-25 1989-11-30 Canon Inc Microwave plasma processing device
US4900856A (en) 1988-05-26 1990-02-13 Ethyl Corporation Preparation of metal halide-amine complexes
JPH029115A (en) 1988-06-28 1990-01-12 Mitsubishi Electric Corp Semiconductor manufacturing equipment
JPH02114525A (en) 1988-10-24 1990-04-26 Toshiba Corp Removal of organic compound film and its removing device
JPH02114530A (en) 1988-10-25 1990-04-26 Mitsubishi Electric Corp Thin film formation device
KR930004115B1 (en) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 Ashing apparatus and treatment method thereof
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
JP2823276B2 (en) 1989-03-18 1998-11-11 株式会社東芝 Method for manufacturing X-ray mask and apparatus for controlling internal stress of thin film
US4946903A (en) 1989-03-27 1990-08-07 The Research Foundation Of State University Of Ny Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
DE69111493T2 (en) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer heaters for apparatus, for semiconductor manufacturing heating system with these heaters and manufacture of heaters.
JP2960466B2 (en) 1990-03-19 1999-10-06 株式会社日立製作所 Method and apparatus for forming wiring insulating film of semiconductor device
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5069938A (en) 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5083030A (en) 1990-07-18 1992-01-21 Applied Photonics Research Double-sided radiation-assisted processing apparatus
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (en) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 Plasma cvd method for using pulsed waveform
EP0478233B1 (en) 1990-09-27 1996-01-03 AT&T Corp. Process for fabricating integrated circuits
JPH04142738A (en) 1990-10-04 1992-05-15 Sony Corp Dry-etching method
JPH04355917A (en) 1990-10-12 1992-12-09 Seiko Epson Corp Manufacturing device for semiconductor device
JPH0817171B2 (en) 1990-12-31 1996-02-21 株式会社半導体エネルギー研究所 Plasma generator and etching method using the same
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (en) 1990-10-30 1997-08-13 三菱電機株式会社 Semiconductor device and manufacturing method thereof
JP3206916B2 (en) 1990-11-28 2001-09-10 住友電気工業株式会社 Method for reducing defect concentration, method for producing optical glass for transmitting ultraviolet light, and optical glass for transmitting ultraviolet light
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (en) 1991-01-23 1998-01-14 日本電気株式会社 Method of forming fluorine-containing silicon oxide film
JP2787142B2 (en) 1991-03-01 1998-08-13 上村工業 株式会社 Electroless tin, lead or their alloy plating method
DE4107006A1 (en) 1991-03-05 1992-09-10 Siemens Ag METHOD FOR ANISOTROPICALLY DRYING ALUMINUM OR BZW. ALUMINUM ALLOYS CONTAINING LADDER RAILINGS IN INTEGRATED SEMICONDUCTOR CIRCUITS
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5330578A (en) 1991-03-12 1994-07-19 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
US5290383A (en) * 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
WO1992017900A1 (en) 1991-04-03 1992-10-15 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (en) 1991-05-16 1992-11-27 Toshiba Corp Method for forming thin film and device therefor
JP2699695B2 (en) 1991-06-07 1998-01-19 日本電気株式会社 Chemical vapor deposition
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH0521393A (en) 1991-07-11 1993-01-29 Sony Corp Plasma processor
JPH0562936A (en) 1991-09-03 1993-03-12 Mitsubishi Electric Corp Plasma processor and plasma cleaning method
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (en) 1991-12-04 1993-09-03 Nec Corp Manufacture of semiconductor device
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (en) 1992-03-25 2000-09-04 東京エレクトロン株式会社 Method for etching SiO2 film
JP2773530B2 (en) 1992-04-15 1998-07-09 日本電気株式会社 Method for manufacturing semiconductor device
JP2792335B2 (en) 1992-05-27 1998-09-03 日本電気株式会社 Method for manufacturing semiconductor device
EP0647163B1 (en) 1992-06-22 1998-09-09 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (en) 1992-07-17 2005-08-31 株式会社東芝 Manufacturing method of semiconductor device
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5292370A (en) 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2809018B2 (en) 1992-11-26 1998-10-08 日本電気株式会社 Semiconductor device and manufacturing method thereof
KR100238629B1 (en) 1992-12-17 2000-01-15 히가시 데쓰로 Stage having eletrostatic chuck and plasma processing apparatus using same
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (en) 1993-03-31 2001-12-10 キヤノン株式会社 Plasma processing apparatus and processing method
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
KR0142150B1 (en) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 Method for etching boron nitride
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5292682A (en) 1993-07-06 1994-03-08 Eastman Kodak Company Method of making two-phase charge coupled device
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5685946A (en) 1993-08-11 1997-11-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (en) 1993-10-18 1995-06-12 Ladislav Bardos A method and apparatus for generating a discharge in own vapor from a radio frequency electrode for continuous self-sputtering of the electrode
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3188363B2 (en) 1994-01-21 2001-07-16 エフエスアイ・インターナショナル・インコーポレーテッド Temperature controller using circulating coolant and temperature control method therefor
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
DE69531880T2 (en) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Method for operating a CVD reactor with a high plasma density with combined inductive and capacitive coupling
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
JP3501524B2 (en) 1994-07-01 2004-03-02 東京エレクトロン株式会社 Vacuum exhaust system for processing equipment
JP3411678B2 (en) 1994-07-08 2003-06-03 東京エレクトロン株式会社 Processing equipment
US5592358A (en) 1994-07-18 1997-01-07 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08107101A (en) 1994-10-03 1996-04-23 Fujitsu Ltd Plasma processing device and plasma processing method
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
CN1053764C (en) 1994-12-09 2000-06-21 中国科学院微电子中心 Beam caused electrographic technology
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08279495A (en) 1995-02-07 1996-10-22 Seiko Epson Corp Method and system for plasma processing
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
JPH08264510A (en) 1995-03-27 1996-10-11 Toshiba Corp Method and device for etching silicon nitride film
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3270852B2 (en) 1995-04-20 2002-04-02 東京エレクトロン株式会社 Pressure adjusting device and room communication method using the same
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3599204B2 (en) 1995-06-08 2004-12-08 アネルバ株式会社 CVD equipment
JP2814370B2 (en) 1995-06-18 1998-10-22 東京エレクトロン株式会社 Plasma processing equipment
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
WO1997009737A1 (en) 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
JPH09106898A (en) 1995-10-09 1997-04-22 Anelva Corp Plasma cvd device, plasma processor, and plasma cvd method
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (en) 1995-10-11 1997-04-22 Anelva Corp Plasma cvd device and method, and dry etching device and method
US5814238A (en) 1995-10-12 1998-09-29 Sandia Corporation Method for dry etching of transition metals
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US6065424A (en) 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5883012A (en) 1995-12-21 1999-03-16 Motorola, Inc. Method of etching a trench into a semiconductor substrate
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
DE69623651T2 (en) 1995-12-27 2003-04-24 Lam Res Corp METHOD FOR FILLING TRENCHES ON A SEMICONDUCTOR DISC
EP0809284B8 (en) 1995-12-28 2007-06-13 Taiyo Nippon Sanso Corporation Method and system for transporting substrate wafers
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
JPH09260356A (en) 1996-03-22 1997-10-03 Toshiba Corp Dry etching method
DE69739101D1 (en) 1996-03-25 2008-12-24 S George Lesinski MICRO DRIVE MOUNTING FOR IMPLANTED HEARING AID
US6065425A (en) 1996-03-25 2000-05-23 Canon Kabushiki Kaisha Plasma process apparatus and plasma process method
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
KR100230981B1 (en) 1996-05-08 1999-11-15 김광호 Plasma etching method for manufacturing process of semiconductor device
US5660957A (en) 1996-05-16 1997-08-26 Fujitsu Limited Electron-beam treatment procedure for patterned mask layers
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH1068094A (en) 1996-06-13 1998-03-10 Samsung Electron Co Ltd Etching gaseous mixture for transition metallic thin film and method for etching transition metallic thin film formed by using the same
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (en) 1996-11-05 2000-01-15 윤종용 Pedestal in semiconductor chamber
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US6019848A (en) 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (en) 1996-12-04 1999-02-26 Berenguer Marc PROCESS FOR TREATING A SEMICONDUCTOR SUBSTRATE COMPRISING A SURFACE TREATMENT STEP
US5951896A (en) 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
JPH10172792A (en) 1996-12-05 1998-06-26 Tokyo Electron Ltd Plasma processing device
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5948702A (en) 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
KR100234539B1 (en) 1996-12-24 1999-12-15 윤종용 Etching apparatus for semiconductor device
US5788825A (en) 1996-12-30 1998-08-04 Samsung Electronics Co., Ltd. Vacuum pumping system for a sputtering device
US5955037A (en) 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
DE19700231C2 (en) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Device for filtering and separating flow media
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JPH10223608A (en) 1997-02-04 1998-08-21 Sony Corp Manufacture of semiconductor device
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
DE19706682C2 (en) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropic fluorine-based plasma etching process for silicon
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6328803B2 (en) 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
KR100295518B1 (en) 1997-02-25 2001-11-30 아끼구사 나오유끼 Etching method of silicon nitride layer and manufacturing method of semiconductor device
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
TW418461B (en) 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
JPH10284360A (en) 1997-04-02 1998-10-23 Hitachi Ltd Substrate temperature control equipment and method
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
JPH1136076A (en) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd deposition apparatus and cvd deposition method
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US6007635A (en) 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6136165A (en) 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6415858B1 (en) 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6186091B1 (en) 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP4151862B2 (en) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 CVD equipment
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
KR20010042419A (en) 1998-04-02 2001-05-25 조셉 제이. 스위니 Method for etching low k dielectrics
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6174810B1 (en) 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
JPH11307604A (en) * 1998-04-17 1999-11-05 Toshiba Corp Process monitoring method and device
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6007785A (en) 1998-05-20 1999-12-28 Academia Sinica Apparatus for efficient ozone generation
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (en) 1998-06-16 2001-08-07 박종섭 method for fabricating semiconductor device having HDP-CVD oxide layer as passivation layer
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
ATE316426T1 (en) 1998-06-30 2006-02-15 Semitool Inc METALLIZATION STRUCTURES FOR MICROELECTRONIC APPLICATIONS AND METHOD FOR PRODUCING THESE STRUCTURES
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
JP3857813B2 (en) * 1998-06-30 2006-12-13 浜松ホトニクス株式会社 Semiconductor manufacturing condition setting method and condition setting method
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (en) 1998-07-09 2000-01-25 Komatsu Ltd Surface treating device
KR100265866B1 (en) 1998-07-11 2000-12-01 황철주 Apparatus for manufacturing semiconductor device
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6162370A (en) 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (en) 1998-09-29 2005-12-14 株式会社東芝 Semiconductor device
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
JP3764594B2 (en) 1998-10-12 2006-04-12 株式会社日立製作所 Plasma processing method
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (en) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド Film forming method and apparatus
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
DE59914708D1 (en) 1998-12-24 2008-05-08 Atmel Germany Gmbh Process for the anisotropic plasma chemical dry etching of silicon nitride layers by means of a fluorine-containing gas mixture
DE19901210A1 (en) 1999-01-14 2000-07-27 Siemens Ag Semiconductor component and method for its production
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (en) 1999-01-27 2002-09-30 松下電器産業株式会社 Etching method
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
JP2000290777A (en) 1999-04-07 2000-10-17 Tokyo Electron Ltd Gas treating device, buffle member, and gas treating method
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6110832A (en) 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP3099066B1 (en) 1999-05-07 2000-10-16 東京工業大学長 Manufacturing method of thin film structure
JP3482904B2 (en) 1999-05-10 2004-01-06 松下電器産業株式会社 Plasma processing method and apparatus
US20020129902A1 (en) 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6129829A (en) 1999-05-14 2000-10-10 Thompson; Donald E. Electrostatic filter for dielectric fluid
JP2000331993A (en) 1999-05-19 2000-11-30 Mitsubishi Electric Corp Plasma processing device
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
EP1115147A4 (en) 1999-05-26 2007-05-02 Tadahiro Ohmi Plasma process device
JP3320685B2 (en) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ Fine pattern forming method
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6161576A (en) 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
FR2795555B1 (en) 1999-06-28 2002-12-13 France Telecom METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE INCLUDING AN ALTERNATIVELY STACKED LAYER OF SILICON AND LAYERS OF DIELECTRIC MATERIAL
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
DE69939899D1 (en) 1999-08-17 2008-12-24 Applied Materials Inc Method and apparatus for improving the properties of a low-k Si-O-C film
JP4220075B2 (en) 1999-08-20 2009-02-04 東京エレクトロン株式会社 Film forming method and film forming apparatus
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6548414B2 (en) 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP3514186B2 (en) 1999-09-16 2004-03-31 日新電機株式会社 Thin film forming method and apparatus
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6321587B1 (en) 1999-10-15 2001-11-27 Radian International Llc Solid state fluorine sensor system and method
US6423284B1 (en) 1999-10-18 2002-07-23 Advanced Technology Materials, Inc. Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (en) 1999-10-25 2002-05-30 윤종용 Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer
DE29919142U1 (en) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasma nozzle
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP3366301B2 (en) 1999-11-10 2003-01-14 日本電気株式会社 Plasma CVD equipment
US6162302A (en) 1999-11-16 2000-12-19 Agilent Technologies Method of cleaning quartz substrates using conductive solutions
US8114245B2 (en) 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6465350B1 (en) 1999-11-29 2002-10-15 Texas Instruments Incorporated Aluminum nitride thin film formation on integrated circuits
US6573194B2 (en) 1999-11-29 2003-06-03 Texas Instruments Incorporated Method of growing surface aluminum nitride on aluminum films with low energy barrier
US6599842B2 (en) 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
WO2001040537A1 (en) 1999-11-30 2001-06-07 The Regents Of The University Of California Method for producing fluorinated diamond-like carbon films
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
DE10060002B4 (en) 1999-12-07 2016-01-28 Komatsu Ltd. Device for surface treatment
KR20010062209A (en) 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
JP3659101B2 (en) 1999-12-13 2005-06-15 富士ゼロックス株式会社 Nitride semiconductor device and manufacturing method thereof
JP4695238B2 (en) 1999-12-14 2011-06-08 東京エレクトロン株式会社 Pressure control method
KR100385133B1 (en) 1999-12-16 2003-05-22 엘지전자 주식회사 System of Multiplexing and Demultiplexing Cell in the Switching System
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
WO2001046492A1 (en) 1999-12-22 2001-06-28 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (en) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (en) 2000-02-10 2005-12-07 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
KR100545034B1 (en) 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 Plasma processing apparatus and method for processing substrate
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP2001319885A (en) 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc Processing system for substrate and method for producing semiconductor
JP3979791B2 (en) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US6537707B1 (en) 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3433721B2 (en) 2000-03-28 2003-08-04 ティーディーケイ株式会社 Dry etching method and fine processing method
JP2003529926A (en) 2000-03-30 2003-10-07 東京エレクトロン株式会社 Method and apparatus for adjustable gas injection into a plasma processing system
JP4056195B2 (en) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
DE10016340C1 (en) 2000-03-31 2001-12-06 Promos Technologies Inc Fabrication of deep trench in semiconductor substrate during e.g., fabrication of deep-trench type capacitor utilizes plasma etching composition comprising hydrogen bromide, nitrogen fluoride, chlorine gas, and helium/oxygen gas mixture
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
JP2001355074A (en) 2000-04-10 2001-12-25 Sony Corp Electroless plating method, and apparatus thereof
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
KR20010096229A (en) 2000-04-18 2001-11-07 황 철 주 Apparatus and method for forming ultra-thin film of semiconductor device
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (en) 2000-04-21 2001-11-02 Tokyo Electron Ltd Equipment and method for heat treatment
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP2001313282A (en) 2000-04-28 2001-11-09 Nec Corp Method of dry etching
KR100367662B1 (en) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 Hyperthermal Neutral Particle Beam Source and Neutral Particle Beam Processing Apparatus Employing the Same
JP3662472B2 (en) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 Substrate surface treatment method
EP1435654A3 (en) 2000-05-10 2004-07-14 Ibiden Co., Ltd. Electrostatic chuck
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
KR100638917B1 (en) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 Mechanism and method for assembling processing device part
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
JP3448737B2 (en) 2000-05-25 2003-09-22 住友重機械工業株式会社 Wafer chuck cooling plate and wafer chuck
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP2002194547A (en) 2000-06-08 2002-07-10 Applied Materials Inc Method of depositing amorphous carbon layer
KR20010111058A (en) 2000-06-09 2001-12-15 조셉 제이. 스위니 Full area temperature controlled electrostatic chuck and method of fabricating same
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
TW527436B (en) 2000-06-23 2003-04-11 Anelva Corp Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
DE10032607B4 (en) 2000-07-07 2004-08-12 Leo Elektronenmikroskopie Gmbh Particle beam device with a particle source to be operated in ultra-high vacuum and a cascade-shaped pump arrangement for such a particle beam device
US6736987B1 (en) 2000-07-12 2004-05-18 Techbank Corporation Silicon etching apparatus using XeF2
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (en) 2000-07-18 2003-01-09 삼성전자 주식회사 Method for cleaning semiconductor substrate or LCD substrate
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP2002075972A (en) 2000-09-04 2002-03-15 Hitachi Ltd Method for fabricating semiconductor device
JP4484345B2 (en) 2000-09-11 2010-06-16 東京エレクトロン株式会社 Semiconductor device and manufacturing method thereof
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP4717295B2 (en) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 Dry etching apparatus and etching method
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
DK200001497A (en) 2000-10-08 2002-04-09 Scanavo As Storage device for a data carrier
KR100375102B1 (en) 2000-10-18 2003-03-08 삼성전자주식회사 Method for CVD and apparatus for performing the same in semiconductor device processing
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (en) 2000-11-24 2003-05-09 삼성전자주식회사 Method of manufacturing semiconductor device in the clustered plasma apparatus
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
FR2819341B1 (en) 2001-01-11 2003-06-27 St Microelectronics Sa METHOD FOR INTEGRATING A DRAM CELL
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
JP4644943B2 (en) 2001-01-23 2011-03-09 東京エレクトロン株式会社 Processing equipment
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
KR100423953B1 (en) 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 Chemical Vapor Deposition Apparatus
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP5013353B2 (en) 2001-03-28 2012-08-29 隆 杉野 Film forming method and film forming apparatus
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
FR2823032B1 (en) 2001-04-03 2003-07-11 St Microelectronics Sa ELECTROMECHANICAL RESONATOR WITH VIBRATING BEAM
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP3707394B2 (en) 2001-04-06 2005-10-19 ソニー株式会社 Electroless plating method
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6914009B2 (en) 2001-05-07 2005-07-05 Applied Materials Inc Method of making small transistor lengths
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020170678A1 (en) 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
CN1516895A (en) 2001-06-14 2004-07-28 马特森技术公司 Barrier enhancement process for copper interconnects
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6685803B2 (en) 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3914452B2 (en) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US7179556B2 (en) 2001-08-10 2007-02-20 Denso Corporation Fuel cell system
EP1418619A4 (en) 2001-08-13 2010-09-08 Ebara Corp Semiconductor device and production method therefor, and plating solution
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003021002A1 (en) 2001-08-29 2003-03-13 Tokyo Electron Limited Apparatus and method for plasma processing
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
KR100441297B1 (en) 2001-09-14 2004-07-23 주성엔지니어링(주) Remote plasma-Assisted CCP type PECVD apparatus
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6462372B1 (en) 2001-10-09 2002-10-08 Silicon-Based Technology Corp. Scaled stack-gate flash memory device
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
KR100433091B1 (en) 2001-10-23 2004-05-28 주식회사 하이닉스반도체 Method for forming metal line using damascene process
JP3759895B2 (en) 2001-10-24 2006-03-29 松下電器産業株式会社 Etching method
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030087488A1 (en) 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
JP4040284B2 (en) 2001-11-08 2008-01-30 住友大阪セメント株式会社 Electrode built-in susceptor for plasma generation and manufacturing method thereof
JP2003158080A (en) 2001-11-22 2003-05-30 Mitsubishi Electric Corp Semiconductor manufacturing device, deposit removing method therein and manufacturing method for semiconductor device
KR100443121B1 (en) 2001-11-29 2004-08-04 삼성전자주식회사 Method for processing of semiconductor and apparatus for processing of semiconductor
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
AU2002354103A1 (en) 2001-12-07 2003-06-17 Tokyo Electron Limited Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
JP4392852B2 (en) 2001-12-07 2010-01-06 東京エレクトロン株式会社 Exhaust ring mechanism and plasma processing apparatus used in plasma processing apparatus
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US20060051968A1 (en) 2001-12-13 2006-03-09 Joshi Ajey M Self-aligned contact etch with high sensitivity to nitride shoulder
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
JP2005514762A (en) 2001-12-20 2005-05-19 東京エレクトロン株式会社 Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
KR100442167B1 (en) 2001-12-26 2004-07-30 주성엔지니어링(주) Method of removing native oxide film
KR100484258B1 (en) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6828241B2 (en) 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
TWI239794B (en) 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7033447B2 (en) 2002-02-08 2006-04-25 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US7048814B2 (en) 2002-02-08 2006-05-23 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US6821348B2 (en) 2002-02-14 2004-11-23 3M Innovative Properties Company In-line deposition processes for circuit fabrication
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6656848B1 (en) 2002-02-22 2003-12-02 Scientific Systems Research Limited Plasma chamber conditioning
JP3921234B2 (en) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 Surface treatment apparatus and manufacturing method thereof
US6677167B2 (en) 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20060252265A1 (en) 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7252011B2 (en) 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
JP3813562B2 (en) 2002-03-15 2006-08-23 富士通株式会社 Semiconductor device and manufacturing method thereof
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
JP4053326B2 (en) 2002-03-27 2008-02-27 東芝松下ディスプレイテクノロジー株式会社 Thin film transistor manufacturing method
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3773189B2 (en) 2002-04-24 2006-05-10 独立行政法人科学技術振興機構 Window probe, plasma monitoring apparatus, and plasma processing apparatus
KR100448714B1 (en) 2002-04-24 2004-09-13 삼성전자주식회사 Insulating layer in Semiconductor Device with Multi-nanolaminate Structure of SiNx and BN and Method for Forming the Same
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003324072A (en) 2002-05-07 2003-11-14 Nec Electronics Corp Semiconductor manufacturing equipment
TW538497B (en) 2002-05-16 2003-06-21 Nanya Technology Corp Method to form a bottle-shaped trench
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US6673200B1 (en) * 2002-05-30 2004-01-06 Lsi Logic Corporation Method of reducing process plasma damage using optical spectroscopy
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
WO2003107409A1 (en) 2002-06-01 2003-12-24 積水化学工業株式会社 Oxide film forming method and oxide film forming apparatus
KR100434110B1 (en) 2002-06-04 2004-06-04 삼성전자주식회사 Method of Manufacturing Semiconductor Device
US20030230385A1 (en) 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
DE10229037A1 (en) 2002-06-28 2004-01-29 Robert Bosch Gmbh Device and method for producing chlorine trifluoride and plant for etching semiconductor substrates with this device
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
AU2003261342A1 (en) 2002-08-02 2004-02-23 E.A. Fischione Instruments, Inc. Methods and apparatus for preparing specimens for microscopy
US6921555B2 (en) 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US20060040055A1 (en) 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060046412A1 (en) 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP3861036B2 (en) 2002-08-09 2006-12-20 三菱重工業株式会社 Plasma CVD equipment
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP3991315B2 (en) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 Thin film forming apparatus and method
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4260450B2 (en) 2002-09-20 2009-04-30 東京エレクトロン株式会社 Manufacturing method of electrostatic chuck in vacuum processing apparatus
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20070051471A1 (en) 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100500852B1 (en) 2002-10-10 2005-07-12 최대규 Remote plasma generator
JP4606713B2 (en) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2004165317A (en) 2002-11-12 2004-06-10 Renesas Technology Corp Semiconductor device and its manufacturing method
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100862658B1 (en) 2002-11-15 2008-10-10 삼성전자주식회사 Gas injection apparatus for semiconductor processing system
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
JP2004179426A (en) 2002-11-27 2004-06-24 Tokyo Electron Ltd Cleaning method of substrate processing apparatus
TW561068B (en) 2002-11-29 2003-11-11 Au Optronics Corp Nozzle head with excellent corrosion resistance for dry etching process and anti-corrosion method thereof
US7396773B1 (en) 2002-12-06 2008-07-08 Cypress Semiconductor Company Method for cleaning a gate stack
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
DE10260352A1 (en) 2002-12-20 2004-07-15 Infineon Technologies Ag Method of manufacturing a capacitor arrangement and capacitor arrangement
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7078351B2 (en) 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
US20060137613A1 (en) 2004-01-27 2006-06-29 Shigeru Kasai Plasma generating apparatus, plasma generating method and remote plasma processing apparatus
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
CN101457338B (en) 2003-02-14 2011-04-27 应用材料股份有限公司 Cleaning of native oxide with hydrogen-containing radicals
TW200423185A (en) 2003-02-19 2004-11-01 Matsushita Electric Ind Co Ltd Method of introducing impurity
DE10308870B4 (en) 2003-02-28 2006-07-27 Austriamicrosystems Ag Bipolar transistor with improved base-emitter junction and method of manufacture
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
KR100752800B1 (en) 2003-03-12 2007-08-29 동경 엘렉트론 주식회사 Substrate holding structure for semiconductor processing, and plasma processing device
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
JP2004296467A (en) 2003-03-25 2004-10-21 Hitachi Kokusai Electric Inc Substrate processing apparatus
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
JP4272654B2 (en) 2003-04-11 2009-06-03 Hoya株式会社 Chromium-based thin film etching method and photomask manufacturing method
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
TWI227565B (en) 2003-04-16 2005-02-01 Au Optronics Corp Low temperature poly-Si thin film transistor and method of manufacturing the same
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (en) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasma treatment for cleaning copper or nickel
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US7045020B2 (en) 2003-05-22 2006-05-16 Applied Materials, Inc. Cleaning a component of a process chamber
US20040237897A1 (en) 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
JP4108633B2 (en) 2003-06-20 2008-06-25 シャープ株式会社 THIN FILM TRANSISTOR, MANUFACTURING METHOD THEREOF, AND ELECTRONIC DEVICE
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US20060226119A1 (en) 2003-06-27 2006-10-12 Tokyo Electron Limited Method for generating plasma method for cleaning and method for treating substrate
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (en) 2003-07-07 2009-04-02 株式会社荏原製作所 Cap film forming method by electroless plating and apparatus used therefor
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6995073B2 (en) 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP3866694B2 (en) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ LSI device etching method and apparatus
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (en) 2003-08-13 2009-03-18 セイコーエプソン株式会社 Microlens and microlens manufacturing method, optical device, optical transmission device, laser printer head, and laser printer
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7182816B2 (en) 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7361865B2 (en) 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
JP2005101141A (en) 2003-09-24 2005-04-14 Renesas Technology Corp Semiconductor integrated circuit device and its manufacturing method
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7071532B2 (en) 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
KR20030083663A (en) 2003-10-04 2003-10-30 삼영플랜트주식회사 Method and apparatus for manufacturing a sand and stone using a construction waste matter
JP4399227B2 (en) 2003-10-06 2010-01-13 株式会社フジキン Chamber internal pressure control device and internal pressure controlled chamber
US20050087517A1 (en) 2003-10-09 2005-04-28 Andrew Ott Adhesion between carbon doped oxide and etch stop layers
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7125792B2 (en) 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
JP2005129666A (en) 2003-10-22 2005-05-19 Canon Inc Treatment method and apparatus
JP2005129688A (en) 2003-10-23 2005-05-19 Hitachi Ltd Method of manufacturing semiconductor device
US7053994B2 (en) * 2003-10-28 2006-05-30 Lam Research Corporation Method and apparatus for etch endpoint detection
KR100561848B1 (en) 2003-11-04 2006-03-16 삼성전자주식회사 Helical resonator type plasma processing apparatus
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
JP4273932B2 (en) 2003-11-07 2009-06-03 株式会社島津製作所 Surface wave excitation plasma CVD equipment
US20050103267A1 (en) 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
JP4256763B2 (en) 2003-11-19 2009-04-22 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP4393844B2 (en) 2003-11-19 2010-01-06 東京エレクトロン株式会社 Plasma film forming apparatus and plasma film forming method
KR100558925B1 (en) 2003-11-24 2006-03-10 세메스 주식회사 Wafer edge etcher
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050112876A1 (en) 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US6852584B1 (en) 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JPWO2005076336A1 (en) 2004-02-09 2007-08-02 大見 忠弘 Method for manufacturing semiconductor device and method for etching insulating film
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
US7015415B2 (en) 2004-02-18 2006-03-21 Dry Plasma Systems, Inc. Higher power density downstream plasma
JP4707959B2 (en) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 Shower plate, plasma processing apparatus and plasma processing method
JP4698251B2 (en) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4879159B2 (en) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド CVD process for amorphous carbon film deposition
US8037896B2 (en) 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
TWI249774B (en) 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
CN101124661A (en) 2004-05-11 2008-02-13 应用材料公司 Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7691686B2 (en) 2004-05-21 2010-04-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
KR100624566B1 (en) 2004-05-31 2006-09-19 주식회사 하이닉스반도체 semiconductor device with flowable dielectric on capacitor and method for fabricating the same
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US7226852B1 (en) 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7430496B2 (en) 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
US7253107B2 (en) 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7220687B2 (en) 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7097779B2 (en) 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006049817A (en) 2004-07-07 2006-02-16 Showa Denko Kk Plasma treatment method and plasma etching method
WO2006005067A2 (en) 2004-07-07 2006-01-12 General Electric Company Protective coating on a substrate and method of making thereof
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
KR100614648B1 (en) 2004-07-15 2006-08-23 삼성전자주식회사 Apparatus for treating substrates used in manufacturing semiconductor devices
KR100584485B1 (en) 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 Method for preventing metal corrosion of semiconductor devices
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
JP4492947B2 (en) 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
JP4579611B2 (en) 2004-07-26 2010-11-10 株式会社日立ハイテクノロジーズ Dry etching method
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
US20060021574A1 (en) 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
JP4718141B2 (en) 2004-08-06 2011-07-06 東京エレクトロン株式会社 Thin film forming method and thin film forming apparatus
US7247570B2 (en) 2004-08-19 2007-07-24 Micron Technology, Inc. Silicon pillars for vertical transistors
US20060043066A1 (en) 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
WO2006026765A2 (en) 2004-09-01 2006-03-09 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
JP2006108629A (en) 2004-09-10 2006-04-20 Toshiba Corp Method for manufacturing semiconductor device
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US7138767B2 (en) 2004-09-30 2006-11-21 Tokyo Electron Limited Surface wave plasma processing system and method of using
US7268084B2 (en) 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
JP4467453B2 (en) 2004-09-30 2010-05-26 日本碍子株式会社 Ceramic member and manufacturing method thereof
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP2006128485A (en) 2004-10-29 2006-05-18 Asm Japan Kk Semiconductor processing apparatus
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060097397A1 (en) 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
EP1662546A1 (en) 2004-11-25 2006-05-31 The European Community, represented by the European Commission Inductively coupled plasma processing apparatus
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US20060118240A1 (en) 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
FR2878913B1 (en) 2004-12-03 2007-01-19 Cit Alcatel CONTROL OF PARTIAL GAS PRESSURES FOR PROCESS OPTIMIZATION
JP2006193822A (en) 2004-12-16 2006-07-27 Sharp Corp Plating apparatus, plating method, semiconductor device, and method for manufacturing the semiconductor device
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP2006179693A (en) 2004-12-22 2006-07-06 Shin Etsu Chem Co Ltd Electrostatic chuck with heater
JP4191137B2 (en) 2004-12-24 2008-12-03 東京エレクトロン株式会社 Cleaning method for substrate processing apparatus
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
KR100653722B1 (en) 2005-01-05 2006-12-05 삼성전자주식회사 Method of fabricating semiconductor device having low-k dielectric layer
US7465953B1 (en) 2005-01-07 2008-12-16 Board Of Regents, The University Of Texas System Positioning of nanoparticles and fabrication of single election devices
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
KR100610019B1 (en) 2005-01-11 2006-08-08 삼성전자주식회사 Plasma distributing equipment and dry striping equipment including the same
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4601439B2 (en) 2005-02-01 2010-12-22 株式会社日立ハイテクノロジーズ Plasma processing equipment
GB0502149D0 (en) 2005-02-02 2005-03-09 Boc Group Inc Method of operating a pumping system
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
US20060183270A1 (en) 2005-02-14 2006-08-17 Tessera, Inc. Tools and methods for forming conductive bumps on microelectronic elements
JP4475136B2 (en) 2005-02-18 2010-06-09 東京エレクトロン株式会社 Processing system, pre-processing apparatus and storage medium
US7344912B1 (en) 2005-03-01 2008-03-18 Spansion Llc Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene)
JP4506677B2 (en) 2005-03-11 2010-07-21 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP2006261217A (en) 2005-03-15 2006-09-28 Canon Anelva Corp Method of forming thin film
JP4518986B2 (en) 2005-03-17 2010-08-04 東京エレクトロン株式会社 Atmospheric transfer chamber, post-processing transfer method, program, and storage medium
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
TW200707640A (en) 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100610465B1 (en) 2005-03-25 2006-08-08 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US20060215347A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Processing apparatus and recording medium
KR100689826B1 (en) 2005-03-29 2007-03-08 삼성전자주식회사 High density plasma chemical vapor deposition methods using a fluorine-based chemical etching gas and methods of fabricating a semiconductor device employing the same
JP4860167B2 (en) 2005-03-30 2012-01-25 東京エレクトロン株式会社 Load lock device, processing system, and processing method
US7789962B2 (en) 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7431856B2 (en) 2005-05-18 2008-10-07 National Research Council Of Canada Nano-tip fabrication by spatially controlled etching
KR100731164B1 (en) 2005-05-19 2007-06-20 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a shower head and method therof
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (en) 2005-06-15 2012-01-11 東京エレクトロン株式会社 Substrate processing method, computer-readable recording medium, and substrate processing apparatus
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
KR100915722B1 (en) 2005-06-23 2009-09-04 도쿄엘렉트론가부시키가이샤 Constitutional member for semiconductor processing apparatus and method for producing same
JP4554461B2 (en) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ Manufacturing method of semiconductor device
US7857947B2 (en) 2005-07-27 2010-12-28 Applied Materials, Inc. Unique passivation technique for a CVD blocker plate to prevent particle formation
US8709162B2 (en) 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7833381B2 (en) 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
DE102006038885B4 (en) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Method for depositing a Ge-Sb-Te thin film
US20070056925A1 (en) 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US20070066084A1 (en) 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
WO2007035880A2 (en) 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
JP4823628B2 (en) 2005-09-26 2011-11-24 東京エレクトロン株式会社 Substrate processing method and recording medium
DE102005047081B4 (en) 2005-09-30 2019-01-31 Robert Bosch Gmbh Process for the plasma-free etching of silicon with the etching gas ClF3 or XeF2
US8102123B2 (en) 2005-10-04 2012-01-24 Topanga Technologies, Inc. External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
US7438534B2 (en) 2005-10-07 2008-10-21 Edwards Vacuum, Inc. Wide range pressure control using turbo pump
KR100703014B1 (en) 2005-10-26 2007-04-06 삼성전자주식회사 Silicon oxide etching solution and method of manufacturing a semiconductor device using the same
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP4918778B2 (en) 2005-11-16 2012-04-18 株式会社日立製作所 Manufacturing method of semiconductor integrated circuit device
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
KR100663668B1 (en) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 Plasma processing apparatus for a parallel bach processing of a plurality of substrates
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US7449538B2 (en) 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
KR100712727B1 (en) 2006-01-26 2007-05-04 주식회사 아토 A showerhead using insulator
JP2007191792A (en) 2006-01-19 2007-08-02 Atto Co Ltd Gas separation type showerhead
US8173228B2 (en) 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100785164B1 (en) 2006-02-04 2007-12-11 위순임 Multi output remote plasma generator and substrate processing system having the same
KR100678696B1 (en) 2006-02-08 2007-02-06 주식회사 뉴파워 프라즈마 Magnetically enhanced plasma source having ferrite core assembly for forming toroidal plasma
KR100752622B1 (en) 2006-02-17 2007-08-30 한양대학교 산학협력단 Apparatus for generating remote plasma
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
CN101378850A (en) 2006-02-21 2009-03-04 应用材料股份有限公司 Enhancement of remote plasma source clean for dielectric films
US20090069360A1 (en) 2006-03-16 2009-03-12 David Bryant Batt Organic Compounds
US7381651B2 (en) 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US7977245B2 (en) 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
WO2007112454A2 (en) 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (en) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
CN100539080C (en) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 Form the method for multi-crystal silicon floating bar structure by autoregistration
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20070254169A1 (en) 2006-04-28 2007-11-01 Kamins Theodore I Structures including organic self-assembled monolayers and methods of making the structures
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
US7601607B2 (en) 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
JP5578389B2 (en) 2006-05-16 2014-08-27 Nltテクノロジー株式会社 Laminated film pattern forming method and gate electrode forming method
US20070266946A1 (en) 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
JP5119609B2 (en) 2006-05-25 2013-01-16 東京エレクトロン株式会社 Film forming method, film forming apparatus, storage medium, and semiconductor device
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
JP5069427B2 (en) 2006-06-13 2012-11-07 北陸成型工業株式会社 Shower plate, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the same
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20070296967A1 (en) 2006-06-27 2007-12-27 Bhupendra Kumra Gupta Analysis of component for presence, composition and/or thickness of coating
US8114781B2 (en) 2006-06-29 2012-02-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
GB0616131D0 (en) 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080045030A1 (en) 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100761757B1 (en) 2006-08-17 2007-09-28 삼성전자주식회사 Method of forming a layer
KR100818708B1 (en) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 Semiconductor device manufacturing method including cleaning surface layer
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US20080063810A1 (en) 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (en) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 Plasma etching method
JP2008103645A (en) 2006-10-20 2008-05-01 Toshiba Corp Production method of semiconductor device
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP2008109043A (en) 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd Semiconductor device manufacturing method and semiconductor device
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8002946B2 (en) 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US7880232B2 (en) 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US7725974B2 (en) 2006-11-02 2010-06-01 Hughes Randall L Shoe and foot cleaning and disinfecting system
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP2010512650A (en) 2006-12-11 2010-04-22 アプライド マテリアルズ インコーポレイテッド Dry photoresist removal process and equipment
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US7922863B2 (en) 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
JP5229711B2 (en) 2006-12-25 2013-07-03 国立大学法人名古屋大学 Pattern forming method and semiconductor device manufacturing method
JP2008163430A (en) 2006-12-28 2008-07-17 Jtekt Corp High corrosion-resistant member and its manufacturing method
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
KR20080063988A (en) 2007-01-03 2008-07-08 삼성전자주식회사 Etching apparatus using neutral beam
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP5168907B2 (en) 2007-01-15 2013-03-27 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, and storage medium
JP4421618B2 (en) 2007-01-17 2010-02-24 東京エレクトロン株式会社 Manufacturing method of fin-type field effect transistor
US7728364B2 (en) 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
JP4299863B2 (en) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
JP5048352B2 (en) 2007-01-31 2012-10-17 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
KR100878015B1 (en) 2007-01-31 2009-01-13 삼성전자주식회사 Method for removing of oxides and method for filling a trench using the same
KR100843236B1 (en) 2007-02-06 2008-07-03 삼성전자주식회사 Method of forming fine patterns of semiconductor device using double patterning process
JP2008205219A (en) 2007-02-20 2008-09-04 Masato Toshima Showerhead, and cvd apparatus using the same showerhead
CN100577866C (en) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 Gas sprayer assembly applied in plasma reaction chamber, manufacture method and renewing reutilization method thereof
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
US20080216901A1 (en) 2007-03-06 2008-09-11 Mks Instruments, Inc. Pressure control for vacuum processing system
US20080216958A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
KR101526615B1 (en) 2007-03-12 2015-06-05 도쿄엘렉트론가부시키가이샤 Method of controlling process uniformity, plasma processing apparatus and method of locally deforming a substrate
KR100853485B1 (en) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with recess gate
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
WO2008123060A1 (en) 2007-03-28 2008-10-16 Canon Anelva Corporation Vacuum processing apparatus
JP4988402B2 (en) 2007-03-30 2012-08-01 株式会社日立ハイテクノロジーズ Plasma processing equipment
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
CN101657565A (en) 2007-04-17 2010-02-24 株式会社爱发科 Film forming apparatus
JP5282419B2 (en) 2007-04-18 2013-09-04 ソニー株式会社 Semiconductor device and manufacturing method thereof
JP5135879B2 (en) 2007-05-21 2013-02-06 富士電機株式会社 Method for manufacturing silicon carbide semiconductor device
KR100777043B1 (en) 2007-05-22 2007-11-16 주식회사 테스 Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US20090004873A1 (en) 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
JP5008478B2 (en) 2007-06-27 2012-08-22 東京エレクトロン株式会社 Substrate processing apparatus and shower head
TWI479559B (en) 2007-06-28 2015-04-01 Quantum Global Tech Llc Methods and apparatus for cleaning deposition chamber parts using selective spray etch
KR100877107B1 (en) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 Method for fabricating interlayer dielectric in semiconductor device
JP4438008B2 (en) 2007-06-29 2010-03-24 東京エレクトロン株式会社 Substrate processing equipment
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5660753B2 (en) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated High temperature cathode for plasma etching
US8488971B2 (en) 2007-07-19 2013-07-16 Koninklijke Philips N.V. Method, system and device for transmitting lighting device data
DE102007033685A1 (en) 2007-07-19 2009-01-22 Robert Bosch Gmbh A method of etching a layer on a silicon semiconductor substrate
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
EP2042516A1 (en) 2007-09-27 2009-04-01 Protaffin Biotechnologie AG Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
WO2009025392A2 (en) 2007-08-21 2009-02-26 Panasonic Corporation Plasma processing device and method of monitoring plasma discharge state in plasma processing device
JP5316412B2 (en) 2007-08-31 2013-10-16 東京エレクトロン株式会社 Manufacturing method of semiconductor device
JP5347294B2 (en) 2007-09-12 2013-11-20 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
JP2009088522A (en) 2007-09-28 2009-04-23 Hynix Semiconductor Inc Method of manufacturing recess gate of semiconductor device
US8298931B2 (en) 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
EP2215282B1 (en) 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
WO2009058235A2 (en) 2007-10-31 2009-05-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
WO2009058376A2 (en) 2007-10-31 2009-05-07 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
WO2009057838A1 (en) 2007-11-01 2009-05-07 Eugene Technology Co., Ltd Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
WO2009062123A2 (en) 2007-11-08 2009-05-14 Lam Research Corporation Pitch reduction using oxide spacer
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5172617B2 (en) 2007-11-12 2013-03-27 シャープ株式会社 Vapor growth apparatus and vapor growth method
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
DE202008016190U1 (en) 2007-12-04 2009-03-19 Parabel Ag Multilayer solar element
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR20100103627A (en) 2007-12-21 2010-09-27 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for controlling temperature of a substrate
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US7989329B2 (en) 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
US20090170331A1 (en) 2007-12-27 2009-07-02 International Business Machines Corporation Method of forming a bottle-shaped trench by ion implantation
WO2009084194A1 (en) 2007-12-28 2009-07-09 Tokyo Electron Limited Etching method for metal film and metal oxide film, and manufacturing method for semiconductor device
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
US8018023B2 (en) 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
US7998864B2 (en) 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US20090191711A1 (en) 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US20090194810A1 (en) 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5108933B2 (en) 2008-02-26 2012-12-26 京セラ株式会社 Electrostatic chuck
JP5188849B2 (en) 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 Plasma processing equipment
US9520275B2 (en) 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
JP5352103B2 (en) 2008-03-27 2013-11-27 東京エレクトロン株式会社 Heat treatment apparatus and treatment system
DE102008016425B4 (en) 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. A method of patterning a metallization layer by reducing degradation of the dielectric material caused by resist removal
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
JP2009266952A (en) 2008-04-23 2009-11-12 Seiko Epson Corp Method for manufacturing and manufacturing apparatus for device
US8318605B2 (en) 2008-04-25 2012-11-27 Applied Materials, Inc. Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8236133B2 (en) 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
KR100998011B1 (en) 2008-05-22 2010-12-03 삼성엘이디 주식회사 Chemical vapor deposition apparatus
KR101006848B1 (en) 2008-05-28 2011-01-14 주식회사 코미코 Apparatus for supporting a wafer and apparatus for processing a board including the same
DE102008026134A1 (en) 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Microstructure device with a metallization structure with self-aligned air gaps between dense metal lines
KR20090128913A (en) 2008-06-11 2009-12-16 성균관대학교산학협력단 Texturing apparatus and method for solar battery silicon board
JP2010003826A (en) 2008-06-19 2010-01-07 Toshiba Corp Method of manufacturing semiconductor device
JP5222040B2 (en) 2008-06-25 2013-06-26 東京エレクトロン株式会社 Microwave plasma processing equipment
EP2290124A1 (en) 2008-06-27 2011-03-02 Mitsubishi Heavy Industries, Ltd. Vacuum processing apparatus and method for operating vacuum processing apparatus
JP5211332B2 (en) 2008-07-01 2013-06-12 株式会社ユーテック Plasma CVD apparatus, DLC film and thin film manufacturing method
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
WO2010004997A1 (en) 2008-07-11 2010-01-14 東京エレクトロン株式会社 Plasma processing apparatus
WO2010008021A1 (en) 2008-07-15 2010-01-21 キヤノンアネルバ株式会社 Plasma treatment method and plasma treatment device
US8336188B2 (en) 2008-07-17 2012-12-25 Formfactor, Inc. Thin wafer chuck
JP2011253832A (en) 2008-07-24 2011-12-15 Canon Anelva Corp Resist trimming method and trimming device
KR20100013980A (en) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 Method of fabricating the trench isolation layer for semiconductor device
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
EP2321846A4 (en) 2008-08-12 2012-03-14 Applied Materials Inc Electrostatic chuck assembly
WO2010021539A1 (en) 2008-08-20 2010-02-25 Vision Dynamics Holding B.V. Device for generating a plasma discharge for patterning the surface of a substrate
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
KR100997502B1 (en) 2008-08-26 2010-11-30 금호석유화학 주식회사 Organic antireflective protecting composition layer containing ring-opening phthalic anhydride and synthesis method thereof
KR101025741B1 (en) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 Method for forming active pillar of vertical channel transistor
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US20100081285A1 (en) 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7928003B2 (en) 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8207470B2 (en) 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5396065B2 (en) 2008-10-28 2014-01-22 株式会社日立製作所 Manufacturing method of semiconductor device
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP5358165B2 (en) 2008-11-26 2013-12-04 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US20100147219A1 (en) 2008-12-12 2010-06-17 Jui Hai Hsieh High temperature and high voltage electrode assembly design
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
JP2010154699A (en) 2008-12-26 2010-07-08 Hitachi Ltd Magnetic flux variable type rotating electrical machine
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101587601B1 (en) 2009-01-14 2016-01-25 삼성전자주식회사 Method for fabricating nonvolatile memory devices
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
KR20100087915A (en) 2009-01-29 2010-08-06 삼성전자주식회사 Semiconductor memory device with cylinder type storage node and method of fabricating the same
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
WO2010088267A2 (en) 2009-01-31 2010-08-05 Applied Materials, Inc. Method and apparatus for etching
KR101527195B1 (en) 2009-02-02 2015-06-10 삼성전자주식회사 Nonvolatile memory device having vertical structure
JP5210191B2 (en) 2009-02-03 2013-06-12 東京エレクトロン株式会社 Silicon nitride film dry etching method
JP2010180458A (en) 2009-02-06 2010-08-19 Kit:Kk Method for forming oxide layer on aluminum surface and method for manufacturing semiconductor device
WO2010094002A2 (en) 2009-02-13 2010-08-19 Applied Materials, Inc. Rf bus and rf return bus for plasma chamber electrode
KR101566922B1 (en) 2009-02-16 2015-11-09 삼성전자주식회사 Method for forming metal silicide layer of semiconductor device combining just dry etching and chemical dry etching
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
US20110048325A1 (en) 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
US20100224322A1 (en) * 2009-03-03 2010-09-09 Applied Materials, Inc. Endpoint detection for a reactor chamber using a remote plasma chamber
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
WO2010105585A1 (en) 2009-03-17 2010-09-23 Roth & Rau Ag Substrate processing system and substrate processing method
KR101539699B1 (en) 2009-03-19 2015-07-27 삼성전자주식회사 Three dimensional nonvolatile memory device and method for forming the same
US8312839B2 (en) 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
JP5657262B2 (en) 2009-03-27 2015-01-21 東京エレクトロン株式会社 Plasma processing equipment
JP5501807B2 (en) 2009-03-31 2014-05-28 東京エレクトロン株式会社 Processing equipment
KR101534357B1 (en) 2009-03-31 2015-07-06 도쿄엘렉트론가부시키가이샤 Substrate support device and substrate support method
US8026179B2 (en) 2009-04-09 2011-09-27 Macronix International Co., Ltd. Patterning method and integrated circuit structure
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US9431237B2 (en) 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
JP5710591B2 (en) 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Enhanced removal of residual fluorine radicals using a silicon coating on the process chamber walls
SG10201401671SA (en) 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US20100273291A1 (en) 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
CN102459704B (en) 2009-06-03 2014-08-20 应用材料公司 Method and apparatus for etching
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
JP5777615B2 (en) 2009-07-15 2015-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Flow control mechanism of CVD chamber
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101095119B1 (en) 2009-08-19 2011-12-16 삼성전기주식회사 Die package and fabricating method of the same
CN102598130A (en) 2009-08-26 2012-07-18 威科仪器股份有限公司 System for fabricating a pattern on magnetic recording media
KR20120090996A (en) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 Method of decontamination of process chamber after in-situ chamber clean
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
JP5002073B2 (en) 2009-09-02 2012-08-15 積水化学工業株式会社 Etching method for silicon-containing film
US20120171852A1 (en) 2009-09-04 2012-07-05 Applied Materials, Inc Remote hydrogen plasma source of silicon containing film deposition
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5648349B2 (en) 2009-09-17 2015-01-07 東京エレクトロン株式会社 Deposition equipment
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US9449859B2 (en) 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
EP2315028A1 (en) 2009-10-26 2011-04-27 Atlas Antibodies AB PODXL protein in colorectal cancer
JP5257328B2 (en) 2009-11-04 2013-08-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
WO2011056815A2 (en) 2009-11-04 2011-05-12 Applied Materials, Inc. Plasma ion implantation process for patterned disc media applications
WO2011056281A1 (en) 2009-11-06 2011-05-12 Rambus Inc. Three-dimensional memory array stacking structure
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
WO2011066508A2 (en) 2009-11-30 2011-06-03 Applied Materials, Inc. Chamber for processing hard disk drive substrates
CN102652353B (en) 2009-12-09 2016-12-07 诺发系统有限公司 Novel gap fill integration
US8604697B2 (en) 2009-12-09 2013-12-10 Jehara Corporation Apparatus for generating plasma
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20130023062A1 (en) 2009-12-11 2013-01-24 Takeshi Masuda Thin film manufacturing apparatus, thin film manufacturing method and method for manufacturing semiconductor device
US20110140229A1 (en) 2009-12-16 2011-06-16 Willy Rachmady Techniques for forming shallow trench isolation
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (en) 2009-12-25 2012-05-09 東京エレクトロン株式会社 Substrate processing method, recording medium storing program for executing substrate processing method, and substrate processing apparatus
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP5710209B2 (en) 2010-01-18 2015-04-30 東京エレクトロン株式会社 Electromagnetic power feeding mechanism and microwave introduction mechanism
JP5166458B2 (en) 2010-01-22 2013-03-21 株式会社東芝 Semiconductor device and manufacturing method thereof
JP5608384B2 (en) 2010-02-05 2014-10-15 東京エレクトロン株式会社 Semiconductor device manufacturing method and plasma etching apparatus
ATE551439T1 (en) 2010-02-08 2012-04-15 Roth & Rau Ag PARALLEL PLATE REACTOR FOR EVEN THIN FILM DEPOSITION WITH REDUCED TOOL SETUP AREA
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
JP5476152B2 (en) 2010-02-16 2014-04-23 積水化学工業株式会社 Silicon nitride etching method and apparatus
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
JP5662079B2 (en) 2010-02-24 2015-01-28 東京エレクトロン株式会社 Etching method
US20110207332A1 (en) 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US8354660B2 (en) 2010-03-16 2013-01-15 Sandisk 3D Llc Bottom electrodes for use with metal oxide resistivity switching layers
JP5450187B2 (en) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
WO2011113177A1 (en) 2010-03-17 2011-09-22 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256421A1 (en) 2010-04-16 2011-10-20 United Technologies Corporation Metallic coating for single crystal alloys
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US20110265884A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US8721798B2 (en) 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US20110265951A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20140154668A1 (en) 2010-05-21 2014-06-05 The Trustees Of Princeton University Structures for Enhancement of Local Electric Field, Light Absorption, Light Radiation, Material Detection and Methods for Making and Using of the Same.
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5751895B2 (en) 2010-06-08 2015-07-22 株式会社日立国際電気 Semiconductor device manufacturing method, cleaning method, and substrate processing apparatus
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
JP2011258768A (en) 2010-06-09 2011-12-22 Sumitomo Electric Ind Ltd Silicon carbide substrate, substrate with epitaxial layer, semiconductor device and method of manufacturing silicon carbide substrate
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
JP5463224B2 (en) 2010-07-09 2014-04-09 日本発條株式会社 Manufacturing method of plate with flow path, plate with flow path, temperature control plate, cold plate, and shower plate
US8278203B2 (en) 2010-07-28 2012-10-02 Sandisk Technologies Inc. Metal control gate formation in non-volatile storage
US8708305B2 (en) 2010-08-04 2014-04-29 3M Innovative Properties Company Adhesively mounted article support assembly with exposed pull tab
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
JP5198611B2 (en) 2010-08-12 2013-05-15 株式会社東芝 Gas supply member, plasma processing apparatus, and method for forming yttria-containing film
US8222125B2 (en) 2010-08-12 2012-07-17 Ovshinsky Innovation, Llc Plasma deposition of amorphous semiconductors at microwave frequencies
WO2012052858A1 (en) 2010-08-16 2012-04-26 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Etching of oxide materials
KR20120022251A (en) 2010-09-01 2012-03-12 삼성전자주식회사 Plasma etching method and apparatus thereof
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8580699B2 (en) 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
KR20120029291A (en) 2010-09-16 2012-03-26 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
WO2012039932A2 (en) 2010-09-21 2012-03-29 Applied Materials, Inc. Methods for forming layers on a substrate
KR101209003B1 (en) 2010-10-14 2012-12-06 주식회사 유진테크 Method and apparatus for manufacturing memory device having 3 dimensional structure
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
US8183134B2 (en) 2010-10-19 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5544343B2 (en) 2010-10-29 2014-07-09 東京エレクトロン株式会社 Deposition equipment
WO2012058377A2 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
US9111994B2 (en) 2010-11-01 2015-08-18 Magnachip Semiconductor, Ltd. Semiconductor device and method of fabricating the same
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
KR20120058962A (en) 2010-11-30 2012-06-08 삼성전자주식회사 Fabricating method of semiconductor device
US8475103B2 (en) 2010-12-09 2013-07-02 Hamilton Sundstand Corporation Sealing washer assembly for large diameter holes on flat surfaces
US8470713B2 (en) 2010-12-13 2013-06-25 International Business Machines Corporation Nitride etch for improved spacer uniformity
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5728221B2 (en) 2010-12-24 2015-06-03 東京エレクトロン株式会社 Substrate processing method and storage medium
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
KR101529578B1 (en) 2011-01-14 2015-06-19 성균관대학교산학협력단 Apparatus and method for treating substrate using plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US9443749B2 (en) 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
KR101732936B1 (en) 2011-02-14 2017-05-08 삼성전자주식회사 Method for forming fine patterns of a semiconductor device
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
CN203205393U (en) 2011-03-01 2013-09-18 应用材料公司 Hoop assembly for transferring substrate and limiting free radical
US8791021B2 (en) 2011-03-01 2014-07-29 King Abdullah University Of Science And Technology Silicon germanium mask for deep silicon etching
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
JP5933602B2 (en) 2011-03-04 2016-06-15 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Gas distribution apparatus and substrate processing apparatus
FR2972563B1 (en) 2011-03-07 2013-03-01 Altis Semiconductor Snc METHOD FOR TREATING AN OXIDIZED METAL NITRIDE LAYER
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5837178B2 (en) 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Liner assembly for chemical vapor deposition chambers
KR101712538B1 (en) 2011-03-23 2017-03-06 스미토모 오사카 세멘토 가부시키가이샤 Electrostatic chuck device
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP5815967B2 (en) 2011-03-31 2015-11-17 東京エレクトロン株式会社 Substrate cleaning apparatus and vacuum processing system
JP5864879B2 (en) 2011-03-31 2016-02-17 東京エレクトロン株式会社 Substrate processing apparatus and control method thereof
JP6003011B2 (en) 2011-03-31 2016-10-05 東京エレクトロン株式会社 Substrate processing equipment
US9196463B2 (en) 2011-04-07 2015-11-24 Varian Semiconductor Equipment Associates, Inc. System and method for plasma monitoring using microwaves
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US8815720B2 (en) 2011-04-12 2014-08-26 Varian Semiconductor Equipment Associates, Inc. Method of etching a workpiece
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8663389B2 (en) 2011-05-21 2014-03-04 Andrew Peter Clarke Method and apparatus for crystal growth using a membrane-assisted semi-closed reactor
JP5563522B2 (en) 2011-05-23 2014-07-30 東京エレクトロン株式会社 Plasma processing equipment
KR101390900B1 (en) 2011-05-31 2014-04-30 세메스 주식회사 Apparatus for treating substrate
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
EP2720518B1 (en) 2011-06-09 2016-12-28 Korea Basic Science Institute Plasma-generating source comprising a belt-type magnet, and thin-film deposition system using same
US8637372B2 (en) 2011-06-29 2014-01-28 GlobalFoundries, Inc. Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US9117867B2 (en) 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
KR20110086540A (en) 2011-07-12 2011-07-28 조인숙 Method of selective film etching with fluorine compound
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8974601B2 (en) 2011-07-29 2015-03-10 Semes Co., Ltd. Apparatuses, systems and methods for treating substrate
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102915902B (en) 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 A kind of plasma processing apparatus of capacitance coupling type and substrate processing method thereof
KR101271247B1 (en) 2011-08-02 2013-06-07 주식회사 유진테크 Equipment for manufacturing semiconductor
US9117759B2 (en) 2011-08-10 2015-08-25 Micron Technology, Inc. Methods of forming bulb-shaped trenches in silicon
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8808562B2 (en) 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US20130260564A1 (en) 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9653267B2 (en) 2011-10-06 2017-05-16 Applied Materials, Inc. Temperature controlled chamber liner
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130087309A1 (en) 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
JP5740281B2 (en) 2011-10-20 2015-06-24 東京エレクトロン株式会社 Metal film dry etching method
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130115372A1 (en) 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
JP5779482B2 (en) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US8652298B2 (en) 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
KR20130062791A (en) * 2011-12-05 2013-06-13 삼성전자주식회사 Plasma diagnostic apparatus and method
US8440523B1 (en) 2011-12-07 2013-05-14 International Business Machines Corporation Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch
US10825708B2 (en) 2011-12-15 2020-11-03 Applied Materials, Inc. Process kit components for use with an extended and independent RF powered cathode substrate for extreme edge tunability
KR20130072911A (en) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 Nonvolatile memory device and method for fabricating the same
KR101878311B1 (en) 2011-12-30 2018-07-17 삼성전자주식회사 Method of forming semiconductor device using high-K layer for spacer etch stop and related device
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
JP6010406B2 (en) 2012-01-27 2016-10-19 東京エレクトロン株式会社 Microwave radiation mechanism, microwave plasma source, and surface wave plasma processing apparatus
CN104040699B (en) 2012-02-08 2018-06-26 岩谷产业株式会社 Use the inner face processing method on the chlorine trifluoride supply road in the device of chlorine trifluoride
US20130175654A1 (en) 2012-02-10 2013-07-11 Sylvain Muckenhirn Bulk nanohole structures for thermoelectric devices and methods for making the same
CN104137248B (en) 2012-02-29 2017-03-22 应用材料公司 Abatement and strip process chamber in a load lock configuration
CN113862634A (en) 2012-03-27 2021-12-31 诺发系统公司 Tungsten feature fill
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
CN107527854A (en) 2012-04-26 2017-12-29 应用材料公司 For the method and apparatus for preventing the gluing adhesive of electrostatic chuck from corroding
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9976215B2 (en) 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP2013235912A (en) 2012-05-08 2013-11-21 Tokyo Electron Ltd Method for etching substrate to be processed and plasma etching device
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
FR2991320B1 (en) 2012-06-05 2014-06-27 Commissariat Energie Atomique PROCESS FOR THE PREPARATION OF METHYL AMINES
US8974164B2 (en) 2012-06-26 2015-03-10 Newfrey Llc Plastic high heat fastener
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9184030B2 (en) 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9631273B2 (en) 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US8747680B1 (en) 2012-08-14 2014-06-10 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive-based device
US9364871B2 (en) 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers
WO2014035933A1 (en) 2012-08-28 2014-03-06 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or iii-v semiconductor devices
US20140062285A1 (en) 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
JP6027374B2 (en) 2012-09-12 2016-11-16 東京エレクトロン株式会社 Plasma processing apparatus and filter unit
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
TWI604528B (en) 2012-10-02 2017-11-01 應用材料股份有限公司 Directional sio2 etch using plasma pre-treatment and high-temperature etchant deposition
TWI591712B (en) 2012-10-03 2017-07-11 應用材料股份有限公司 Directional sio2 etch using low-temperature etchant deposition and plasma post-treatment
JP6033453B2 (en) * 2012-10-17 2016-11-30 東京エレクトロン株式会社 Plasma endpoint detection using multivariate analysis
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
JP6035117B2 (en) 2012-11-09 2016-11-30 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9777564B2 (en) 2012-12-03 2017-10-03 Pyrophase, Inc. Stimulating production from oil wells using an RF dipole antenna
US9982343B2 (en) 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP6328931B2 (en) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Photoresist pattern trimming method
US9165823B2 (en) 2013-01-08 2015-10-20 Macronix International Co., Ltd. 3D stacking semiconductor device and manufacturing method thereof
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
JP6080571B2 (en) 2013-01-31 2017-02-15 東京エレクトロン株式会社 Mounting table and plasma processing apparatus
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014154421A (en) 2013-02-12 2014-08-25 Tokyo Electron Ltd Plasma processing apparatus, plasma processing method, and high-frequency generator
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
TWI487004B (en) 2013-03-01 2015-06-01 Winbond Electronics Corp Patterning method and method of forming memory device
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US20140262031A1 (en) 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
TWI591211B (en) 2013-03-13 2017-07-11 應用材料股份有限公司 Methods of etching films comprising transition metals
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
CN105122431A (en) 2013-03-13 2015-12-02 应用材料公司 Pulsed pc plasma etching process and apparatus
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
WO2014159427A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US9006106B2 (en) 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
CN105142702A (en) 2013-03-15 2015-12-09 皮博士研究所有限责任公司 Single-use needle assembly and method
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8946076B2 (en) 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
JP5386046B1 (en) 2013-03-27 2014-01-15 エピクルー株式会社 Susceptor support and epitaxial growth apparatus provided with this susceptor support
US10941501B2 (en) 2013-03-29 2021-03-09 Analytical Specialties, Inc. Method and composition for metal finishing
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US20140308758A1 (en) 2013-04-10 2014-10-16 Applied Materials, Inc. Patterning magnetic memory
US8748322B1 (en) 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US20140311581A1 (en) 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
JP6002087B2 (en) 2013-05-29 2016-10-05 東京エレクトロン株式会社 Graphene generation method
CN104216578A (en) * 2013-05-30 2014-12-17 京东方科技集团股份有限公司 Touch panel and display device
US20140357083A1 (en) 2013-05-31 2014-12-04 Applied Materials, Inc. Directed block copolymer self-assembly patterns for advanced photolithography applications
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8871651B1 (en) 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
US8932947B1 (en) * 2013-07-23 2015-01-13 Applied Materials, Inc. Methods for forming a round bottom silicon trench recess for semiconductor applications
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102154112B1 (en) 2013-08-01 2020-09-09 삼성전자주식회사 a semiconductor device including metal interconnections and method for fabricating the same
US20150050812A1 (en) 2013-08-13 2015-02-19 Globalfoundries Inc. Wafer-less auto clean of processing chamber
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9779952B2 (en) 2013-08-27 2017-10-03 Tokyo Electron Limited Method for laterally trimming a hardmask
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP5837012B2 (en) 2013-09-12 2015-12-24 ラピスセミコンダクタ株式会社 MONITORING METHOD, PLASMA MONITORING METHOD, MONITORING SYSTEM, AND PLASMA MONITORING SYSTEM
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
KR102271202B1 (en) 2013-09-27 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 Method of enabling seamless cobalt gap-fill
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
WO2015069613A1 (en) 2013-11-06 2015-05-14 Mattson Technology, Inc. Novel mask removal process strategy for vertical nand device
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
US9245762B2 (en) * 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150170926A1 (en) 2013-12-16 2015-06-18 David J. Michalak Dielectric layers having ordered elongate pores
US20150171008A1 (en) 2013-12-17 2015-06-18 GLOBAL FOUNDRIES Singapore Ptd. Ltd. Integrated circuits with dummy contacts and methods for producing such integrated circuits
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US20150170943A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150170879A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9111907B2 (en) 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
US20150200042A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9305749B2 (en) 2014-02-10 2016-04-05 Applied Materials, Inc. Methods of directing magnetic fields in a plasma source, and associated systems
JP6059165B2 (en) 2014-02-19 2017-01-11 東京エレクトロン株式会社 Etching method and plasma processing apparatus
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9190290B2 (en) 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102175763B1 (en) 2014-04-09 2020-11-09 삼성전자주식회사 Semiconductor Memory Device And Method Of Fabricating The Same
US9543225B2 (en) * 2014-04-29 2017-01-10 Lam Research Corporation Systems and methods for detecting endpoint for through-silicon via reveal applications
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9666449B2 (en) 2014-06-17 2017-05-30 Micron Technology, Inc. Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20150371865A1 (en) 2014-06-19 2015-12-24 Applied Materials, Inc. High selectivity gas phase silicon nitride removal
US20150371861A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
KR102248205B1 (en) 2014-06-25 2021-05-04 삼성전자주식회사 Semiconductor device having vertical channel and air gap
KR20160002543A (en) 2014-06-30 2016-01-08 세메스 주식회사 Substrate treating apparatus
TWI640039B (en) * 2014-07-03 2018-11-01 美商西凱渥資訊處理科技公司 Endpoint booster systems and methods for optical endpoint detection in wafer etch process
US20160005833A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Feol low-k spacers
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US20160042968A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US20160043099A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Wordline 3d flash memory air gap
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) * 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN105448737A (en) 2014-09-30 2016-03-30 联华电子股份有限公司 Etching process for forming silicon grooves, and fin-type field effect transistor
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9966240B2 (en) * 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9652567B2 (en) 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9825051B2 (en) 2014-10-22 2017-11-21 Sandisk Technologies Llc Three dimensional NAND device containing fluorine doped layer and method of making thereof
US9508529B2 (en) 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
US9202708B1 (en) 2014-10-24 2015-12-01 Applied Materials, Inc. Doped silicon oxide etch
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9799509B2 (en) 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
JP6320282B2 (en) 2014-12-05 2018-05-09 東京エレクトロン株式会社 Etching method
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160237570A1 (en) 2015-02-13 2016-08-18 Applied Materials, Inc. Gas delivery apparatus for process equipment
US9275834B1 (en) 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9343358B1 (en) 2015-02-23 2016-05-17 Sandisk Technologies Inc. Three-dimensional memory device with stress compensation layer within a word line stack
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (en) 2015-03-13 2019-09-01 美商應用材料股份有限公司 Plasma source coupled to a process chamber
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
KR102452593B1 (en) 2015-04-15 2022-10-11 삼성전자주식회사 Method for fabricating semiconductor devices
US20160307772A1 (en) 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US9659791B2 (en) 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9564338B1 (en) 2015-09-08 2017-02-07 Applied Materials, Inc. Silicon-selective removal
KR102415329B1 (en) * 2015-09-08 2022-06-30 삼성전자주식회사 Tube-type lens, OES(Optical Emission Spectroscopy) apparatus comprising the tube-type lens, plasma monitoring system comprising the OES apparatus, and method for fabricating semiconductor device using the system
US9460959B1 (en) 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170133202A1 (en) 2015-11-09 2017-05-11 Lam Research Corporation Computer addressable plasma density modification for etch and deposition processes
KR20180085807A (en) 2015-12-18 2018-07-27 어플라이드 머티어리얼스, 인코포레이티드 Cleaning method
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
CN108475640B (en) 2016-01-20 2023-06-06 应用材料公司 Mixed carbon hard die for lateral hard die groove reduction
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20180025900A1 (en) 2016-07-22 2018-01-25 Applied Materials, Inc. Alkali metal and alkali earth metal reduction
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
WO2018052477A2 (en) 2016-09-15 2018-03-22 Applied Materials, Inc. An integrated method for wafer outgassing reduction
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US9779956B1 (en) 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6306246B1 (en) * 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
US20050127192A1 (en) * 2003-12-15 2005-06-16 Hyun-Kyu Kang Endpoint detector for a substrate manufacturing process

Also Published As

Publication number Publication date
KR102333038B1 (en) 2021-12-01
JP6882515B2 (en) 2021-06-02
WO2019018019A3 (en) 2019-05-02
TW201842603A (en) 2018-12-01
US10319649B2 (en) 2019-06-11
US20180294198A1 (en) 2018-10-11
KR20190119660A (en) 2019-10-22
WO2019018019A2 (en) 2019-01-24
CN110494967A (en) 2019-11-22
JP2020517106A (en) 2020-06-11
CN110494967B (en) 2023-06-27
TWI808080B (en) 2023-07-11

Similar Documents

Publication Publication Date Title
US10319649B2 (en) Optical emission spectroscopy (OES) for remote plasma monitoring
TWI591742B (en) Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US9613822B2 (en) Oxide etch selectivity enhancement
US9773695B2 (en) Integrated bit-line airgap formation and gate stack post clean
US9202708B1 (en) Doped silicon oxide etch
US9449846B2 (en) Vertical gate separation
US9165786B1 (en) Integrated oxide and nitride recess for better channel contact in 3D architectures
US9520303B2 (en) Aluminum selective etch
US20180025900A1 (en) Alkali metal and alkali earth metal reduction
KR100704108B1 (en) Method for detecting an end point for an oxygen free plasma process
CN107924839B (en) Adjustable remote decomposition
KR100690144B1 (en) Gas analyzer using plasma
JP2006512783A (en) Method and apparatus for dry development of two-layer photoresist
US7393460B2 (en) Plasma processing method and plasma processing apparatus
JP3563214B2 (en) Plasma etching method
JP2000021857A (en) Method and device for reactive ion etching
JP2005114388A (en) Method of measuring moisture amount in gas
JPH09145675A (en) Method and device for measuring neutral radical

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHO, TAE SEUNG;PARK, SOONAM;KIM, JUNGHOON;AND OTHERS;SIGNING DATES FROM 20170413 TO 20170417;REEL/FRAME:049073/0461

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER