JP2000021857A - Method and device for reactive ion etching - Google Patents

Method and device for reactive ion etching

Info

Publication number
JP2000021857A
JP2000021857A JP10187863A JP18786398A JP2000021857A JP 2000021857 A JP2000021857 A JP 2000021857A JP 10187863 A JP10187863 A JP 10187863A JP 18786398 A JP18786398 A JP 18786398A JP 2000021857 A JP2000021857 A JP 2000021857A
Authority
JP
Japan
Prior art keywords
plasma
substrate electrode
substrate
ions
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10187863A
Other languages
Japanese (ja)
Other versions
JP2000021857A5 (en
JP3997004B2 (en
Inventor
Takashi Chin
巍 陳
Toshio Hayashi
俊雄 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Priority to JP18786398A priority Critical patent/JP3997004B2/en
Publication of JP2000021857A publication Critical patent/JP2000021857A/en
Publication of JP2000021857A5 publication Critical patent/JP2000021857A5/ja
Application granted granted Critical
Publication of JP3997004B2 publication Critical patent/JP3997004B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To control processing shape and thickness highly precisely by providing a mass spectrometer near a substrate electrode, observing ionic species generated in plasma and detecting an etching final point from time variation with time of peak intensity of CHF2+ ion, etc. SOLUTION: A substrate electrode 11 is arranged inside a vacuum chamber 1 and the substrate electrode 11 is connected to a bias high frequency power supply 13 through a matching capacitor 12. A mass spectrometer 14 attached to a side wall of the chamber 1 observes ionic species generated during etching. Output of the spectrometer 11 is input to a computer 15 and variation with time of peak intensity of CHF2+ ion etc., is calculated by data analysis. The calculated data is input to a high frequency power supply control device and a high frequency power supply 8 of a high frequency coil 7 is controlled and discharge is finished after detection of an etching final point by the computer 15. Thereby, processing shape and thickness can be controlled highly accurately.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、プラズマを利用し
て、半導体、電子部品、光学部品、その他の基板上の物
質をエッチングする反応性イオンエッチング方法及び装
置に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a reactive ion etching method and apparatus for etching semiconductors, electronic parts, optical parts, and other substances on a substrate using plasma.

【0002】[0002]

【従来の技術】従来技術において用いられてきたエッチ
ング装置としては種々の型式のものが知られており、そ
の一つに添付図面の図4で示されるような平行平板型の
ものである。真空チャンバーA内に陽極Bと陰極すなわ
ち基板電極Cとを対向させて配置し、陽極Bから反応ガ
スが導入され、基板電極Cにはマッチング回路Dを介し
て高周波電源Eが接続されている。図5には、酸化膜エ
ッチング用として平行平板型のエッチング装置の別の例
を示し、この場合には電極Bにもマッチング回路Fを介
して高周波電源Gが接続され、上下の電極に周波数の異
なった高周波電力が印加される。
2. Description of the Related Art Various types of etching apparatuses have been used in the prior art, one of which is a parallel plate type as shown in FIG. 4 of the accompanying drawings. An anode B and a cathode, that is, a substrate electrode C, are arranged in a vacuum chamber A so as to face each other, a reaction gas is introduced from the anode B, and a high-frequency power source E is connected to the substrate electrode C via a matching circuit D. FIG. 5 shows another example of a parallel plate type etching apparatus for etching an oxide film. In this case, a high frequency power source G is also connected to an electrode B via a matching circuit F, and upper and lower electrodes are connected to the upper and lower electrodes. Different high frequency power is applied.

【0003】図6には、ECRエッチング装置の従来例を
示し、真空チャンバーAの上部から誘電体窓Bを通して
μ波を導入し、875ガウスの磁場のところで電子サイク
ロトロン共鳴により高密度プラズマを形成する。
FIG. 6 shows a conventional example of an ECR etching apparatus, in which a microwave is introduced from the upper part of a vacuum chamber A through a dielectric window B, and a high-density plasma is formed by electron cyclotron resonance in a magnetic field of 875 Gauss. .

【0004】図7に示すものは誘導結合放電エッチング
装置であり、真空チャンバーA内に放電プラズマを発生
するための1重のコイルからなるアンテナBを真空チャ
ンバーAの誘電体側壁A1の外側に設け、この高周波アン
テナBにプラズマ発生用高周波電源Cから高周波電力を
印加し、ハロゲン系のガスを主体とするエッチングガス
が流量制御器を通して上部天板A2付近の周囲より導入さ
れ、気体を真空チャンバーA内に導入し、低圧でプラズ
マを形成するとともに導入気体を分解し、発生した原
子、分子、ラジカル、イオンを積極的に利用し、プラズ
マに接する基板電極Dに高周波電源Eから高周波電場を
印加して基板電極D上に載置された基板をエッチングす
るように構成されている。図8には図7に示すものの変
形例であり、真空チャンバーAの上部壁を平板の誘電体
Fで構成しその上に渦巻き状のアンテナGを載置し、誘
導結合プラズマを形成するように構成されている。図7
の方式をICP(Inductively Coupled Plasma) と呼び、図
8の方式をTCP(Transfer Coupled Plasma)と呼んで区別
している。
FIG. 7 shows an inductively coupled discharge etching apparatus in which an antenna B composed of a single coil for generating discharge plasma in a vacuum chamber A is provided outside a dielectric side wall A1 of the vacuum chamber A. A high-frequency power is applied to the high-frequency antenna B from a high-frequency power source C for plasma generation, an etching gas mainly composed of a halogen-based gas is introduced from around the upper top plate A2 through a flow rate controller, and the gas is removed from the vacuum chamber A. To form a plasma at a low pressure, decompose the introduced gas, actively use the generated atoms, molecules, radicals, and ions, and apply a high-frequency electric field from a high-frequency power source E to a substrate electrode D in contact with the plasma. The substrate mounted on the substrate electrode D is etched. FIG. 8 is a modification of the one shown in FIG. 7, in which the upper wall of the vacuum chamber A is formed of a flat dielectric F, and a spiral antenna G is mounted thereon to form inductively coupled plasma. It is configured. FIG.
Is referred to as ICP (Inductively Coupled Plasma), and the method in FIG. 8 is referred to as TCP (Transfer Coupled Plasma) for distinction.

【0005】図9は、本願発明者らが、先に特開平7−
263192号において提案した磁気中性線放電エッチング装
置を示す。この先に提案した装置は、真空チャンバーA
の上部の誘電体円筒壁A1の外側に載置された3つの磁場
コイルB、C、Dによって真空チャンバーA内部に磁気
中性線Eが形成され、この磁気中性線Eに沿って、中間
の磁場コイルCの内側に配置された1重のアンテナFに
アンテナ用高周波電源Gから高周波電場を印加すること
によりリング状のプラズマが形成されるように構成され
ている。また、エッチングガスは流量制御器を通して上
部天板A2付近の周囲より導入され、コングクタンスバル
ブの開口率によって圧力が制御される。真空チャンバー
Aの下部の基板電極Hにはバイアス用高周波電源Iから
高周波電力が印加される。
FIG. 9 shows that the inventors of the present application disclosed in
1 shows a magnetic neutral discharge etching apparatus proposed in Japanese Patent No. 263192. The device proposed earlier is a vacuum chamber A
A magnetic neutral line E is formed inside the vacuum chamber A by the three magnetic field coils B, C, and D mounted on the outside of the dielectric cylindrical wall A1 on the upper side of the magnetic neutral line E. A ring-shaped plasma is formed by applying a high-frequency electric field from a high-frequency power source for antenna G to a single antenna F disposed inside the magnetic field coil C of the first embodiment. The etching gas is introduced from around the upper top plate A2 through the flow controller, and the pressure is controlled by the aperture ratio of the contactance valve. High frequency power is applied from a high frequency power source I for bias to a substrate electrode H below the vacuum chamber A.

【0006】代表例として、図7で示されているICPエ
ッチングについて説明する。エッチングガスは上部フラ
ンジ付近から導入され、誘電体円筒隔壁A1の外側に設置
されたアンテナBに高周波電源Cから高周波電力が印加
されてプラズマが形成され、導入ガスが分解される。こ
の時、プラズマ及び導入ガスの分布は基板上で均一であ
ることが要求されるので、一般には、上部フランジに多
数の穴のあいたシャワープレートが設けられ、それを通
してガスが真空チャンバーA内に導入される。ガスの流
れが均一で、プラズマ密度及び電位が均一であれば、プ
ラズマ中で発生したエッチャント(ラジカル及びイオ
ン)の密度分布は均一となり、基板は均一にエッチング
される。ところで、ICPエッチングにおけるプラズマ密
度及び電位の均一性はチャンバー構造と圧力に大きく影
響を受ける。チャンバー構造が決まってしまうと均一性
の得られる圧力条件がほぼ一義的に決まり、条件の選択
範囲が極めて狭い。
As a typical example, the ICP etching shown in FIG. 7 will be described. The etching gas is introduced from the vicinity of the upper flange, and a high-frequency power is applied from a high-frequency power source C to an antenna B provided outside the dielectric cylindrical partition wall A1 to form plasma, and the introduced gas is decomposed. At this time, since the distribution of the plasma and the introduced gas is required to be uniform on the substrate, generally, a shower plate having a large number of holes is provided on an upper flange, through which gas is introduced into the vacuum chamber A. Is done. If the gas flow is uniform and the plasma density and potential are uniform, the density distribution of etchants (radicals and ions) generated in the plasma becomes uniform, and the substrate is etched uniformly. Incidentally, the uniformity of the plasma density and the potential in the ICP etching is greatly affected by the chamber structure and the pressure. Once the chamber structure is determined, the pressure conditions for obtaining uniformity are almost uniquely determined, and the range of conditions to be selected is extremely narrow.

【0007】一方、図9の磁気中性線放電(NLD)エッ
チング装置では、磁気中性線の位置を自由に変えられ、
しかもICPが発生しない程の低圧ガス領域でプラズマ密
度及び電位を制御することができるので、エッチング均
一性の良い条件を容易に設定することができる。
On the other hand, in the magnetic neutral ray discharge (NLD) etching apparatus shown in FIG. 9, the position of the magnetic neutral ray can be freely changed.
In addition, since the plasma density and potential can be controlled in a low-pressure gas region where ICP does not occur, conditions with good etching uniformity can be easily set.

【0008】[0008]

【発明が解決しようとする課題】しかしながら、デバイ
スが高密度化してきて加工幅及び加工厚みが微細にな
り、従来のように予め求めておいたエッチング時間でエ
ッチング終点を設定してプロセスを行なう方法では超微
細加エプロセスに対処できなくなってきた。エッチング
では反応性の高いラジカル及びイオンを基板に照射して
基板物質との反応により基板物質をガス化して蝕刻する
が、単に削ればよいわけではなく、形状及び厚みの制御
も必要である。例えば、エッチバック工程によりガラス
基板上にマイクロマシンを形成する場合、レジストとガ
ラス基板との選択比(エッチング速度比)を二倍以内に
してレジストパターンをガラス基板に転写するが、選択
比が低いため終点検出が重要となる。レジストがなくな
った後もエッチングが継続されると、所望の形状及び厚
みが得られなくなる。また、レジストが完全にエッチン
グされる前に終了すると、ガラス表面にレジスト残滓が
あり、所望の機能が得られない。従ってエッチバック等
の工程においては、レジストと基板との選択比が小さい
ために、終点検出を高精度に行わなければならない。
However, as the device density increases, the processing width and processing thickness become finer, and a method of setting an etching end point with a previously determined etching time as in the prior art and performing a process. Then, it became impossible to cope with the ultrafine processing. In etching, the substrate material is gasified and etched by irradiating the substrate with radicals and ions having high reactivity and reacting with the substrate material. However, it is not only necessary to simply cut, but also the shape and thickness need to be controlled. For example, when a micromachine is formed on a glass substrate by an etch-back process, the resist pattern is transferred to the glass substrate with the selectivity between the resist and the glass substrate (etching rate ratio) being within twice, but the selectivity is low. Endpoint detection is important. If the etching is continued even after the resist disappears, the desired shape and thickness cannot be obtained. In addition, if the process is completed before the resist is completely etched, there is a resist residue on the glass surface, and a desired function cannot be obtained. Therefore, in a process such as an etch-back process, the end point must be detected with high accuracy because the selectivity between the resist and the substrate is small.

【0009】そこで、本発明は、上記の問題を解決し
て、エッチング終点を高精度に検出して加工形状及び厚
みを高精度に制御できる反応性イオンエッチング方法及
び装置を提供することを目的としている。
Accordingly, an object of the present invention is to provide a reactive ion etching method and apparatus capable of solving the above problems and detecting the etching end point with high accuracy and controlling the processing shape and thickness with high accuracy. I have.

【0010】[0010]

【課題を解決するための手段】上記の目的を達成するた
めに、本発明によれば、基板とレジストの選択比が1〜
2の範囲のエッチングを行うようにした反応性イオンエ
ッチングにおいて、質量分析計を基板電極付近に設け、
プラズマ中で生成されるイオン種を観測できるようにす
ると共に、CHF2 +イオン等のピーク強度の時間変化か
らエッチング終点を検出するように構成される。
According to the present invention, in order to achieve the above object, the selectivity between the substrate and the resist is 1 to 1.
In the reactive ion etching for performing the etching in the range of 2, a mass spectrometer is provided near the substrate electrode,
The ion species generated in the plasma can be observed, and the end point of the etching can be detected from the temporal change of the peak intensity of CHF 2 + ions and the like.

【0011】すなわち、マイクロマシンの部品や光学部
品等をエッチングによりガラス基板上に形成する場合、
アルゴン、一化炭素などのガスがエッチング補助ガスと
して用いられ、エッチング主ガスとしてはCF4、C3
8、C48等が用いられている。エッチング主ガスは、
プラズマ密度が高くなったり、プラズマ中の滞在時間が
長くなると分解が進み、付着性の物質であるCF、CF
2、CF3等のラジカルを多く生成するようになる。エッ
チング補助ガスとしてのArはラジカル種の濃度を希釈
して適度な濃度にする役割を担う他に、エッチング部の
側部及び底部に付着した膜をスパッタしたりイオン衝撃
により活性化して基板物質との反応を促進してエッチン
グ形状を整える役割をすると考えられている。いずれに
しても、エッチングの詳細なメカニズムが分かっていな
いため試行錯誤の実験を強いられるのが現状である。そ
の上、エッチバックによってマイクロマシン等の部品や
光学部品を作製する場合、レジストとの選択比を小さく
してエッチングするので終点検出を高精度に行わなけれ
ばならない。
That is, when parts of a micromachine or optical parts are formed on a glass substrate by etching,
Gases such as argon and carbon monoxide are used as an etching auxiliary gas, and CF 4 , C 3 F
8 , C 4 F 8 and the like are used. The main etching gas is
When the plasma density increases or the residence time in the plasma increases, the decomposition proceeds, and CF, CF
2 , a large amount of radicals such as CF 3 are generated. Ar as an etching auxiliary gas not only plays a role of diluting the concentration of radical species to an appropriate concentration, but also sputters a film attached to the side and bottom of the etched portion or activates the film by ion bombardment and reacts with the substrate material. It is thought that it plays a role in promoting the reaction of (1) and adjusting the etching shape. In any case, since the detailed mechanism of etching is not known, trial and error experiments are currently required. In addition, when a component such as a micromachine or an optical component is manufactured by etch-back, etching is performed with a small selectivity to a resist, so that the end point must be detected with high accuracy.

【0012】そのため、プラズマ密度が必要以上に高く
ない領域でプラズマを形成できる磁気中性線放電装置を
用い、質量分析計を基板近傍に設置して、エッチング終
点検出の高精度検出ができるかどうかイオン種との相関
を調ベた。その結果、COF+やCF2 +及びCHF2 +イオン
のピーク強度変化を見ることで高精度に終点検出できる
ことが分かった。CHF2イオンに含まれるHはレジス
トに含まれる−CHxを起源としており、レジストがな
くなると、CHF2 +イオンのピークは減少してバックグ
ラウンドレベルになる。CF2 +イオンはCF2ラジカル
を起源としていると考えられ、レジストが減少するに従
いCF2とレジストとの反応がなくなるので、結果的に
CF2 +が増加すると考えられる。
For this reason, whether a high-precision etching end point can be detected by installing a mass spectrometer near the substrate using a magnetic neutral discharge device capable of forming plasma in a region where the plasma density is not unnecessarily high. The correlation with ion species was investigated. As a result, it was found that the end point could be detected with high accuracy by observing the change in the peak intensity of COF + , CF 2 + and CHF 2 + ions. H contained in CHF 2 ions originates from —CHx contained in the resist, and when the resist disappears, the peak of CHF 2 + ions decreases to a background level. The CF 2 + ions are considered to originate from CF 2 radicals, and as the resist decreases, the reaction between the CF 2 and the resist disappears, so that the CF 2 + increases as a result.

【0013】[0013]

【発明の実施の形態】本発明の一つの実施の形態によれ
ば、真空チャンバー内にプラズマを発生させるプラズマ
発生装置を設け、ハロゲン系のガスを主体とする気体を
真空中に導入し、低圧でプラズマを形成すると共に導入
気体を分解し、発生した原子、分子、ラジカル、イオン
を利用し、プラズマに接する基板電極に交番電場或いは
高周波電場を印加して基板電極上に載置された基板を、
基板とレジストの選択比1〜2の範囲内でエッチングす
る反応性イオンエッチング方法において、基板電極近傍
に質量分析計を配置して、プラズマ中で生成されるCH
2 +を含む各種イオンをモニターしてCHF2 +イオンを
含むピーク強度の時間変化から終点検出し、検出した信
号に基づきプラズマ発生装置の電源制御装置を制御して
放電を終了させるようにされる。
DESCRIPTION OF THE PREFERRED EMBODIMENTS According to one embodiment of the present invention, a plasma generator for generating plasma is provided in a vacuum chamber, and a gas mainly composed of a halogen-based gas is introduced into a vacuum, and A plasma is formed at the same time, the introduced gas is decomposed, and the generated atoms, molecules, radicals, and ions are used, and an alternating electric field or a high-frequency electric field is applied to the substrate electrode in contact with the plasma, and the substrate placed on the substrate electrode is removed. ,
In a reactive ion etching method in which etching is performed within a selection ratio of a substrate and a resist within a range of 1 to 2, a mass spectrometer is arranged near a substrate electrode and CH generated in plasma is formed.
Various ions including F 2 + are monitored, and a final check is made based on a time change of the peak intensity including CHF 2 + ions, and the power supply control device of the plasma generator is controlled based on the detected signal to terminate the discharge. You.

【0014】本発明の別の実施の形態によれば、真空チ
ャンバー内に連続して存在する磁場ゼロの位置である環
状磁気中性線を形成するための磁場発生手段と、この磁
気中性線に沿って交番電場を加えてこの磁気中性線に放
電プラズマを発生するための1重を含む多重の高周波コ
イルとからなるプラズマ発生装置を設け、ハロゲン系の
ガスを主体とする気体を真空中に導入し、低圧でプラズ
マを形成すると共に導入気体を分解し、発生した原子、
分子、ラジカル、イオンを積極的に利用し、プラズマに
接する基板電極に交番電場或いは高周波電場を印加して
基板電極上に載置された基板を、基板とレジストの選択
比1〜2の範囲内でエッチングする反応性イオンエッチ
ング方法において、基板電極近傍に質量分析計を配置し
て、プラズマ中で生成されるCHF2 +を含む各種イオン
をモニターしてCHF2 +イオンを含むピーク強度の時間
変化から終点検出し、検出した信号に基づきプラズマ発
生装置の電源制御装置を制御して放電を終了させるよう
にされる。
According to another embodiment of the present invention, there is provided a magnetic field generating means for forming an annular magnetic neutral line which is a position of zero magnetic field continuously present in a vacuum chamber, and the magnetic neutral line. A plasma generator consisting of multiple high-frequency coils including a single layer for generating a discharge plasma in this magnetic neutral line by applying an alternating electric field along To form plasma at low pressure and decompose the introduced gas to generate atoms,
A substrate placed on the substrate electrode by applying an alternating electric field or a high-frequency electric field to the substrate electrode in contact with the plasma by positively utilizing the molecules, radicals, and ions, within a selection ratio of the substrate and the resist of 1 to 2. In a reactive ion etching method in which etching is performed by a method, a mass spectrometer is arranged near a substrate electrode, and various kinds of ions including CHF 2 + generated in plasma are monitored, and a time change of a peak intensity including CHF 2 + ions is performed. From the terminal inspection, the power supply control device of the plasma generator is controlled based on the detected signal to terminate the discharge.

【0015】本方法は塗布したレジストマスクをエッチ
バックしてパターン転写する工程に使用され得る。
The present method can be used in a step of etching back the applied resist mask and transferring the pattern.

【0016】本発明のさらに別の実施の形態によれば、
反応性イオンエッチング装置は、真空チャンバー内にプ
ラズマを発生させるプラズマ発生装置を設け、ハロゲン
系のガスを主体とする気体を真空中に導入し、低圧でプ
ラズマを形成すると共に導入気体を分解し、発生した原
子、分子、ラジカル、イオンを利用し、プラズマに接す
る基板電極に交番電場或いは高周波電場を印加して基板
電極上に載置された基板を、基板とレジストの選択比1
〜2の範囲内でエッチングするように構成すると共に、
基板電極近傍に、プラズマ中で生成されるCHF2 +含む
各種イオンをモニターする質量分析計を設け、またこの
質量分析計の出力からCHF2 +イオンを含むピーク強度
の時間変化から終点検出する終点検出手段を設け、終点
検出手段で検出した信号に基づきプラズマ発生装置の電
源制御装置を制御して放電を終了させるように構成され
る。好ましくは、真空チャンバー内にプラズマを発生さ
せるプラズマ発生装置は、真空チャンバー内に連続して
存在する磁場ゼロの位置である環状磁気中性線を形成す
るための磁場発生手段と、この磁気中性線に沿って交番
電場を加えてこの磁気中性線に放電プラズマを発生する
ための1重を含む多重の高周波コイルとから成り得る。
According to yet another embodiment of the present invention,
The reactive ion etching device is provided with a plasma generating device that generates plasma in a vacuum chamber, introduces a gas mainly composed of a halogen-based gas into a vacuum, forms plasma at a low pressure, and decomposes the introduced gas, Utilizing the generated atoms, molecules, radicals, and ions, an alternating electric field or a high-frequency electric field is applied to the substrate electrode in contact with the plasma, and the substrate mounted on the substrate electrode has a selectivity of substrate and resist of 1
While being configured to etch within the range of ~ 2,
In the vicinity of the substrate electrode, a mass spectrometer for monitoring various ions including CHF 2 + generated in the plasma is provided, and an end point for detecting an end point from a time change of a peak intensity including the CHF 2 + ion from an output of the mass spectrometer. Detecting means is provided, and the power supply control device of the plasma generator is controlled based on the signal detected by the end point detecting means to terminate the discharge. Preferably, the plasma generating apparatus for generating plasma in the vacuum chamber includes a magnetic field generating means for forming an annular magnetic neutral line which is a position of zero magnetic field continuously present in the vacuum chamber, And a plurality of high frequency coils, including a single coil, for applying an alternating electric field along the line to generate a discharge plasma in the magnetic neutral line.

【0017】[0017]

【実施例】以下添付図面の図1〜図3を参照して本発明
の実施例について説明する。図1には本発明を実施して
いる反応性イオンエッチング装置の一例を示す。図示エ
ッチング装置において、1は真空チャンバーで、その上
部には円筒形の誘電体側壁2を備え、誘電体側壁2の外
側には、真空チャンバー1内に磁気中性線を形成するた
めの磁場発生手段を構成している三つの磁場コイル3、
4、5が設けられ、真空チャンバー1の上部内に磁気中
性線6を形成する。中間の磁場コイル4と誘電体側壁2
の外側との間には1重を含む多重のプラズマ発生用の高
周波コイル7が配置され、この高周波コイル7は高周波
電源8に接続され、三つの磁場コイル3、4、5によっ
て真空チャンバー1の上部に形成された磁気中性線6に
沿って交番電場を加えてこの磁気中性線に放電プラズマ
を発生するようにしている。真空チャンバー1の上部の
天板9は誘電体側壁2の上部フランジに密封固着され、
またこの天板9にはエッチングガスを導入するシャワー
プレート10が設けられ、このシャワープレート10は図示
してないエッチング補助ガス源に接続されている。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of the present invention will be described below with reference to FIGS. FIG. 1 shows an example of a reactive ion etching apparatus embodying the present invention. In the illustrated etching apparatus, reference numeral 1 denotes a vacuum chamber, which is provided with a cylindrical dielectric side wall 2 at an upper portion thereof, and a magnetic field generation for forming a magnetic neutral line in the vacuum chamber 1 is provided outside the dielectric side wall 2. Three magnetic field coils 3 constituting the means,
4 and 5 are provided to form a magnetic neutral wire 6 in the upper portion of the vacuum chamber 1. Intermediate magnetic field coil 4 and dielectric side wall 2
A high-frequency coil 7 for generating multiple plasmas including a single layer is disposed between the high-frequency coil 7 and the high-frequency power supply 8. An alternating electric field is applied along the magnetic neutral line 6 formed on the upper portion to generate discharge plasma in the magnetic neutral line. The top plate 9 at the top of the vacuum chamber 1 is hermetically fixed to the upper flange of the dielectric side wall 2,
The top plate 9 is provided with a shower plate 10 for introducing an etching gas, and the shower plate 10 is connected to an etching auxiliary gas source (not shown).

【0018】真空チャンバー1内にはまた図示したよう
に基板電極11が配置され、この基板電極11はマッチング
コンデンサー12を介してバイアス高周波電源13に接続さ
れている。基板電極12の近傍において真空チャンバー1
の側壁には、エッチング中に生成されたイオン種を観測
するための質量分析器14が取付けられ、この質量分析器
14の出力はコンピューター15に接続されており、コンピ
ューター15は、データ解析により、CHF2 +イオン等の
ピーク強度の時間変化を算出する。コンピュータ14で算
出されたデータは図示してない高周波電源制御装置に入
力され、高周波コイル7の高周波電源8を制御して、コ
ンピューター15によるエッチング終点の検出後に放電終
了となるように構成されている。なお、図1において16
は図示してない排気系に接続される排気口である。
A substrate electrode 11 is disposed in the vacuum chamber 1 as shown in the figure. The substrate electrode 11 is connected to a bias high-frequency power supply 13 via a matching capacitor 12. Vacuum chamber 1 near substrate electrode 12
A mass spectrometer 14 for observing ion species generated during etching is attached to the side wall of the mass spectrometer.
The output of 14 is connected to a computer 15, and the computer 15 calculates the time change of the peak intensity of CHF 2 + ions and the like by data analysis. The data calculated by the computer 14 is input to a high-frequency power supply control device (not shown), which controls the high-frequency power supply 8 of the high-frequency coil 7 so that the computer 15 detects the end point of the etching and ends the discharge. . In FIG. 1, 16
Denotes an exhaust port connected to an exhaust system (not shown).

【0019】この様に構成した図示装置の動作について
説明する。図1の装置を用い、プラズマ発生用高周波電
源8の電力を1.0KW、基板バイアス高周波電源13の電力
を500W、真空チャンバー1の圧力を2mTorr、CF4の流
量を5OsccmとしたときのCHF2 +及びCF2 +イオンのエ
ッチング時間による変化を図2及び図3に示す。この例
では、エッチング時間が17分のときエッチングの終点
であり、CHF2 +イオンのピーク強度が最小となって変
化しなくなる。同様に、CF2 +の時間変化は、図3に示
すように、逆に時間と共に増加し、17分で最大となっ
て変化しなくなる。従来、このようなエッチバックによ
ってマイクロレンズなどの加工をする場合、終点となる
時問を予め求めておき設定時間に達したとき放電終了と
していた。プラズマ密度が低くエッチ速度が低い場合に
は、多少の時間的ズレは許容されるからである。しか
し、高密度プラズマでエッチングする場合、高精度の終
点検出が必要になり、これは本発明を実施することによ
り達成され得る。
The operation of the illustrated apparatus configured as described above will be described. Using the apparatus shown in FIG. 1, CHF 2 + when the power of the plasma generating high frequency power supply 8 was 1.0 KW, the power of the substrate bias high frequency power supply 13 was 500 W, the pressure of the vacuum chamber 1 was 2 mTorr, and the flow rate of CF 4 was 5 Osccm. FIGS. 2 and 3 show changes of CF 2 + and CF 2 + ions depending on the etching time. In this example, when the etching time is 17 minutes, the etching is completed, and the peak intensity of CHF 2 + ions is minimized and does not change. Similarly, as shown in FIG. 3, the time change of CF 2 + conversely increases with time, reaches a maximum at 17 minutes, and does not change. Conventionally, when processing a microlens or the like by such an etch back, a time when an end point is obtained is obtained in advance, and discharge is ended when a set time is reached. This is because when the plasma density is low and the etching rate is low, some time deviation is allowed. However, when etching with high-density plasma, highly accurate endpoint detection is required, which can be achieved by practicing the present invention.

【0020】ところで図示実施例ではNLDエッチング
装置に適用した例について説明してきたが、本発明は他
の形式のエッチング装置にも同様に適用することができ
る。また、図示実施例では、マイクロマシンの部品や光
学部品の加工に応用した場合について説明してきたが、
同様な効果は他の加工に適用した場合も期待できること
は言うまでもない。
In the illustrated embodiment, an example in which the present invention is applied to an NLD etching apparatus has been described. However, the present invention can be similarly applied to other types of etching apparatuses. Further, in the illustrated embodiment, the case where the present invention is applied to processing of a micromachine component or an optical component has been described.
Needless to say, similar effects can be expected when applied to other processing.

【0021】[0021]

【発明の効果】以上述べたように、本発明によれば、基
板とレジストの選択比が1〜2の範囲のエッチングを行
うようにした反応性イオンエッチングにおいて、質量分
析計を基板電極付近に設け、プラズマ中で生成されるイ
オン種を観測できるようにすると共に、CHF2 +イオン
等のピーク強度の時間変化からエッチング終点を検出す
るように構成しているので、加工形状や厚みを高精度に
制御できるようになる。その結果、半導体や電子部品、
ガラスなどの加工に用いられている反応性イオンエッチ
ングプロセスに大きく貢献することができるようにな
る。
As described above, according to the present invention, in the reactive ion etching in which the selection ratio between the substrate and the resist is in the range of 1-2, the mass spectrometer is placed near the substrate electrode. It is possible to observe the ion species generated in the plasma and to detect the etching end point from the time change of the peak intensity of CHF 2 + ion etc. Can be controlled. As a result, semiconductors and electronic components,
It can greatly contribute to the reactive ion etching process used for processing glass and the like.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の一実施例を示す概略線図。FIG. 1 is a schematic diagram showing one embodiment of the present invention.

【図2】 図1の装置を使用して実測したプラズマ中の
CHF2 +強度の時間依存性を示すグラフ。
FIG. 2 is a graph showing the time dependence of CHF 2 + intensity in plasma measured using the apparatus of FIG. 1;

【図3】 図1の装置を使用して実測したプラズマ中の
CF2 強度の時間依存性を示すグラフ。
FIG. 3 is a graph showing the time dependence of CF 2 intensity in plasma measured using the apparatus of FIG. 1;

【図4】 従来の平行平板型エッチング装置の一例を示
す概略線図。
FIG. 4 is a schematic diagram showing an example of a conventional parallel plate type etching apparatus.

【図5】 従来の3極エッチング装置を示す概略線図。FIG. 5 is a schematic diagram showing a conventional triode etching apparatus.

【図6】 従来のECRエッチング装置を示す概略線図。FIG. 6 is a schematic diagram showing a conventional ECR etching apparatus.

【図7】 従来の誘導結合型エッチング装置を示す概略
線図。
FIG. 7 is a schematic diagram showing a conventional inductively coupled etching apparatus.

【図8】 従来のトランスファ結合型エッチング装置を
示す概略線図。
FIG. 8 is a schematic diagram showing a conventional transfer-coupling type etching apparatus.

【図9】 従来の磁気中性線放電型エッチング装置を示
す概略線図。
FIG. 9 is a schematic diagram showing a conventional magnetic neutral beam discharge etching apparatus.

【符号の説明】[Explanation of symbols]

1:真空チャンバー 2:円筒形の誘電体側壁 3:磁場コイル 4:磁場コイル 5:磁場コイル 6:磁気中性線 7:高周波コイル 8:プラズマ発生用高周波電源 9:天板 10:シャワープレート 11:基板電極 12:マッチングコンデンサー 13:バイアス高周波電源 14:質量分析器 15:コンピューター 16:排気口 1: vacuum chamber 2: cylindrical dielectric side wall 3: magnetic field coil 4: magnetic field coil 6: magnetic neutral wire 7: high frequency coil 8: high frequency power supply for plasma generation 9: top plate 10: shower plate 11 : Substrate electrode 12 : Matching condenser 13 : Bias RF power supply 14 : Mass analyzer 15 : Computer 16 : Exhaust port

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4K057 DA14 DD03 DE06 DJ06 DN01 DN03 5F004 AA01 BA08 BB07 BB11 BC08 CA02 CA03 CA06 CB04 CB15 DA01 DB26 EA27  ──────────────────────────────────────────────────続 き Continued on the front page F term (reference) 4K057 DA14 DD03 DE06 DJ06 DN01 DN03 5F004 AA01 BA08 BB07 BB11 BC08 CA02 CA03 CA06 CB04 CB15 DA01 DB26 EA27

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 真空チャンバー内にプラズマを発生させ
るプラズマ発生装置を設け、ハロゲン系のガスを主体と
する気体を真空中に導入し、低圧でプラズマを形成する
と共に導入気体を分解し、発生した原子、分子、ラジカ
ル、イオンを利用し、プラズマに接する基板電極に交番
電場或いは高周波電場を印加して基板電極上に載置され
た基板を、基板とレジストの選択比1〜2の範囲内でエ
ッチングする反応性イオンエッチング方法において、 基板電極近傍に質量分析計を配置して、プラズマ中で生
成されるCHF2 +を含む各種イオンをモニターしてCH
2 +イオンを含むピーク強度の時間変化から終点検出
し、検出した信号に基づきプラズマ発生装置の電源制御
装置を制御して放電を終了させることを特徴とする反応
性イオンエッチング方法。
1. A plasma generator for generating plasma in a vacuum chamber is provided, a gas mainly composed of a halogen-based gas is introduced into a vacuum, plasma is formed at a low pressure, and the introduced gas is decomposed and generated. Utilizing atoms, molecules, radicals, and ions, an alternating electric field or a high-frequency electric field is applied to the substrate electrode in contact with the plasma, and the substrate placed on the substrate electrode is selected within a selection ratio of the substrate and the resist of 1 to 2. In the reactive ion etching method for etching, a mass spectrometer is arranged near a substrate electrode, and various ions including CHF 2 + generated in plasma are monitored to detect CH.
A reactive ion etching method comprising: performing a final inspection based on a time change of a peak intensity including F 2 + ions; and controlling a power supply control device of a plasma generator based on a detected signal to terminate discharge.
【請求項2】 塗布したレジストマスクをエッチバック
してパターン転写する工程に使用することを特徴とする
請求項1に記載のした反応性イオンエッチング方法。
2. The reactive ion etching method according to claim 1, wherein the applied resist mask is used in a step of etching back the pattern and transferring the pattern.
【請求項3】 真空チャンバー内に連続して存在する磁
場ゼロの位置である環状磁気中性線を形成するための磁
場発生手段と、この磁気中性線に沿って交番電場を加え
てこの磁気中性線に放電プラズマを発生するための1重
を含む多重の高周波コイルとからなるプラズマ発生装置
を設け、ハロゲン系のガスを主体とする気体を真空中に
導入し、低圧でプラズマを形成すると共に導入気体を分
解し、発生した原子、分子、ラジカル、イオンを積極的
に利用し、プラズマに接する基板電極に交番電場或いは
高周波電場を印加して基板電極上に載置された基板を、
基板とレジストの選択比1〜2の範囲内でエッチングす
る反応性イオンエッチング方法において、 基板電極近傍に質量分析計を配置して、プラズマ中で生
成されるCHF2 +を含む各種イオンをモニターしてCH
2 +イオンを含むピーク強度の時間変化から終点検出
し、検出した信号に基づきプラズマ発生装置の電源制御
装置を制御して放電を終了させることを特徴とする反応
性イオンエッチング方法。
3. A magnetic field generating means for forming an annular magnetic neutral line which is a position of zero magnetic field continuously present in a vacuum chamber, and applying an alternating electric field along the magnetic neutral line to generate the magnetic field. Provide a plasma generator consisting of a single high-frequency coil and a single high-frequency coil for generating discharge plasma on the neutral wire, and introduce a gas mainly composed of halogen-based gas into vacuum to form plasma at low pressure. At the same time, the introduced gas is decomposed, and the generated atoms, molecules, radicals, and ions are positively used, and the substrate placed on the substrate electrode by applying an alternating electric field or a high-frequency electric field to the substrate electrode in contact with the plasma,
In a reactive ion etching method in which a substrate and a resist are etched within a selection ratio of 1 to 2, a mass spectrometer is arranged near a substrate electrode to monitor various ions including CHF 2 + generated in plasma. CH
A reactive ion etching method comprising: performing a final inspection based on a time change of a peak intensity including F 2 + ions; and controlling a power supply control device of a plasma generator based on a detected signal to terminate discharge.
【請求項4】 塗布したレジストマスクをエッチバック
してパターン転写する工程に使用することを特徴とする
請求項3に記載の反応性イオンエッチング方法。
4. The reactive ion etching method according to claim 3, wherein the applied resist mask is used in a step of etching back the pattern and transferring the pattern.
【請求項5】 真空チャンバー内にプラズマを発生させ
るプラズマ発生装置を設け、ハロゲン系のガスを主体と
する気体を真空中に導入し、低圧でプラズマを形成する
と共に導入気体を分解し、発生した原子、分子、ラジカ
ル、イオンを利用し、プラズマに接する基板電極に交番
電場或いは高周波電場を印加して基板電極上に載置され
た基板を、基板とレジストの選択比1〜2の範囲内でエ
ッチングするように構成すると共に、基板電極近傍に、
プラズマ中で生成されるCHF2 +含む各種イオンをモニ
ターする質量分析計を設け、またこの質量分析計の出力
からCHF2 +イオンを含むピーク強度の時間変化から終
点検出する終点検出手段を設け、終点検出手段で検出し
た信号に基づきプラズマ発生装置の電源制御装置を制御
して放電を終了させるように構成したことを特徴とする
反応性イオンエッチング装置。
5. A plasma generator for generating plasma in a vacuum chamber is provided, a gas mainly containing a halogen-based gas is introduced into a vacuum, plasma is formed at a low pressure, and the introduced gas is decomposed and generated. Utilizing atoms, molecules, radicals, and ions, an alternating electric field or a high-frequency electric field is applied to the substrate electrode in contact with the plasma, and the substrate placed on the substrate electrode is selected within a selection ratio of the substrate and the resist of 1 to 2. While being configured to be etched, near the substrate electrode,
A mass spectrometer for monitoring various ions including CHF 2 + generated in the plasma is provided, and end point detecting means for detecting an end point from a time change of a peak intensity including CHF 2 + ions from an output of the mass spectrometer is provided. A reactive ion etching apparatus characterized in that a power supply control device of a plasma generator is controlled based on a signal detected by an end point detecting means to terminate discharge.
【請求項6】 真空チャンバー内にプラズマを発生させ
るプラズマ発生装置が、真空チャンバー内に連続して存
在する磁場ゼロの位置である環状磁気中性線を形成する
ための磁場発生手段と、この磁気中性線に沿って交番電
場を加えてこの磁気中性線に放電プラズマを発生するた
めの1重を含む多重の高周波コイルとから成っているこ
とを特徴とする請求項4に記載の反応性イオンエッチン
グ装置。
6. A plasma generating apparatus for generating plasma in a vacuum chamber includes: a magnetic field generating means for forming an annular magnetic neutral line at a position of zero magnetic field continuously present in the vacuum chamber; 5. The reactive element according to claim 4, further comprising a single high frequency coil including a single coil for applying an alternating electric field along the neutral line to generate a discharge plasma in the magnetic neutral line. Ion etching equipment.
JP18786398A 1998-07-02 1998-07-02 Reactive ion etching method and apparatus Expired - Lifetime JP3997004B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP18786398A JP3997004B2 (en) 1998-07-02 1998-07-02 Reactive ion etching method and apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP18786398A JP3997004B2 (en) 1998-07-02 1998-07-02 Reactive ion etching method and apparatus

Publications (3)

Publication Number Publication Date
JP2000021857A true JP2000021857A (en) 2000-01-21
JP2000021857A5 JP2000021857A5 (en) 2005-10-06
JP3997004B2 JP3997004B2 (en) 2007-10-24

Family

ID=16213542

Family Applications (1)

Application Number Title Priority Date Filing Date
JP18786398A Expired - Lifetime JP3997004B2 (en) 1998-07-02 1998-07-02 Reactive ion etching method and apparatus

Country Status (1)

Country Link
JP (1) JP3997004B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
CN108328935A (en) * 2018-04-16 2018-07-27 中国工程物理研究院激光聚变研究中心 Alternating electric field auxiliary optical component surface etching treatment device and processing method
CN110197785A (en) * 2019-06-21 2019-09-03 苏州加拉泰克动力有限公司 A kind of etch system and preparation method preparing anti-glare glass

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
CN108328935A (en) * 2018-04-16 2018-07-27 中国工程物理研究院激光聚变研究中心 Alternating electric field auxiliary optical component surface etching treatment device and processing method
CN108328935B (en) * 2018-04-16 2024-02-27 中国工程物理研究院激光聚变研究中心 Alternating electric field auxiliary optical element surface etching treatment device and treatment method
CN110197785A (en) * 2019-06-21 2019-09-03 苏州加拉泰克动力有限公司 A kind of etch system and preparation method preparing anti-glare glass

Also Published As

Publication number Publication date
JP3997004B2 (en) 2007-10-24

Similar Documents

Publication Publication Date Title
US11658011B2 (en) Plasma processing apparatus
US5571366A (en) Plasma processing apparatus
US6503364B1 (en) Plasma processing apparatus
JPS60126832A (en) Dry etching method and device thereof
US20040060660A1 (en) Control of plasma density with broadband RF sensor
US10319649B2 (en) Optical emission spectroscopy (OES) for remote plasma monitoring
JPH11219938A (en) Plasma etching method
US6815369B2 (en) Method for monitoring deposition reaction during processing the surface of a semiconductor substrate
JPH09129594A (en) Method and apparatus for dry etching
US7799237B2 (en) Method and apparatus for etching a structure in a plasma chamber
JPH104081A (en) Dry etching apparatus and method thereof
CN112424911B (en) Plasma processing apparatus and plasma processing method
JP2003224112A (en) Plasma treatment device and plasma treatment method
US6812044B2 (en) Advanced control for plasma process
JP2000021857A (en) Method and device for reactive ion etching
JPH10312899A (en) Plasma processing method and plasma processing device
JP2001007089A (en) Plasma treatment method and apparatus
JP4336680B2 (en) Reactive ion etching system
JP4332238B2 (en) Reactive ion etching method and apparatus
JP6019203B2 (en) Plasma processing equipment
JP3940467B2 (en) Reactive ion etching apparatus and method
JP3116762B2 (en) Plasma etching equipment
JP5846851B2 (en) Plasma processing method
JP3038828B2 (en) Plasma processing method
JP4243615B2 (en) Reactive ion etching system

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050518

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050518

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070418

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070615

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070711

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070806

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100810

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100810

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130810

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term