JPH10312899A - Plasma processing method and plasma processing device - Google Patents

Plasma processing method and plasma processing device

Info

Publication number
JPH10312899A
JPH10312899A JP9125344A JP12534497A JPH10312899A JP H10312899 A JPH10312899 A JP H10312899A JP 9125344 A JP9125344 A JP 9125344A JP 12534497 A JP12534497 A JP 12534497A JP H10312899 A JPH10312899 A JP H10312899A
Authority
JP
Japan
Prior art keywords
plasma
frequency power
plasma processing
impedance matching
period
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9125344A
Other languages
Japanese (ja)
Inventor
Masabumi Kubota
正文 久保田
Shigenori Hayashi
重徳 林
Michinari Yamanaka
通成 山中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP9125344A priority Critical patent/JPH10312899A/en
Publication of JPH10312899A publication Critical patent/JPH10312899A/en
Pending legal-status Critical Current

Links

Landscapes

  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a plasma processing method and a plasma processing device superior in fine machinability at a low pressure and excellent in reproducibility. SOLUTION: Reactive gas is introduced into a chamber 1 being a vacuum room, and plasma is generated in the chamber 1 by pulse high frequency power so as to conduct dry etching of a sample to be etched 6 placed in the chamber 1. A period wherein the plasma is generated by continuously supplying the high frequency power is provided, and impedance matching is conducted in this period. Desirably, effective input power in the case of plasma generation by the pulse high frequency power and the input power in the case of the plasma generation by the continuous high frequency power supply are set to be nearly equal mutually.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、高周波放電を用い
たパルスプラズマ処理方法及びその装置に関するもので
ある。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a pulse plasma processing method using high frequency discharge and an apparatus therefor.

【0002】[0002]

【従来の技術】高周波放電を用いてプラズマを発生さ
せ、このプラズマを用いて、微細加工のためのドライエ
ッチング、薄膜形成のためのスパッタリングやプラズマ
CVD、イオン注入等のさまざまな加工が行われてい
る。そして、加工寸法の微細化や膜質の高精度な制御の
ために、高真空中でのプラズマ生成が求められている。
2. Description of the Related Art A plasma is generated using a high-frequency discharge, and various processes such as dry etching for fine processing, sputtering, plasma CVD, and ion implantation for forming a thin film are performed using the plasma. I have. In addition, plasma generation in a high vacuum is required for miniaturization of processing dimensions and high-precision control of film quality.

【0003】そこで以下では、プラズマを用いたプラズ
マ処理方法の一つの適用例として、微細加工の一種であ
るドライエッチングにプラズマ処理を用いた場合につい
て説明する。
[0003] In the following, as one application example of a plasma processing method using plasma, a case where plasma processing is used for dry etching, which is a kind of fine processing, will be described.

【0004】現代の高密度半導体集積回路の進歩は産業
革命にも比較される変革をもたらしつつあり、半導体集
積回路の高密度化・高集積化は、素子寸法の微細化、デ
バイスの改良、チップサイズの大面積化等により実現さ
れてきた。そして現在素子寸法は、微細加工に用いる光
の波長程度にまで進んできており、リソグラフィーには
エキシマレーザーやX線の使用が有望となっている。こ
こで、微細パターンの形成の実現には、リソグラフィー
と並んでドライエッチングが重要な役割を果たしている
と言える。
[0004] The progress of modern high-density semiconductor integrated circuits is bringing about a change that can be compared with the industrial revolution. Higher densities and higher integration of semiconductor integrated circuits are accompanied by miniaturization of element dimensions, improvement of devices, and chips. This has been realized by increasing the size of the area. At present, the element dimensions have been advanced to about the wavelength of light used for fine processing, and the use of excimer lasers and X-rays for lithography is promising. Here, it can be said that dry etching plays an important role along with lithography in realizing the formation of a fine pattern.

【0005】ドライエッチングとは、プラズマ中に存在
するラジカル、イオン等による気相と加工を行う基体の
固相表面における化学的叉は物理的反応を利用し、薄膜
叉は基板の不要な部分を除去する加工技術である。この
ドライエッチング技術として最も広く用いられている反
応性イオンエッチング(RIE)は、適当なガスの高周
波放電プラズマ中に試料を曝すことによりエッチング反
応を起こさせ、試料表面の不要部分を除去するものであ
る。必要な部分つまりドライエッチングにより除去しな
い部分は、通常マスクとして用いたホトレジストパター
ンにより保護されている。
[0005] Dry etching utilizes a chemical or physical reaction on a solid phase surface of a substrate to be processed with a gas phase caused by radicals, ions, or the like present in a plasma to remove unnecessary portions of a thin film or a substrate. This is a processing technique for removing. Reactive ion etching (RIE), which is the most widely used dry etching technique, removes unnecessary portions of the sample surface by exposing the sample to a high-frequency discharge plasma of an appropriate gas to cause an etching reaction. is there. Necessary portions, that is, portions that are not removed by dry etching, are protected by a photoresist pattern usually used as a mask.

【0006】今後のさらなる加工の微細化のためにはド
ライエッチングの際のイオンの方向性を揃えることが必
要であるが、これを実現するためにはプラズマ中でのイ
オンの散乱を減らすことが不可欠である。イオンの方向
性を揃えるためには、プラズマ発生装置内の圧力を低く
し、イオンの平均自由行程を大きくすることが効果的で
あるが、プラズマ室の圧力を低くするとかえってラジカ
ル密度が低下しエッチ速度が低くなるという問題が発生
してしまう。
In order to further refine the processing in the future, it is necessary to make the directionality of the ions during dry etching uniform. To achieve this, it is necessary to reduce the scattering of ions in the plasma. It is essential. It is effective to lower the pressure in the plasma generator and increase the mean free path of the ions in order to make the directionality of the ions uniform.However, if the pressure in the plasma chamber is lowered, the radical density lowers and the etch density decreases. The problem that the speed becomes low occurs.

【0007】この対策として、誘導結合型プラズマ装置
やヘリコン型プラズマ装置等の高密度プラズマ装置が導
入されつつある。高密度プラズマ装置では上記の従来の
平行平板型RIE装置に比べて1桁から2桁の高密度プ
ラズマを発生することができる。このため、圧力が1桁
から2桁低い数Pa程度の低圧力下でもRIE装置と同
等以上のドライエッチング速度を達成することができ
る。
As a countermeasure, a high-density plasma device such as an inductively coupled plasma device or a helicon plasma device is being introduced. The high-density plasma device can generate one to two digits of high-density plasma compared to the above-described conventional parallel plate RIE device. Therefore, a dry etching rate equal to or higher than that of the RIE apparatus can be achieved even under a low pressure of about several Pa, which is lower by one to two digits.

【0008】[0008]

【発明が解決しようとする課題】しかしながら、上記の
誘導結合型プラズマ装置やヘリコン型プラズマ装置等の
高密度プラズマ装置を用いたドライエッチングでは、以
下のような問題が生じることが明らかになった。
However, it has been found that the following problems occur in dry etching using a high-density plasma apparatus such as the above-described inductively coupled plasma apparatus or helicon plasma apparatus.

【0009】まず第1にチャージアップによる、エッチ
ング形状の異常発生、第2にマイクロローディング効
果、第3にゲート絶縁膜の劣化や破壊である。
First, abnormalities in the etched shape due to charge-up, second, microloading effects, and third, deterioration and destruction of the gate insulating film.

【0010】チャージアップによる、エッチング形状の
異常発生の典型例として、多結晶シリコンエッチングに
おけるノッチがある。この現象は高密度プラズマにより
多結晶シリコンエッチングを行なった場合に、ラインア
ンドスペースのパターンの最も外側のラインパターンの
内側底面に楔状のノッチが形成されるというもので、被
エッチング試料表面への電子の供給量がパターンによっ
て異なることに依るものと考えられている(例えば、
K,K,Chiほか、1995年DRY PROCES
S SYMPOSIUM予稿集,p.75,電気学
会)。
A typical example of the occurrence of abnormalities in the etching shape due to charge-up is a notch in polycrystalline silicon etching. This phenomenon is that when polycrystalline silicon is etched by high-density plasma, a wedge-shaped notch is formed on the inner bottom surface of the outermost line pattern of the line-and-space pattern. Is believed to be due to different patterns of supply (e.g.,
K, K, Chi et al., 1995 DRY PROCES
S Symposium Proceedings, p. 75, The Institute of Electrical Engineers of Japan).

【0011】さらに、このような電荷の局在化と不均一
はエッチング速度そのものにも影響を及ぼす。例えばフ
ォトレジストマスクが注入される正イオンによりエッチ
ング中は正に帯電する場合には、マスク開口部が小さい
ほど正イオンに対する開口部への入射阻止機能が強く働
き、マスク開口幅が狭いほどエッチング速度が遅い、い
わゆるマイクロローディング効果を生じる場合がある。
Further, such localization and non-uniformity of the electric charge also affect the etching rate itself. For example, if the photoresist mask is positively charged during etching by positive ions, the smaller the mask opening, the stronger the function of blocking the entrance of positive ions into the opening. Is slow, a so-called microloading effect may occur.

【0012】また、このような電荷供給のアンバランス
があるとMOSトランジスタのゲート絶縁膜の劣化や破
壊を引き起こす。例えば、ゲート絶縁膜が10nm程度
以下の極薄膜になると、プラズマにさらされたMOSト
ランジスタの相互コンダクタンスが劣化し、極端な場合
には絶縁破壊に至ることが知られている(例えばERI
GUCHIほか、IEICE TRANS.ELECT
RON.,VOL.E78−C,p.261,電子情報
通信学会)。微細化によりトランジスタサイズが1ミク
ロン以下になると、LSIは、配線の面積かトランジス
タ面積の3桁から5桁以上も大きい、いわゆるアンテナ
構造を有するトランジスタを含むようになる。アンテナ
構造は電荷の不均一を拡大するように機能するので、微
細化とともにプラズマによるゲート絶縁膜の劣化や破壊
はますます重要な課題になるものと考えられる。
In addition, such an unbalanced charge supply causes deterioration and destruction of the gate insulating film of the MOS transistor. For example, it is known that when the gate insulating film becomes extremely thin, about 10 nm or less, the mutual conductance of a MOS transistor exposed to plasma deteriorates, and in extreme cases, dielectric breakdown occurs (for example, ERI).
GUCHI et al., IEICE TRANS. ELECT
RON. , VOL. E78-C, p. 261, The Institute of Electronics, Information and Communication Engineers). When the transistor size is reduced to 1 micron or less due to miniaturization, the LSI includes a transistor having a so-called antenna structure that is three to five digits or more of the wiring area or the transistor area. Since the antenna structure functions to increase the non-uniformity of the electric charge, deterioration and destruction of the gate insulating film due to plasma with miniaturization are considered to be an increasingly important issue.

【0013】以上のような高密度プラズマプロセスの問
題を解決する方法として、パルスプラズマプロセスが提
案されている(例えば、Ohtakeほか、1995年
DRY PROCESS SYMPOSIUM予稿集,
p.45,電気学会)。パルスプラズマプロセスとは、
プラズマ発生用高周波電力をパルス状に供給し、オフ時
間を設けることで電荷の局所的な蓄積を緩和してこれら
の課題を解決しようとするものである。実際には、オフ
時間に電子の減少と負イオンの発生があり、この効果に
より、電荷分布の均一性が向上することも分かってき
た。
As a method for solving the above-mentioned problem of the high-density plasma process, a pulse plasma process has been proposed (for example, Ohtake et al., DRY PROCESS SYMPOSIUM 1995,
p. 45, The Institute of Electrical Engineers of Japan). What is a pulsed plasma process?
An object of the present invention is to solve these problems by supplying high-frequency power for plasma generation in a pulse shape and providing an off time to alleviate local accumulation of electric charge. Actually, there is a decrease in electrons and generation of negative ions during the off-time, and it has been found that this effect improves the uniformity of the charge distribution.

【0014】しかしながら、実用化を考えると、従来の
パルスプラズマプロセスではその制御性や再現性が充分
ではなかった。これはパルス電力をプラズマに投入する
ため、インピーダンス整合を再現性のある一定の状態に
とる事ができないことが主たる原因の一つであることが
判ってきた。通常の連続の高周波電力を供給する高周波
電源とプラズマ処理室との間には、通常、特性インピー
ダンス50Ωの高周波電源とのインピーダンス整合を取
るためにインピーダンス整合器が設置される。一般に、
インピーダンス整合器では、内蔵の方向性結合器を介し
て負荷からの反射電力を監視し、その値が最少値となる
よう自動的に可変容量や可変インダクタンスを変化させ
ることにより、自動的にインピーダンス整合を達成す
る。このようなインピーダンス整合器をパルスプラズマ
に適用すると、反射電力レベルがパルスオフ時に低下す
るため、正確にマッチングが取れず、実効的に感度が低
下したり、複数の整合ポイントが現われたりする。その
結果、常に同じ整合条件とならないため、プロセス結果
がその時々により異なり、再現性が悪くなるのである。
However, considering practical use, the controllability and reproducibility of the conventional pulsed plasma process were not sufficient. It has been found that this is one of the main reasons that the impedance matching cannot be achieved in a reproducible constant state because pulse power is applied to the plasma. An impedance matching device is usually provided between a high-frequency power supply that supplies normal continuous high-frequency power and a plasma processing chamber to perform impedance matching with a high-frequency power supply having a characteristic impedance of 50Ω. In general,
The impedance matching device automatically monitors the reflected power from the load via the built-in directional coupler, and automatically changes the variable capacitance and variable inductance so that the value becomes the minimum value. To achieve. When such an impedance matching device is applied to pulsed plasma, the reflected power level is reduced when the pulse is off, so that matching cannot be performed accurately, sensitivity is effectively reduced, and a plurality of matching points appear. As a result, since the same matching conditions are not always obtained, the process results are different from time to time, and the reproducibility is deteriorated.

【0015】このため、従来は再現性を高めるためイン
ピーダンス整合器の自動制御機能を使用せず、インピー
ダンス整合器をマニュアルの状態で使用している場合が
多い。しかしながら、マニュアルの状態では最良のイン
ピーダンス整合になっているとは限らず、前述のように
再現性が不十分で工業的に満足に使用できる状況ではな
かった。
For this reason, conventionally, in order to improve reproducibility, an automatic control function of the impedance matching device is not used, and the impedance matching device is often used in a manual state. However, in the state of the manual, the impedance matching is not always the best, and as described above, the reproducibility is insufficient and the industrial use is not satisfactory.

【0016】本発明はこのような課題に鑑み、低圧力下
で微細加工性にすぐれかつ再現性の良好なプラズマ発生
方法を提供することを目的とする。
The present invention has been made in view of the above problems, and an object of the present invention is to provide a plasma generation method which is excellent in fine workability under low pressure and has good reproducibility.

【0017】[0017]

【課題を解決するための手段】上記目的を達成するため
に本発明は、真空室中に反応性ガスを導入するとともに
前記真空室中にパルス高周波電力によりプラズマを発生
させ、前記真空室内に設置された試料のプラズマ処理を
行うプラズマ処理方法であって、連続的に高周波電力を
供給してプラズマを発生させる期間を設け、前記期間内
でインピーダンス整合を行なうことを特徴とする構成と
なっている。
SUMMARY OF THE INVENTION In order to achieve the above object, the present invention is to introduce a reactive gas into a vacuum chamber, generate a plasma in the vacuum chamber by pulsed high frequency power, and install the plasma in the vacuum chamber. A plasma processing method for performing plasma processing of a sample that has been provided, wherein a period for continuously supplying high-frequency power to generate plasma is provided, and impedance matching is performed within the period. .

【0018】この時、プラズマ処理の最初に連続的に高
周波電力を供給してプラズマを発生させる期間を設ける
ことが望ましい。また、パルス高周波電力によりプラズ
マを発生させる際の実効投入電力と、連続的に高周波電
力を供給してプラズマを発生させる際の投入電力とがほ
ぼ等しいことが望ましい。
At this time, it is desirable to provide a period in which plasma is generated by continuously supplying high frequency power at the beginning of the plasma processing. Further, it is desirable that the effective input power when generating plasma by pulsed high-frequency power and the input power when generating plasma by continuously supplying high-frequency power are substantially equal.

【0019】さらに本発明は、真空室中に反応性ガスを
導入するとともに前記真空室中にパルス高周波電力によ
りプラズマを発生させ、前記真空室内に設置された試料
のプラズマ処理を行うプラズマ処理装置であって、パル
ス及び連続の高周波電力を供給する高周波電源と、イン
ピーダンス整合器とを具備し、前記高周波電源が連続の
高周波電力を供給している期間にインピーダンス整合を
行う構成となっている。
The present invention further provides a plasma processing apparatus for introducing a reactive gas into a vacuum chamber, generating plasma by pulsed high-frequency power in the vacuum chamber, and performing plasma processing on a sample placed in the vacuum chamber. A high-frequency power supply for supplying pulsed and continuous high-frequency power, and an impedance matching device are provided, and impedance matching is performed while the high-frequency power is supplying continuous high-frequency power.

【0020】なお、このようなパルス及び連続の高周波
電力供給可能な高周波電源は、プラズマ発生用であって
も、また試料台に接続されてバイアス印加用であっても
構わない。また、本発明のパルス及び連続の高周波電力
を供給可能な高周波電源は、連続の高周波発生時にゲー
ト信号を発する機能を具備している。
It should be noted that such a high-frequency power supply capable of supplying pulsed and continuous high-frequency power may be used for plasma generation, or may be connected to a sample stage for bias application. Further, the high-frequency power supply capable of supplying pulse and continuous high-frequency power according to the present invention has a function of generating a gate signal when continuous high-frequency power is generated.

【0021】上記の構成により、インピーダンス整合の
再現性が向上するからプロセス再現性も大幅に向上し、
また電源やマッチャーでのインピーダンス不整合による
トラブルも大幅に減少する。また、我々の実験結果によ
ると、連続プラズマの高周波投入電力と、パルスプラズ
マにおけるその時間平均投入電力とを等しくすると、連
続プラズマで発生するプラズマ密度と、パルスプラズマ
期間におけるそれとがおおよそ等しくなることが分かっ
ている。このためパルスプラズマの期間におけるインピ
ーダンス整合の状態もより良好になる。
With the above configuration, the reproducibility of impedance matching is improved, so that the reproducibility of the process is greatly improved.
In addition, problems due to impedance mismatch at the power supply and matcher are also greatly reduced. According to our experimental results, when the high-frequency input power of continuous plasma is equal to the time-average input power of pulsed plasma, the plasma density generated by continuous plasma is approximately equal to that during pulsed plasma. I know. Therefore, the state of impedance matching during the period of the pulse plasma is further improved.

【0022】[0022]

【発明の実施の形態】以下本発明の実施の形態における
プラズマ発生方法及びプラズマ発生装置について図面を
参照しながら説明する。なお、本実施の形態ではプラズ
マを用いた処理として微細加工の一種であるドライエッ
チングを行う際に適用したものを例にとって説明するこ
ととする。
DESCRIPTION OF THE PREFERRED EMBODIMENTS A plasma generating method and a plasma generating apparatus according to an embodiment of the present invention will be described below with reference to the drawings. Note that, in this embodiment, a description is given of an example in which dry etching, which is a kind of microfabrication, is performed as processing using plasma.

【0023】図1は本発明の実施の形態におけるドライ
エッチング装置の構造を示す模式図である。図1におい
て、1は接地され内壁がセラミック、テフロンまたは石
英等の絶縁物で覆われたチャンバー、2はパルスの高周
波電力が印加される渦巻き状電極でインピーダンス整合
器3を介してパルス及び連続の高周波電力供給可能なプ
ラズマ発生用高周波パルス電源4に接続されている。ま
た、渦巻き状電極2はセラミック等でできた誘電体板5
を介して誘導電磁界によりチャンバー1中にプラズマを
発生させる。なお、チャンバー1は、石英等で構成され
たインナーチャンバーを有する様な二重構造であったと
してもかまわない。
FIG. 1 is a schematic view showing a structure of a dry etching apparatus according to an embodiment of the present invention. In FIG. 1, reference numeral 1 denotes a chamber which is grounded and has an inner wall covered with an insulator such as ceramic, Teflon or quartz, and 2 denotes a spiral electrode to which pulsed high frequency power is applied. It is connected to a high-frequency pulse power supply 4 for plasma generation capable of supplying high-frequency power. The spiral electrode 2 is a dielectric plate 5 made of ceramic or the like.
A plasma is generated in the chamber 1 by the induced electromagnetic field through the. Note that the chamber 1 may have a double structure having an inner chamber made of quartz or the like.

【0024】7は金属製の試料台で表面は絶縁性材料で
コートされており、この試料台7上には被エッチング試
料6が載置されている。また試料台7は、結合コンデン
サ8を介してバイアス用RF電源9に接続されている。
Reference numeral 7 denotes a metal sample stage, the surface of which is coated with an insulating material. On the sample stage 7, a sample 6 to be etched is placed. The sample stage 7 is connected to a bias RF power supply 9 via a coupling capacitor 8.

【0025】チャンバ1中には側面からプローブ10が
挿入されており、リアルタイムのプラズマデータが採取
され、プラズマコントローラ11に転送される。プロー
ブ10はプラズマによる腐食や膜堆積による特性の劣化
を防ぐため、計測時にのみプラズマ中に挿入する機構と
しても構わない。また、プローブ10の代わりにμ波を
用いた干渉計にすれば、上記のような劣化の心配はな
く、任意の時間のプラズマデータ取得が可能となる。プ
ラズマ発生用高周波パルス電源4からは連続高周波発生
時にゲート信号を発生する端子12からインピーダンス
整合器3にゲート信号が送られ、ゲート信号がオンの時
のみインピーダンス自動整合機能が稼働するようにされ
ている。
A probe 10 is inserted into the chamber 1 from the side. Real-time plasma data is collected and transferred to the plasma controller 11. The probe 10 may be a mechanism that is inserted into the plasma only at the time of measurement in order to prevent corrosion due to plasma and deterioration of characteristics due to film deposition. Further, if an interferometer using a microwave is used in place of the probe 10, there is no concern about the above-described deterioration, and plasma data can be acquired for an arbitrary time. A gate signal is sent from a terminal 12 for generating a gate signal during continuous high-frequency generation to the impedance matching device 3 from the high frequency pulse power supply 4 for plasma generation, and the automatic impedance matching function is operated only when the gate signal is on. I have.

【0026】次に以下では、以上のように構成されたド
ライエッチング装置を用いたドライエッチング方法につ
いて図2を参照しながら説明する。
Next, a dry etching method using the dry etching apparatus configured as described above will be described with reference to FIG.

【0027】図2は本発明の実施の形態のドライエッチ
ング装置における各種パラメータの時間変化を示したも
のである。まずプラズマ発生用高周波電源4から時間t
=0からTcwまでの間、連続の高周波電力が供給され
る。Tcwは、インピーダンス整合器3がインピーダン
ス整合が取れる時間以上、通常数秒間必要で、本実施の
形態では5から10秒とした。その間、プラズマ発生用
高周波電源4のゲート信号端子12からインピーダンス
整合器3へゲート信号が送られ、自動でインピーダンス
整合とる用に指示された。
FIG. 2 shows a time change of various parameters in the dry etching apparatus according to the embodiment of the present invention. First, time t from the plasma generating high-frequency power supply 4
From = 0 to Tcw, continuous high-frequency power is supplied. Tcw is usually longer than the time required for the impedance matching device 3 to achieve impedance matching, and is usually several seconds. In the present embodiment, Tcw is set to 5 to 10 seconds. During that time, a gate signal was sent from the gate signal terminal 12 of the plasma generating high-frequency power supply 4 to the impedance matching device 3, and an instruction was made to automatically perform impedance matching.

【0028】次にt=Tcw後はプラズマ発生用高周波
電源4から渦巻き状電極3にプラズマ発生用高周波パル
スを供給した(パルス高周波電力を供給した)。基本の
高周波電力の周波数は13.56MHz,27MHz,
54MHzとした。なお、上記したインピーダンス整合
を行う際の連続プラズマの高周波投入電力を200Wと
し、パルスプラズマのパルス周波数が100kHz、デ
ューティ比が50%で投入電力を400Wと設定した。
これは、連続プラズマ発生のための高周波投入電力を、
パルスプラズマにおけるその時間平均投入電力とおおよ
そ等しくすることを目的とするものである。
Next, after t = Tcw, a high frequency pulse for plasma generation was supplied to the spiral electrode 3 from the high frequency power supply 4 for plasma generation (pulse high frequency power was supplied). Basic high frequency power frequency is 13.56MHz, 27MHz,
The frequency was set to 54 MHz. In addition, the high frequency input power of the continuous plasma at the time of performing the impedance matching was set to 200 W, the pulse frequency of the pulsed plasma was set to 100 kHz, the duty ratio was set to 50%, and the input power was set to 400 W.
This means that high-frequency input power for continuous plasma generation is
The purpose is to make it approximately equal to the time average input power in the pulsed plasma.

【0029】その後、反応性ガスとして塩素ガスを50
sccm、HBrガスを25sccmチャンバー1に導
入し、圧力を1から3Paとした。電子密度はプラズマ
発生用高周波電源4をオフしてからの時間が20μ秒で
ほぼ半分以下となり、アフターグロープラズマにおける
電子密度の急速な減少がみられた。これをリンドープし
た多結晶シリコンのエッチングに適用したところ、エッ
チング速度は300〜800nm/秒、対酸化膜選択比
は20から100と良好で、エッチング形状は異方性が
あった。また、チャージアップによるノッチや形状の異
常等は見られなかった。
Thereafter, chlorine gas was added as a reactive gas to 50.
sccm, HBr gas was introduced into the 25 sccm chamber 1, and the pressure was adjusted to 1 to 3 Pa. The electron density was almost half or less in 20 μsec after turning off the plasma generating high-frequency power supply 4, and a rapid decrease in the electron density in the afterglow plasma was observed. When this was applied to the etching of phosphorus-doped polycrystalline silicon, the etching rate was 300 to 800 nm / sec, the oxide film selectivity was as good as 20 to 100, and the etching shape was anisotropic. No notch or shape abnormality due to charge-up was observed.

【0030】本発明の方法でチャージアップが少なくな
る原因として、電子密度の少ないことに加え、負イオン
の性質の寄与も大きいと思われる。被エッチング試料に
高エネルギーの正,負のイオンが入射する場合、入射イ
オンの電荷が表面に蓄積されるのに加え、イオンの入射
に伴い表面から放出される二次電子の効果が無視できな
い。正イオンの入射では二次電子放出は正電荷の蓄積を
増加するように進むが、負イオンの入射では二次電子放
出は負電荷の蓄積を打ち消すように働く。このため、チ
ャージアップ現象が抑制されるのである。
It is considered that the charge-up is reduced by the method of the present invention, in addition to the low electron density, the contribution of the nature of the negative ions is large. When high-energy positive and negative ions are incident on the sample to be etched, not only the charge of the incident ions is accumulated on the surface, but also the effect of secondary electrons emitted from the surface with the incident ions cannot be ignored. At the incidence of positive ions, secondary electron emission proceeds to increase the accumulation of positive charges, but at the incidence of negative ions, secondary electron emission acts to cancel the accumulation of negative charges. For this reason, the charge-up phenomenon is suppressed.

【0031】以上本発明について実施の形態とともに説
明を行い、実施の形態では連続のプラズマ発生はプラズ
マ点火時に行う場合を示したが、連続のプラズマ発生が
プロセス途中に挿入し、良好なインピーダンス整合が実
現される場合も構わない。また、本発明の実施の形態で
はエッチング装置の場合を示したが、プラズマCVDや
スパッタ,イオン注入装置のイオン源等、高真空プラズ
マを用いた処理を行う装置への適用が可能なことは言う
までもない。
The present invention has been described with the embodiment. In the embodiment, the case where continuous plasma generation is performed at the time of plasma ignition is shown. However, continuous plasma generation is inserted in the middle of the process, and good impedance matching is achieved. It may be realized. In the embodiment of the present invention, the case of an etching apparatus has been described. However, it is needless to say that the present invention can be applied to an apparatus for performing processing using high vacuum plasma, such as plasma CVD, sputtering, and an ion source of an ion implantation apparatus. No.

【0032】[0032]

【発明の効果】以上のように本発明の方法では、連続プ
ラズマを発生し、その期間にインピーダンス整合を取
り、パルスプラズマ発生期間中にはインピーダンス整合
状態を固定とする。さらには連続プラズマ発生のための
高周波投入電力を、パルスプラズマにおけるその時間平
均投入電力とおおよそ等しくする。連続プラズマの高周
波投入電力と、パルスプラズマにおけるその時間平均投
入電力とを等しくし、連続プラズマで発生するプラズマ
密度と、パルスプラズマ期間におけるそれとがおおよそ
等しくし、インピーダンス整合の状態を良好にしてい
る。このようにインピーダンス整合の再現性が向上する
からプロセス再現性も大幅に向上し、また電源やマッチ
ャーでのインピーダンス不整合によるトラブルも大幅に
減少する。
As described above, in the method of the present invention, a continuous plasma is generated, impedance matching is performed during the period, and the impedance matching state is fixed during the pulse plasma generation period. Further, the high-frequency input power for continuous plasma generation is made approximately equal to the time-average input power for pulsed plasma. The high-frequency input power of the continuous plasma is made equal to the time-average input power of the pulsed plasma, and the density of the plasma generated in the continuous plasma is approximately equal to that during the pulsed plasma, thereby improving the impedance matching state. Since the reproducibility of the impedance matching is improved in this way, the reproducibility of the process is also greatly improved, and the trouble due to the impedance mismatch at the power supply and the matcher is also greatly reduced.

【0033】本発明は高精度プラズマエッチングやCV
Dに適用できる。本発明の装置により、微細加工性に優
れかつ量産性が高く、均一性の良い、ゲート酸化膜破壊
等のデバイスへの損傷も極めて少ないエッチングや膜堆
積が実現できる。
The present invention is directed to high-precision plasma etching and CV
Applicable to D. According to the apparatus of the present invention, it is possible to realize etching and film deposition which are excellent in fine workability, have high mass productivity, have good uniformity, and have very little damage to devices such as gate oxide film destruction.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態におけるドライエッチング
装置の構成を示す模式図
FIG. 1 is a schematic diagram illustrating a configuration of a dry etching apparatus according to an embodiment of the present invention.

【図2】本発明の実施の形態のドライエッチング方法に
おける各種パラメータの時間変化を示す図
FIG. 2 is a diagram showing changes over time of various parameters in a dry etching method according to an embodiment of the present invention.

【符号の説明】[Explanation of symbols]

1 チャンバー 2 渦巻き状電極 3 プラズマ発生用高周波パルス電源 4 誘電体板 5 被エッチング試料 6 金属製の試料台 7 バイアス用DCパルス電源 8 プローブ 9 プラズマコントローラ 10 容量性回路 11 電子密度検出器 12 バイアス用バイポーラパルス電源 13 パルスμ波電源 14 モード変換器 15 コイル DESCRIPTION OF SYMBOLS 1 Chamber 2 Spiral electrode 3 High frequency pulse power supply for plasma generation 4 Dielectric plate 5 Sample to be etched 6 Metal sample base 7 DC pulse power supply for bias 8 Probe 9 Plasma controller 10 Capacitive circuit 11 Electron density detector 12 Bias Bipolar pulse power supply 13 Pulse μ-wave power supply 14 Mode converter 15 Coil

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 FI H01L 21/3065 H01L 21/302 A ──────────────────────────────────────────────────の Continued on front page (51) Int.Cl. 6 Identification code FI H01L 21/3065 H01L 21/302 A

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】真空室中に反応性ガスを導入するとともに
前記真空室中にパルス高周波電力によりプラズマを発生
させ、前記真空室内に設置された試料のプラズマ処理を
行うプラズマ処理方法であって、連続的に高周波電力を
供給してプラズマを発生させる期間を設け、前記期間内
でインピーダンス整合を行なうことを特徴とするプラズ
マ処理方法。
1. A plasma processing method for introducing a reactive gas into a vacuum chamber and generating plasma by pulsed high-frequency power in the vacuum chamber to perform plasma processing on a sample installed in the vacuum chamber. A plasma processing method, comprising: providing a period in which high-frequency power is continuously supplied to generate plasma; and performing impedance matching within the period.
【請求項2】プラズマ処理の最初に連続的に高周波電力
を供給してプラズマを発生させる期間を設けることを特
徴とする請求項1に記載のプラズマ処理方法。
2. The plasma processing method according to claim 1, wherein a period in which high-frequency power is continuously supplied to generate plasma at the beginning of the plasma processing is provided.
【請求項3】パルス高周波電力によりプラズマを発生さ
せる際の実効投入電力と、連続的に高周波電力を供給し
てプラズマを発生させる際の投入電力とがほぼ等しいこ
とを特徴とする請求項1に記載のプラズマ処理方法。
3. The method according to claim 1, wherein the effective input power when generating plasma by pulsed high-frequency power is substantially equal to the input power when generating plasma by continuously supplying high-frequency power. The plasma processing method as described above.
【請求項4】真空室中に反応性ガスを導入するとともに
前記真空室中にパルス高周波電力によりプラズマを発生
させ、前記真空室内に設置された試料のプラズマ処理を
行うプラズマ処理装置であって、パルス及び連続の高周
波電力を供給する高周波電源と、インピーダンス整合器
とを具備し、前記高周波電源が連続の高周波電力を供給
している期間にインピーダンス整合を行うことを特徴と
するプラズマ処理装置。
4. A plasma processing apparatus for introducing a reactive gas into a vacuum chamber, generating plasma by pulsed high-frequency power in the vacuum chamber, and performing plasma processing on a sample installed in the vacuum chamber. A plasma processing apparatus, comprising: a high-frequency power supply that supplies pulsed and continuous high-frequency power; and an impedance matching device, wherein impedance matching is performed during a period in which the high-frequency power supply supplies continuous high-frequency power.
JP9125344A 1997-05-15 1997-05-15 Plasma processing method and plasma processing device Pending JPH10312899A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9125344A JPH10312899A (en) 1997-05-15 1997-05-15 Plasma processing method and plasma processing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9125344A JPH10312899A (en) 1997-05-15 1997-05-15 Plasma processing method and plasma processing device

Publications (1)

Publication Number Publication Date
JPH10312899A true JPH10312899A (en) 1998-11-24

Family

ID=14907800

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9125344A Pending JPH10312899A (en) 1997-05-15 1997-05-15 Plasma processing method and plasma processing device

Country Status (1)

Country Link
JP (1) JPH10312899A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2349506B (en) * 1999-04-23 2002-04-24 Nec Corp Plasma processing method for a semiconductor wafer
JP2002532899A (en) * 1998-12-17 2002-10-02 ラム リサーチ コーポレイション Method of operating high-density plasma etching apparatus to achieve transistor device with less damage
US6566272B2 (en) * 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
JP2005130198A (en) * 2003-10-23 2005-05-19 Ulvac Japan Ltd High frequency device
JP2010532549A (en) * 2007-06-29 2010-10-07 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド Plasma treatment with enhanced charge neutralization and process control
JP2010258605A (en) * 2009-04-22 2010-11-11 Japan Radio Co Ltd Pulse detector
JP2013171840A (en) * 2012-02-22 2013-09-02 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency rf pulsing
JP2013179047A (en) * 2012-02-22 2013-09-09 Lam Research Corporation Impedance-based adjustment of power and frequency
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
JP2016072260A (en) * 2014-09-26 2016-05-09 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method and program

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002532899A (en) * 1998-12-17 2002-10-02 ラム リサーチ コーポレイション Method of operating high-density plasma etching apparatus to achieve transistor device with less damage
GB2349506B (en) * 1999-04-23 2002-04-24 Nec Corp Plasma processing method for a semiconductor wafer
US6566272B2 (en) * 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
KR100756737B1 (en) * 1999-07-23 2007-09-07 어플라이드 머티어리얼스, 인코포레이티드 Method for providing pulsed plasma during a portion of a semiconductor wafer process
JP2005130198A (en) * 2003-10-23 2005-05-19 Ulvac Japan Ltd High frequency device
JP2010532549A (en) * 2007-06-29 2010-10-07 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド Plasma treatment with enhanced charge neutralization and process control
US8926850B2 (en) 2007-06-29 2015-01-06 Varian Semiconductor Equipment Associates, Inc. Plasma processing with enhanced charge neutralization and process control
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
JP2010258605A (en) * 2009-04-22 2010-11-11 Japan Radio Co Ltd Pulse detector
JP2013171840A (en) * 2012-02-22 2013-09-02 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency rf pulsing
JP2013179047A (en) * 2012-02-22 2013-09-09 Lam Research Corporation Impedance-based adjustment of power and frequency
JP2016072260A (en) * 2014-09-26 2016-05-09 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method and program

Similar Documents

Publication Publication Date Title
US7504040B2 (en) Plasma processing apparatus and plasma processing method
KR100521120B1 (en) Method for treating surface of semiconductor device and apparatus thereof
KR100230908B1 (en) Semiconductor device making method
US20030217812A1 (en) Plasma etching equipment and method for manufacturing semiconductor device
JP3533105B2 (en) Semiconductor device manufacturing method and manufacturing apparatus
US5783496A (en) Methods and apparatus for etching self-aligned contacts
JPH1079372A (en) Plasma treating method and plasma treating equipment
JPH11224796A (en) Apparatus and method for plasma treatment
KR100718578B1 (en) Dry etching apparatus and a method of manufacturing a semiconductor device
JP2003023000A (en) Production method for semiconductor device
JP2001110784A (en) Apparatus and method for plasma treatment
JP3559429B2 (en) Plasma processing method
JPH10312899A (en) Plasma processing method and plasma processing device
JP2972707B1 (en) Plasma etching apparatus and plasma etching method
JPH11219938A (en) Plasma etching method
JPH104081A (en) Dry etching apparatus and method thereof
JPH11297679A (en) Method and equipment for surface processing of sample
JPH08255782A (en) Plasma surface treating apparatus
US20210265170A1 (en) Substrate processing method and substrate processing apparatus
JP4577328B2 (en) Manufacturing method of semiconductor device
JP3362093B2 (en) How to remove etching damage
JP3172340B2 (en) Plasma processing equipment
JPH11345803A (en) Method and apparatus for plasma production and processing
US6914010B2 (en) Plasma etching method
JPH08203869A (en) Method and system for plasma processing