US20060283774A1 - Substrate storage container and method for manufacturing the same - Google Patents

Substrate storage container and method for manufacturing the same Download PDF

Info

Publication number
US20060283774A1
US20060283774A1 US11/436,040 US43604006A US2006283774A1 US 20060283774 A1 US20060283774 A1 US 20060283774A1 US 43604006 A US43604006 A US 43604006A US 2006283774 A1 US2006283774 A1 US 2006283774A1
Authority
US
United States
Prior art keywords
main body
substrate
supporting parts
container main
side wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/436,040
Other languages
English (en)
Inventor
Akihiro Hasegawa
Hiroshi Mimura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Polymer Co Ltd
Original Assignee
Shin Etsu Polymer Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Polymer Co Ltd filed Critical Shin Etsu Polymer Co Ltd
Assigned to SHIN-ETSU POLYMER CO., LTD. reassignment SHIN-ETSU POLYMER CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HASEGAWA, AKIHIRO, MIMURA, HIROSHI
Publication of US20060283774A1 publication Critical patent/US20060283774A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67369Closed carriers characterised by shock absorbing elements, e.g. retainers or cushions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports

Definitions

  • the present invention relates to a substrate storage container for storing various types of semiconductor wafers or substrates made of a photo-mask glass, a aluminum disc or the like.
  • a conventional substrate storage containers which is not shown in the drawings, includes a container main body in which a plurality of substrates including semiconductor wafers are arranged and stored, a lid member which can be freely attached and detached, and which opens and closes the front surface constituting the opening part of this container main body, and an anchoring mechanism which fastens the lid member that covers the front surface of the container main body.
  • Such containers are utilized as precision substrate storage containers.
  • a plurality of supporting parts are respectively disposed on the inside surfaces of both side walls of the container main body and have a shape bent along a peripheral edge of the substrate in order to horizontally support the side portion of the substrate.
  • a front retainer which retains the peripheral edge of the front part of the substrate is mounted on the inside surface of the lid member.
  • Such substrate storage containers are used for the safe transport of substrates from substrate production factories to processing factories. At these processing factories, the substrates are subjected to various types of treatments to produce semiconductor parts or parts used for the process of semiconductor parts (see Japanese Patent Application Laid-Open No. Hei. 10-101177 and Japanese Patent Application Laid-Open No. 2004-111830).
  • Conventional substrate storage containers are constructed as described above, and are therefore susceptible to shocks during loading and transport by means of truck, air freight, ship or the like.
  • rubbing between the substrates and supporting parts causes damage to the substrates, and results in the generation of particulates that adhere to the surfaces of the substrates, so that they may cause significant problems such as a drop in the function and yield of products.
  • contamination by particulates has become a serious problem.
  • an object of the present invention is to provide a substrate storage container which can prevent scratching of the substrates and the generation of dust caused by rubbing between the substrates and the supporting parts, so that contamination and scratching of the peripheral edge parts and back surfaces of the substrates can be suppressed, and another object of the present invention is to provide a method for manufacturing such a substrate storage container.
  • a substrate storage container comprises a container main body including a back wall and a pair of side walls in order to store a substrate between the side walls; first supporting parts opposingly disposed on each side wall in order to support a peripheral edge part of the substrate; and second supporting parts opposingly disposed on each side wall in order to support the peripheral edge part of the substrate and positioned between the back wall and the first supporting parts, wherein the first supporting parts and the second supporting parts are covered with a resin layer having a lower frictional property than that of the container main body.
  • the substrate storage container may further comprise an elastic retainer supporting the peripheral edge part of the substrate and disposed on the back wall of the container main body.
  • first supporting parts and second supporting parts may be respectively protruded from the side walls of the container main body, and a clearance is formed between the first supporting part and the second supporting part on each side wall.
  • the side wall of the container main body may include a contacting portion in order to contact with the peripheral edge part of the substrate, and the contacting portion is positioned on an extension line of an intersecting center line perpendicular to a center line parallel to a direction of inserting and removing the substrate among the center lines passing on the substrate when the substrate is supported on the first supporting part and the second supporting part, and the contacting portion is covered with the resin layer.
  • the side wall of the container main body may include a contacting portion in order to contact with the peripheral edge part of the substrate, and the contacting portion may be covered with the resin layer.
  • the container main body may be made of a material including one of polycarbonate, polybutylene terephthalate, cycloolefin polymer, polyether imide, polyether ether ketone and alloy resin, and the resin layer may be made of one of polybutylene terephthalate and polyether ether ketone.
  • the resin layer may include a flange formed inside the side wall and having an outer portion near an outside surface of the side wall and an inner portion near an inside surface of the side wall, and the outer portion may have a larger dimension than that of the inner portion.
  • a method according to the present invention is for manufacturing a substrate storage container including a container main body having a back wall and a pair of side walls in order to store a substrate between the side walls, first supporting parts opposingly disposed on each side wall in order to support a peripheral edge part of the substrate, and second supporting parts opposingly disposed on each side wall in order to support the peripheral edge part of the substrate and positioned between the back wall and the first supporting parts.
  • the method comprising: forming the first supporting parts and the second supporting parts on the side walls of the container main body; covering the first supporting parts and the second supporting parts with a resin layer having a lower frictional property than that of the container main body; and forming the container main body by inserting the side walls in a mold for forming the back wall of the container main body.
  • the method may further comprises: covering a contacting portion with the resin layer for contacting with the peripheral edge part of the substrate on the side wall of the container main body.
  • the method may further comprises: forming the side wall with a through hole having a shape corresponding to a flange having an outer portion near an outside surface of the side wall and an inner portion near an inside surface of the side wall wherein the outer portion of the flange has a larger dimension than that of the inner portion; and filling the resin for forming the resin layers from the outsides of the side wall via the through hole.
  • the substrate storage container of the present invention may comprise: a container main body storing a substrate; a cover opening and closing an opening portion of the container main body; first supporting parts respectively disposed on a pair of side walls forming the container main body and positioned on the side of the opening portion of the container main body in order to support a peripheral edge part of the substrate; and second supporting parts respectively disposed on the pair of side walls forming the container main body and positioned on an inside wall side of the container main body in order to support the peripheral edge part of the substrate, wherein at least the first and second supporting parts among the first supporting parts, second supporting parts and contact parts of the respective side walls that contact the peripheral edge part of the substrate are covered with a resin that has a lower friction property than that of the container main body, and an elastic retainer supporting the peripheral edge part of the substrate is disposed on the cover.
  • An elastic retainer supporting the peripheral edge part of the substrate can be disposed on the inside wall of the container main body, and at least a substrate supporting portion of the retainer may be covered by a resin layer that has a lower friction property than that of the container main body.
  • a transparent window to ascertain the condition of the substrate can be selectively formed in a roof or a circumferential wall of the container main body.
  • first and second supporting parts can be integrally formed, and an intermediate part connecting the first and second supporting parts may be formed with a small thickness so that the intermediate part do not contact with the substrates.
  • the measured value of the coefficient of friction with respect to steel as measured in accordance with ASTM D1894 may be than 0.33, preferably 0.20 or less.
  • At least the first and second supporting parts among the parts that might possibly contact with the substrate are respectively covered by engagement, molding or the like with a friction layer that has a lower friction property than that of the material of the container main body. Accordingly, for example, even if shocks or the like act on the substrate storage container, it is possible to suppress the scratching of the substrates or the generation of dust and adhesion of the dust to the substrate as a result of rubbing between the substrates and the first and second supporting parts.
  • FIG. 1 is an overall perspective explanatory diagram showing the first embodiment of the substrate storage container according to the present invention
  • FIG. 2 is a perspective explanatory diagram showing a state in which the lid member is removed from the container main body of the substrate storage container shown in FIG. 1 ;
  • FIG. 3 is a sectional plan view showing the first embodiment of the substrate storage container according to the present invention.
  • FIG. 4 is an explanatory diagram showing the side wall in the first embodiment of the substrate storage container according to the present invention.
  • FIG. 5 is a plan-view explanatory diagram showing the side walls in the first embodiment of the substrate storage container according to the present invention.
  • FIG. 6 is a sectional view taken along the line VI-VI in FIG. 5 in the first embodiment of the substrate storage container and method for manufacturing the same according to the present invention
  • FIG. 7 is a sectional view taken along the line VII-VII in FIG. 5 in the first embodiment of the substrate storage container and method for manufacturing the same according to the present invention
  • FIG. 8 is a sectional view taken along the VIII-VIII in FIG. 5 in the first embodiment of the substrate storage container and method for manufacturing the same according to the present invention
  • FIG. 9 is a sectional view taken along the line IX-IX in FIG. 5 in the first embodiment of the substrate storage container and method for manufacturing the same according to the present invention.
  • FIG. 10 is a sectional plan view showing a second embodiment of the substrate storage container according to the present invention.
  • FIGS. 1 to 9 show a precision substrate storage container 100 used for shipping according to the first embodiment of the present invention.
  • the substrate storage container 100 comprises a container main body 1 , a lid member 10 , a plurality of first supporting parts 20 , and a plurality of second supporting parts 24 .
  • a container main body 1 includes an opening portion 1 F, a back wall 1 B opposing the opening portion 1 F, and two pairs of side walls 4 and side walls 4 U, and the container main body 1 stores a plurality of substrates W between the side walls 4 and side walls 4 U.
  • the lid member 10 closes the front side of the container main body 1 , which is the opening portion 1 F of the container main body 1 .
  • a plurality of first supporting parts 20 are respectively disposed on the pair of left and right side walls 4 forming parts of the container main body 1 and are positioned on the front side of the container main body 1 .
  • a pair of the first supporting parts 20 are opposingly disposed on each side wall 4 to support a peripheral edge part of substrates W and positioned on the side of the opening portion 1 F of the container main body 1 .
  • a plurality of second supporting parts 24 are respectively disposed on the pair of the left and right side walls 4 forming parts of the container main body 1 and are positioned on the rear side of the container main body 1 .
  • a pair of the second supporting parts 24 are opposingly disposed on each side wall 4 to support the peripheral edge part of substrates W and positioned on the side of the back wall 1 B of the container main body 1 .
  • the second supporting parts 24 are positioned between the back wall 1 B and the first supporting parts 20 .
  • Contacting portion 5 are formed on the respective side walls 4 in order to contact with the peripheral edge parts of the substrates W so that the substrates W are contacted with the contacting portion 5 , for example, when shocks or the like act on the substrate storage container 100 .
  • the first and second supporting parts 20 and 24 are covered with a resin layer 30 having a lower frictional property than that of the container main body.
  • the substrates W are thin round semiconductor wafers which have a diameter of, for example, 300 mm.
  • the substrates W are made of silicon wafers in which at least one of the front and back surfaces is worked with mirror finish.
  • An orientation flat or a notch with a semi-oval shape as seen in a plan view, both of which are used for alignment, is selectively formed in the circumferential edge part of each wafer, so that the substrates can be inserted and removed by a special robot.
  • the container main body 1 includes two pairs of recessions 1 D opposingly formed in the inner periphery of the opening portion 1 F (only the recessions 1 D on the lower side are shown in FIG. 2 ).
  • the lid member 10 includes a locking mechanism (not shown) for fastening the lid member 10 to the container main body 1 .
  • the locking mechanism is provided inside the lid member 10 and includes engaging portions which can protrude from the outer periphery of the lid member 10 .
  • the lid member 10 is mounted on or dismounted from the container main body 1 by operating the locking mechanism via the through operating holes 42 .
  • an anchoring mechanism can be provided on both side portions of the lid member 10 in order to be engaged with anchoring blocks 41 protruding from the front portions of side walls 4 of the container main body 1 .
  • the container main body 1 is formed as a transparent front-open box type container main body by insert molding in which a pair of side walls 4 are inserted into a special mold, and the mold is filled with a predetermined material.
  • the container main body 1 functions so that a plurality of substrates W (for example, 25 or 26 substrates) are lined up and stored at a specified pitch in the vertical direction.
  • the material for forming the container main body 1 there are no particular restrictions on the material for forming the container main body 1 .
  • a resin such as polycarbonate, polybutylene terephthalate, cycloolefin polymer, polyether imide, polyether ether ketone or the like may be selectively used.
  • a conductive resin produced by adding carbon, carbon fibers, carbon nanotubes, metal fibers, metal oxides, conductive polymers or the like may be added to such resins or alloy resins.
  • polycarbonates which are superior in terms of transparency, are especially desirable.
  • the circumferential edge part of the front portion is bent outward in substantially an L shape as seen in a sectional view (See FIG. 3 ), so that a rim part 2 is formed.
  • Positioning fittings used for positioning are disposed on both sides of the front part of the bottom surface and in the center of the rear part of the bottom surface.
  • a robotic flange 3 to be held by an automatic conveying machine is mounted in a detachable manner on the central part of the roof.
  • both side walls 4 of the container main body 1 are respectively formed to have a bent shape so as to correspond to the shape of the substrates W, thus forming a plurality of first supporting parts 20 and second supporting parts 24 .
  • the first and second supporting parts 20 , 24 are formed prior to the manufacture of the container main body 1 .
  • a portion of the container main body 1 is formed by inserting the side walls 4 into the mold used for the container main body 1 .
  • the lid member 10 comprises a housing body 11 and a cover 12 .
  • the housing body 11 is substantially dish-shaped in cross section and is engaged in a detachable manner with the rim part 2 of the front side of the container main body 1 .
  • the locking mechanism for fastening the lid member 10 to the container main body 1 is provided in the lid member 10 .
  • the locking mechanism of the lid member 10 engages with and closes off the container main body 1 by means of an operation from the outside. Examples of this kind of locking mechanism are disclosed in United States Patent Application Publication No. 2005/0230398 and United States Patent Application Publication No. 2005/0274645.
  • the cover 12 is mounted on the surface of the housing body 11 .
  • An elastic front retainer 13 of the lid member 10 retains the peripheral edges of the front parts of the substrates W and is mounted on the opposite surface of the housing body 11 that faces the inside (that is, the back wall) of the container main body 1 . Further, an endless sealing gasket 14 is engaged with the circumferential wall of the housing body 11 . The sealing gasket 14 undergoes compressive deformation, and functions to seal the container main body 1 .
  • the lid member 10 is molded with a resin such as a polycarbonate, fluorine-containing polycarbonate, polyether sulfone, polyether imide, polyether ether ketone or the like.
  • the plurality of first and second supporting parts 20 and 24 protrude separately inward from both side walls (specifically, the inside surfaces of both side walls) of the container main body 1 .
  • a clearance 25 is formed between these first and second supporting parts 20 and 24 on each side wall (See FIGS. 3 and 5 ).
  • the plurality of first supporting parts 20 are arranged in parallel at a specified pitch in the vertical direction on the inside surfaces of the respective side walls 4 forming the container main body 1 . Further, the first supporting parts 20 are arranged in a position closer to the front side of the container main body 1 , and support the peripheral edges of the side parts of the substrates W in a horizontal attitude via the resin layers 30 . Each first supporting part 20 is covered with the resin layer 30 and formed in a substantially semicircular shape as seen in a plan view (See FIGS. 3 and 5 ) to have a thin region 21 and a thick region 22 .
  • the thin region 21 protrudes toward the inside of the container main body 1 from the inside surface of the corresponding side wall 4 , and supports the peripheral edge of the side part of the substrate W in a horizontal attitude via the resin layer 30 .
  • the thick region 22 is formed on the outer side of the thin region 21 (that is, on the side of the side wall 4 ), and prevents the substrate W from jumping out or moving.
  • Bumps 23 corresponding to the thickness of the substrate W are formed by the thin region 21 and the thick region 22 and shape the thin region 21 into a circular arc shape as seen in a plan view.
  • the plurality of second supporting parts 24 are lined up at a specified pitch in the vertical direction on the inside surfaces of the respective side walls 4 that form a part of the container main body 1 .
  • the second supporting parts 24 are arranged in a position closer to the back wall 1 B of the container main body 1 , and support the peripheral edges of the side parts of the substrates W in a horizontal attitude via the resin layers 30 .
  • Each second supporting part 24 is covered with the resin layer 30 and is formed in a substantially flat shape as seen in a sectional view (See FIGS. 8 and 9 ).
  • each thin region 21 A protrude toward the inside of the container main body 1 from the inside surface of the side wall 4 , and each thin region 21 A supports the peripheral edge of the side part of the substrate W in a horizontal attitude via the resin layer 30 .
  • the “thin” region 21 A mean that the thin region 21 A is thinner than the thick region of the first supporting part 20 .
  • the upper surface of each thin region 21 A is formed in a position corresponding to the upper surface of one of the thin regions 21 of the first supporting part 20 in the vertical direction.
  • each second supporting part 24 is formed in a substantially trapezoidal shape as seen in a plan view.
  • the resin layers 30 are formed by a two-color molding method using a material having a lower frictional property and a higher wear-resistant property than those of the material of the container main body 1 .
  • a material having a lower frictional property and a higher wear-resistant property than those of the material of the container main body 1 Specifically, a polybutylene terephthalate (PBT) or polyether ether ketone (PEEK) is used as a material for the resin layers 30 .
  • PBT polybutylene terephthalate
  • PEEK polyether ether ketone
  • Such resin layers 30 function to cover the contacting portions 5 of the respective side walls 4 when the substrates W having a poor slipping property contacts with the contacting portions 5 . Further, as mentioned above, the resin layers 30 also function to cover the front and back surfaces of the first supporting parts 20 and the front and back surfaces of the second supporting parts 24 . In cases where the substrates W are supported by the first and second supporting parts 20 and 24 on the pair of side walls 4 , as shown in FIGS.
  • an example of the contacting portions 5 of the respective side walls 4 is a plurality of inside surface portions 6 positioned on the extension lines EL of the intersecting center lines perpendicular to center lines CL parallel to the direction of inserting and removing the substrates W among the center lines passing on the substrates W when the substrate are supported on the first supporting parts 20 and the second supporting parts 24 .
  • another example of the contacting portions 5 is the bent rear part inside surfaces 7 of the respective side walls 4 (See FIG. 3 ).
  • the mold for the side walls 4 is first filled with a material such as a polycarbonate or the like, and the respective side walls 4 , the first supporting parts 20 and the second supporting parts 24 are integrally formed.
  • the contacting portions 5 for contacting with the peripheral edge part of the substrate is formed on the side wall of the container main body 1 in accordance with the shape of the mold for the side walls 4 .
  • the mold is filled with a resin having a lower frictional property and a higher wear-resistant property.
  • the resin layers 30 covers the first supporting parts 20 , the second supporting parts 24 and the contacting portions 5 of the respective side walls 4 that are to be contacted with the peripheral edge parts of the substrates W. Accordingly, the contacting portions 5 , the first supporting parts 20 and the second supporting parts 24 are covered by the resin layers 30 and integrated with the resin layers 30 .
  • the mold When molding the resin layers 30 , the mold is filled with the resin having a lower frictional property and a higher wear-resistant property from the side of the outsides of the side walls 4 .
  • the resin layers 30 include flanges 8 formed in the side walls 4 .
  • the resin for forming the resin layers 30 are filled from the outsides of the respective side walls 4 via through holes having the shape corresponding to the flanges 8 .
  • Each flange 8 has an outer portion 8 a near an outside surface of the side wall 4 and an inner portion 8 b near an inside surface of the side wall 4 , and the outer portion 8 a has a larger dimension than that of the inner portion 8 b (See FIGS. 7 and 9 ).
  • the covering portions that is, the resin layers 30 .
  • the covering resin layers 30 do not slip out. Specifically, if the flanges 8 with large dimensions are formed beforehand, then peeling or positional deviation of the covering resin layers 30 can be suppressed or prevented even in cases where the adhesive strength of the interface between the resin of the side walls 4 and the resin of the resin layers 30 is small so that a sufficient adhesive strength cannot be expected.
  • the side walls 4 are formed with a through hole having a shape corresponding to the flanges 8 having the larger dimension on an outside surface of the side wall compared with the dimension on an inside surface of the side wall. Then the mold is filled with the resin for forming the resin layers 30 from the outsides of the side wall via the through hole
  • the pair of side walls 4 are inserted into the mold for the container main body 1 . Then, a resin such as a polycarbonate or the like is injected into the mold and cooled and hardened, and a container main body 1 with side walls 4 integrated on both sides can be manufactured.
  • a resin such as a polycarbonate or the like is injected into the mold and cooled and hardened, and a container main body 1 with side walls 4 integrated on both sides can be manufactured.
  • the yield can be improved by narrowing the exclusion region from the circumferential edge parts of the substrates W (for example, 3 mm in the inward radial direction). Further, since the conventional supporting parts having a shape bent along a peripheral edge of the substrate are divided into the first and second supporting parts 20 and 24 and caused to protrude separately, a clearance 25 is formed between the first and second supporting parts 20 and 24 . Accordingly, the contact area with the substrates W can be surely reduced, so that contamination by organic matter can be greatly reduced.
  • FIG. 10 shows a substrate storage container 200 as a second embodiment according to the present invention.
  • a pair of left and right elastic rear retainers 9 support the peripheral edge part of the substrates W at the rear parts and are disposed on the back wall 1 B (specifically, mounted on the inside surface of the back wall 1 B) which is the inside wall of the container main body 1 .
  • the first and second supporting parts 20 , 24 are connected by intermediate parts 122 . Accordingly, each set of the first and second supporting parts 20 and 24 are formed as a continuous integral body rather than being formed separately.
  • the intermediate parts 122 connecting the first and second supporting parts 20 and 24 have a planar arc shape on their edges, and thicknesses of the intermediate parts 122 are smaller than those of the first and second supporting parts 20 and 24 . Therefore, the intermediate parts 122 are apart from the inserted substrates W in the vertical direction and are shaped not to contact with the back surface peripheral edge parts of the substrates W. Accordingly, even if the substrate storage container is subjected to shocks, it is possible to prevent damage to the substrates W as well as the case of the first embodiment.
  • a plurality of pairs of the elastic rear retainers 9 are lined up in the vertical direction.
  • the respective rear retainers 9 are superior in terms of elasticity, wear resistance and low frictional property, and are formed to have a substantially U-shaped or V-shaped cross section.
  • the rear retainers 9 are formed with a material having less danger of contaminating the substrates W, e.g., a polybutylene terephthalate, polyether ether ketone, polyester type elastomer, polyolefin type elastomer or the like.
  • Each of the first supporting parts 20 has a thin region 21 and a thick region 22 as the first supporting parts 20 according to the first embodiment have.
  • the thin region 21 protrudes toward the inside of the container main body 1 from the inside surface of the corresponding side wall 4 , and supports the peripheral edges of the side parts of the substrates W in a horizontal attitude via the resin layer 30 .
  • the thick region 22 is formed on the outer side of the thin region 21 , and prevents the substrates W from jumping out or moving. Bumps 23 corresponding to the thickness of the substrate W are formed between the thin region 21 and thick region 22 .
  • the remaining parts are the same as in the above-mentioned first embodiment; therefore, a description of these parts is omitted here.
  • the lid member 10 includes the locking mechanism to be operated from the outside via the through operating holes 42 .
  • an anchoring mechanism may be disposed on the side portions of the lid member 10 in order to be engaged with the anchoring blocks 41 protruding from the front portions of side walls 4 of the container main body 1 .
  • the anchoring mechanism includes partially hollow anchoring pieces respectively supported on both side portions of the lid member 10 so that the anchoring pieces can rotate around the axes on the side portions of the lid member 10 and engage in a detachable manner with the anchoring blocks 41 of the container main body 1 .
  • the contacting portions 5 of the respective side walls 4 in order to contact with the substrates W may also be parts other than the inside surface portions 6 positioned on the extension line EL of the intersecting center line perpendicular to the center line CL parallel to the direction of inserting and removing the substrate W among the center lines passing on the substrate W.
  • the contacting portions 5 may be the plurality of bent rear part inside surfaces 7 of the respective side walls 4 .
  • bent rear part inside surfaces 7 of the respective side walls 4 and the second supporting parts 24 may also be covered by the continuous resin layers 30 , or may be covered by layers independently covering the respective side walls 4 and the second supporting parts 24 .
  • the thin regions 21 , the thick regions 22 and the bumps 23 may also be shaped not by the resin layers 30 , but by the original shape of the first supporting parts 20 to be covered with the resin layers 30 .
  • the side walls 4 including first and second supporting parts 20 and 24 may be integrally molded by being inserted into a mold for the container main body 1 .
  • the side walls 4 and container main body 1 may also be integrally formed by laser welding, thermal fusion or ultrasonic fusion.
  • integration may be achieved by interposing a sealing member such as packing or the like between the respective side walls 4 and the remaining parts of the container main body 1 .
  • the sealing member may be integrated beforehand with the side walls 4 or container main body 1 , or may be formed as a separate member.
  • the present invention has the effect of being able to suppress the scratching of the substrates W and the generation of dust due to rubbing between the substrates W and supporting parts. Further, the present invention also has the effect of being able to suppress the contamination and scratching of the circumferential edge parts and back surfaces of the substrates.
  • an elastic retainers 9 , 13 that support the peripheral edge parts of the substrates W are disposed on the inside surface of the container main body, looseness of the substrates W can be suppressed even if the substrate storage container 200 is subjected to shocks during movement.
  • first and second supporting parts 20 and 24 are respectively caused to protrude separately from both side walls of the container main body, and if a clearance 25 is formed between these first and second supporting parts 20 and 24 , the amount of material used in the first and second supporting parts 20 and 24 can be reduced. Further, since the contact areas with the substrates can be reduced, contamination accompanied by the contact can be reduced.
  • substrates applicable for the present invention include various types of single or multiple semiconductor wafers (e.g., 200 mm, 300 mm or 450 mm types), liquid crystal glasses, photo-mask glasses and precision substrates such as aluminum discs or the like.
  • the container main body 1 may be front-open box type, top-open box type, FOSB type or FOUB type, and may be transparent, opaque, or semi-transparent, and conductive or insulating.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Packaging Frangible Articles (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Details Of Rigid Or Semi-Rigid Containers (AREA)
  • Injection Moulding Of Plastics Or The Like (AREA)
US11/436,040 2005-05-17 2006-05-17 Substrate storage container and method for manufacturing the same Abandoned US20060283774A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005-144137 2005-05-17
JP2005144137A JP4584023B2 (ja) 2005-05-17 2005-05-17 基板収納容器及びその製造方法

Publications (1)

Publication Number Publication Date
US20060283774A1 true US20060283774A1 (en) 2006-12-21

Family

ID=36763027

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/436,040 Abandoned US20060283774A1 (en) 2005-05-17 2006-05-17 Substrate storage container and method for manufacturing the same

Country Status (8)

Country Link
US (1) US20060283774A1 (zh)
EP (1) EP1724825B1 (zh)
JP (1) JP4584023B2 (zh)
KR (1) KR101222792B1 (zh)
CN (1) CN1865096B (zh)
DE (1) DE602006004105D1 (zh)
SG (1) SG127808A1 (zh)
TW (1) TWI322478B (zh)

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080110795A1 (en) * 2006-11-10 2008-05-15 Innolux Display Corp. Packing case for substrates of flat display device
US20100147836A1 (en) * 2007-02-20 2010-06-17 George Fechter Hoffmann Abrasion resistant load bin
US20100307957A1 (en) * 2006-06-13 2010-12-09 Entegris, Inc. Reusable resilient cushion for wafer container
US20100314797A1 (en) * 2007-02-21 2010-12-16 Shin-Etsu Polymer Co., Ltd. Injection molding die and injection molding method
US20110005967A1 (en) * 2008-01-13 2011-01-13 Entegris, Inc. Methods and apparatuses for large diameter wafer handling
US20110064896A1 (en) * 2006-12-01 2011-03-17 Shin-Etsu Handotai Co., Ltd. Multi-color molding article, multicolor molding method and substrate storage container
US20110062052A1 (en) * 2008-08-27 2011-03-17 Ku Chen-Wei Front Opening Unified Pod disposed with purgeable supporting module
US20110114534A1 (en) * 2008-03-13 2011-05-19 Entegris, Inc. Wafer container with tubular environmental control components
US20110139675A1 (en) * 2008-08-27 2011-06-16 Ku Chen-Wei Wafer container with at least one purgeable supporting module having a long slot
US8047379B2 (en) 2008-08-27 2011-11-01 Gudeng Precision Industrial Co., Ltd Wafer container with purgeable supporting module
US20120043254A1 (en) * 2009-05-13 2012-02-23 Miraial Co., Ltd. Semiconductor wafer container
US20120312765A1 (en) * 2011-06-08 2012-12-13 Chipbond Technology Corporation Tray cleaning aparatus for electronic components
US20130037444A1 (en) * 2010-04-20 2013-02-14 Miraial Co., Ltd. Substrate Storage Container
US8387799B2 (en) 2008-08-27 2013-03-05 Gudeng Precision Industrial Co, Ltd. Wafer container with purgeable supporting module
US20130056388A1 (en) * 2010-05-24 2013-03-07 Miraial Co Ltd Substrate Storage Container
US8960442B2 (en) 2011-11-08 2015-02-24 Miraial Co., Ltd. Wafer storing container
US9343345B2 (en) 2012-05-04 2016-05-17 Entegris, Inc. Replaceable wafer support backstop
US20160225647A1 (en) * 2013-09-11 2016-08-04 Miraial Co., Ltd. Substrate storage container
US20170137589A1 (en) * 2015-11-16 2017-05-18 Samsung Electronics Co., Ltd. Methods of modifying surfaces of structures used in the manufacture of a semiconductor device via fluorination
US9748127B2 (en) 2012-12-04 2017-08-29 Miraial Co., Ltd. Structure for fastening together resin members in substrate storing container
US9768045B2 (en) 2012-11-20 2017-09-19 Miraial Co., Ltd. Substrate storing container
US20170294327A1 (en) * 2014-08-28 2017-10-12 Entegris, Inc. Substrate container
US10066100B2 (en) * 2015-09-08 2018-09-04 Kraton Polymers U.S. Llc Copolyester/controlled distribution styrenic block copolymer blends and methods of making and using same
US20190139792A1 (en) * 2017-11-08 2019-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer container and method for holding wafer
US10535540B2 (en) 2014-09-26 2020-01-14 Miraial Co., Ltd. Substrate storing container
US10559484B2 (en) 2015-04-10 2020-02-11 Shin-Etsu Polymer Co., Ltd. Substrate storage container
US20210028039A1 (en) * 2019-07-26 2021-01-28 Applied Materials, Inc. Carrier foup and a method of placing a carrier
US11292637B1 (en) * 2020-09-22 2022-04-05 Gudeng Precision Industrial Co., Ltd. Central support device for supporting plate-shaped object and storage apparatus for storing plate-shaped object
US11335576B2 (en) 2018-10-29 2022-05-17 Miraial Co., Ltd. Method for molding substrate storing container, mold, and substrate storing container
US20230088106A1 (en) * 2021-09-22 2023-03-23 Entegris, Inc. Process carrier
US11735449B2 (en) * 2017-11-16 2023-08-22 Shin-Etsu Polymer Co., Ltd. Substrate storage container
US11764089B2 (en) 2018-10-29 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer storage device

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101253614B (zh) 2005-07-08 2011-02-02 埃塞斯特科技有限公司 工件支撑结构及其使用设备
US20090101067A1 (en) * 2005-07-08 2009-04-23 Bonora Anthony C Method and apparatus for wafer support
JP5160298B2 (ja) * 2008-05-08 2013-03-13 信越ポリマー株式会社 基板収納容器
JP4917580B2 (ja) * 2008-07-18 2012-04-18 信越ポリマー株式会社 基板収納容器
JP5268858B2 (ja) * 2009-10-23 2013-08-21 信越ポリマー株式会社 基板収納容器
US20110259840A1 (en) * 2010-04-23 2011-10-27 Advanced Semiconductor Engineering, Inc. Semiconductor package magazine
JP2011253960A (ja) * 2010-06-02 2011-12-15 Shin Etsu Polymer Co Ltd 基板収納容器
CN102142387B (zh) * 2010-12-10 2013-01-23 北京七星华创电子股份有限公司 用于半导体热处理设备的立式晶舟
JP5700434B2 (ja) * 2011-05-18 2015-04-15 信越ポリマー株式会社 ウェーハ収納容器
JP6185268B2 (ja) * 2013-03-26 2017-08-23 信越ポリマー株式会社 基板収納容器
KR102143884B1 (ko) * 2013-09-11 2020-08-12 삼성전자주식회사 버퍼 영역을 갖는 웨이퍼 로더
KR102220807B1 (ko) * 2013-09-24 2021-03-02 삼성전자주식회사 도전성 수지 조성물 및 이를 이용하는 디스플레이 장치
US9734930B2 (en) 2013-09-24 2017-08-15 Samsung Electronics Co., Ltd. Conductive resin composition and display device using the same
WO2017006406A1 (ja) * 2015-07-03 2017-01-12 ミライアル株式会社 基板収納容器
JP6645801B2 (ja) * 2015-10-23 2020-02-14 三菱ケミカル株式会社 繊維強化樹脂成形品およびその製造方法
US11309200B2 (en) * 2017-02-27 2022-04-19 Miraial Co., Ltd. Substrate storage container
CN110622292B (zh) * 2017-08-09 2023-10-27 未来儿股份有限公司 基板收纳容器
TWI641071B (zh) * 2018-01-08 2018-11-11 家登精密工業股份有限公司 容器門板抵持結構
KR102552458B1 (ko) * 2019-07-31 2023-07-06 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 기판 지지구 및 반도체 장치의 제조 방법
WO2021138192A1 (en) 2020-01-02 2021-07-08 Entegris, Inc. Bulk container having steel overpack
JP7388712B2 (ja) * 2020-07-22 2023-11-29 信越ポリマー株式会社 収納容器の製造方法

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5584401A (en) * 1994-07-29 1996-12-17 Yodogawa Kasei Kabushiki Kaisha Substrate-supporting side boards and a cassette utilizing the boards
US5725101A (en) * 1995-06-26 1998-03-10 Kakizaki Manufacturing Co., Ltd. Thin-plate supporting container
US5788082A (en) * 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US5816410A (en) * 1994-07-15 1998-10-06 Fluoroware, Inc. Wafer cushions for wafer shipper
US6010008A (en) * 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
US6039186A (en) * 1997-04-16 2000-03-21 Fluoroware, Inc. Composite transport carrier
US20010045174A1 (en) * 2000-05-29 2001-11-29 Middlesex Industries S.A. Container for conveying flat objects
US6428729B1 (en) * 1998-05-28 2002-08-06 Entegris, Inc. Composite substrate carrier
US20040226845A1 (en) * 2003-05-14 2004-11-18 Raj Babak R. Method and apparatus for transporting articles
US20050230398A1 (en) * 2004-04-20 2005-10-20 Shin-Etsu Polymer Co., Ltd. Storage container
US20050247594A1 (en) * 2002-09-11 2005-11-10 Shin-Etsu Polymer Co., Ltd. Substrate-storing container
US20050274645A1 (en) * 2004-06-11 2005-12-15 Shin-Etsu Polymer Co., Ltd. Substrate storage container
US20060213796A1 (en) * 2005-03-24 2006-09-28 Miraial Co., Ltd. Airtight container

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2816864B2 (ja) * 1989-07-07 1998-10-27 大塚化学株式会社 搬送用ウエーハバスケット及び収納ケース
JPH0648857Y2 (ja) * 1991-02-22 1994-12-12 株式会社柿崎製作所 薄板処理用バスケツト
US5575394A (en) * 1994-07-15 1996-11-19 Fluoroware, Inc. Wafer shipper and package
JPH1159779A (ja) * 1997-06-11 1999-03-02 Kawasaki Steel Corp 収納容器
JP4334123B2 (ja) * 2000-09-27 2009-09-30 信越ポリマー株式会社 精密基板収納容器
JP2002305239A (ja) * 2001-04-06 2002-10-18 Shin Etsu Polymer Co Ltd 基板収納容器及びその製造方法
JP4596681B2 (ja) * 2001-05-23 2010-12-08 信越ポリマー株式会社 収納容器とその製造方法
JP3938293B2 (ja) * 2001-05-30 2007-06-27 信越ポリマー株式会社 精密基板収納容器及びその押さえ部材
JP4030280B2 (ja) * 2001-08-24 2008-01-09 信越ポリマー株式会社 基板収納容器及びその製造方法
JP2005510868A (ja) * 2001-11-27 2005-04-21 エンテグリス・インコーポレーテッド 静電消散膜を備える半導体要素ハンドリングデバイス
JP2004250084A (ja) * 2003-02-21 2004-09-09 Sharp Corp フレキシブル基板収納具及びフレキシブル基板収納方法
JP4233392B2 (ja) * 2003-06-12 2009-03-04 信越ポリマー株式会社 基板収納容器

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5816410A (en) * 1994-07-15 1998-10-06 Fluoroware, Inc. Wafer cushions for wafer shipper
US5584401A (en) * 1994-07-29 1996-12-17 Yodogawa Kasei Kabushiki Kaisha Substrate-supporting side boards and a cassette utilizing the boards
US5725101A (en) * 1995-06-26 1998-03-10 Kakizaki Manufacturing Co., Ltd. Thin-plate supporting container
US5788082A (en) * 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US6039186A (en) * 1997-04-16 2000-03-21 Fluoroware, Inc. Composite transport carrier
US6010008A (en) * 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
US6428729B1 (en) * 1998-05-28 2002-08-06 Entegris, Inc. Composite substrate carrier
US20010045174A1 (en) * 2000-05-29 2001-11-29 Middlesex Industries S.A. Container for conveying flat objects
US20050247594A1 (en) * 2002-09-11 2005-11-10 Shin-Etsu Polymer Co., Ltd. Substrate-storing container
US20040226845A1 (en) * 2003-05-14 2004-11-18 Raj Babak R. Method and apparatus for transporting articles
US20050230398A1 (en) * 2004-04-20 2005-10-20 Shin-Etsu Polymer Co., Ltd. Storage container
US20050274645A1 (en) * 2004-06-11 2005-12-15 Shin-Etsu Polymer Co., Ltd. Substrate storage container
US20060213796A1 (en) * 2005-03-24 2006-09-28 Miraial Co., Ltd. Airtight container

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100307957A1 (en) * 2006-06-13 2010-12-09 Entegris, Inc. Reusable resilient cushion for wafer container
US8528738B2 (en) * 2006-06-13 2013-09-10 Entegris, Inc. Reusable resilient cushion for wafer container
US20080110795A1 (en) * 2006-11-10 2008-05-15 Innolux Display Corp. Packing case for substrates of flat display device
US8318275B2 (en) * 2006-12-01 2012-11-27 Shin-Etsu Handotai Co., Ltd. Multi-color molding article, multicolor molding method and substrate storage container
US20110064896A1 (en) * 2006-12-01 2011-03-17 Shin-Etsu Handotai Co., Ltd. Multi-color molding article, multicolor molding method and substrate storage container
US20100147836A1 (en) * 2007-02-20 2010-06-17 George Fechter Hoffmann Abrasion resistant load bin
US20100314797A1 (en) * 2007-02-21 2010-12-16 Shin-Etsu Polymer Co., Ltd. Injection molding die and injection molding method
US8178024B2 (en) * 2007-02-21 2012-05-15 Shin-Etsu Polymer Co., Ltd. Injection molding die and injection molding method
US20110005967A1 (en) * 2008-01-13 2011-01-13 Entegris, Inc. Methods and apparatuses for large diameter wafer handling
US9592930B2 (en) 2008-01-13 2017-03-14 Entegris, Inc. Methods and apparatus for large diameter wafer handling
TWI469901B (zh) * 2008-01-13 2015-01-21 Entegris Inc 晶圓容置箱及其製造方法
US8919563B2 (en) * 2008-01-13 2014-12-30 Entegris, Inc. Methods and apparatus for large diameter wafer handling
US10043696B2 (en) 2008-03-13 2018-08-07 Entegris, Inc. Wafer container with tubular environmental control components
US8783463B2 (en) * 2008-03-13 2014-07-22 Entegris, Inc. Wafer container with tubular environmental control components
US20110114534A1 (en) * 2008-03-13 2011-05-19 Entegris, Inc. Wafer container with tubular environmental control components
US20110062052A1 (en) * 2008-08-27 2011-03-17 Ku Chen-Wei Front Opening Unified Pod disposed with purgeable supporting module
US8387799B2 (en) 2008-08-27 2013-03-05 Gudeng Precision Industrial Co, Ltd. Wafer container with purgeable supporting module
US8413815B2 (en) 2008-08-27 2013-04-09 Gudeng Precision Industrial Co, Ltd Wafer container with at least one purgeable supporting module having a long slot
US8413814B2 (en) 2008-08-27 2013-04-09 Gudeng Precision Industrial Co, Ltd Front opening unified pod disposed with purgeable supporting module
US20110139675A1 (en) * 2008-08-27 2011-06-16 Ku Chen-Wei Wafer container with at least one purgeable supporting module having a long slot
US8047379B2 (en) 2008-08-27 2011-11-01 Gudeng Precision Industrial Co., Ltd Wafer container with purgeable supporting module
US8453842B2 (en) * 2009-05-13 2013-06-04 Miraial Co., Ltd. Semiconductor wafer container
US20120043254A1 (en) * 2009-05-13 2012-02-23 Miraial Co., Ltd. Semiconductor wafer container
US8464872B2 (en) * 2010-04-20 2013-06-18 Miraial Co., Ltd. Substrate storage container
US20130037444A1 (en) * 2010-04-20 2013-02-14 Miraial Co., Ltd. Substrate Storage Container
US20130056388A1 (en) * 2010-05-24 2013-03-07 Miraial Co Ltd Substrate Storage Container
US8910792B2 (en) * 2010-05-24 2014-12-16 Miraial Co., Ltd. Substrate storage container
US20120312765A1 (en) * 2011-06-08 2012-12-13 Chipbond Technology Corporation Tray cleaning aparatus for electronic components
US8657125B2 (en) * 2011-06-08 2014-02-25 Chipbond Technology Corporation Tray cleaning apparatus for electronic components
US8960442B2 (en) 2011-11-08 2015-02-24 Miraial Co., Ltd. Wafer storing container
US9343345B2 (en) 2012-05-04 2016-05-17 Entegris, Inc. Replaceable wafer support backstop
US9768045B2 (en) 2012-11-20 2017-09-19 Miraial Co., Ltd. Substrate storing container
US9748127B2 (en) 2012-12-04 2017-08-29 Miraial Co., Ltd. Structure for fastening together resin members in substrate storing container
US20160225647A1 (en) * 2013-09-11 2016-08-04 Miraial Co., Ltd. Substrate storage container
US9865487B2 (en) * 2013-09-11 2018-01-09 Miraial Co., Ltd. Substrate storage container
US20170294327A1 (en) * 2014-08-28 2017-10-12 Entegris, Inc. Substrate container
US10535540B2 (en) 2014-09-26 2020-01-14 Miraial Co., Ltd. Substrate storing container
US10559484B2 (en) 2015-04-10 2020-02-11 Shin-Etsu Polymer Co., Ltd. Substrate storage container
US10066100B2 (en) * 2015-09-08 2018-09-04 Kraton Polymers U.S. Llc Copolyester/controlled distribution styrenic block copolymer blends and methods of making and using same
US20170137589A1 (en) * 2015-11-16 2017-05-18 Samsung Electronics Co., Ltd. Methods of modifying surfaces of structures used in the manufacture of a semiconductor device via fluorination
US20190139792A1 (en) * 2017-11-08 2019-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer container and method for holding wafer
US10811291B2 (en) * 2017-11-08 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer container and method for holding wafer
US11735449B2 (en) * 2017-11-16 2023-08-22 Shin-Etsu Polymer Co., Ltd. Substrate storage container
US11335576B2 (en) 2018-10-29 2022-05-17 Miraial Co., Ltd. Method for molding substrate storing container, mold, and substrate storing container
US11764089B2 (en) 2018-10-29 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer storage device
US20210028039A1 (en) * 2019-07-26 2021-01-28 Applied Materials, Inc. Carrier foup and a method of placing a carrier
US11756816B2 (en) * 2019-07-26 2023-09-12 Applied Materials, Inc. Carrier FOUP and a method of placing a carrier
US11292637B1 (en) * 2020-09-22 2022-04-05 Gudeng Precision Industrial Co., Ltd. Central support device for supporting plate-shaped object and storage apparatus for storing plate-shaped object
US20230088106A1 (en) * 2021-09-22 2023-03-23 Entegris, Inc. Process carrier

Also Published As

Publication number Publication date
JP4584023B2 (ja) 2010-11-17
SG127808A1 (en) 2006-12-29
JP2006324327A (ja) 2006-11-30
KR20060119816A (ko) 2006-11-24
EP1724825B1 (en) 2008-12-10
TWI322478B (en) 2010-03-21
CN1865096B (zh) 2010-05-12
DE602006004105D1 (de) 2009-01-22
KR101222792B1 (ko) 2013-01-15
TW200644150A (en) 2006-12-16
EP1724825A1 (en) 2006-11-22
CN1865096A (zh) 2006-11-22

Similar Documents

Publication Publication Date Title
EP1724825B1 (en) Substrate storage container and method for manufacturing the same
JP3370279B2 (ja) 精密基板収納容器
US6105782A (en) Storage container for precision substrates
EP1047112B1 (en) Wafer container box
US6273261B1 (en) Identification structure of a substrate storage container and method of identifying a substrate storage container
KR101410162B1 (ko) 다색 성형체,다색 성형법,및 기판 수납 용기
KR100545427B1 (ko) 수송용기
JP4233392B2 (ja) 基板収納容器
US8365919B2 (en) Substrate storage container
JP2006332261A (ja) 基板収納容器
JP5627509B2 (ja) 基板収納容器
US11227781B2 (en) Substrate storage container
JP3938233B2 (ja) 密封容器
KR102523865B1 (ko) 기판 수납 용기 및 그의 제조 방법
JP3942379B2 (ja) 精密基板収納容器の位置決め部材
JP2013038200A (ja) 基板収納容器
JP4159946B2 (ja) 基板収納容器
JP4372313B2 (ja) 基板収納容器
JPH11354624A (ja) 基板収納容器
JP2002299428A (ja) 精密基板収納容器及びその製造方法
JP4459015B2 (ja) 基板収納容器
JP2004304122A (ja) 精密基板収納容器
WO2020136741A1 (ja) 基板収納容器
JP2008021743A (ja) 半導体ウェーハ収納容器
JP2002347061A (ja) 収納容器とその製造方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: SHIN-ETSU POLYMER CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HASEGAWA, AKIHIRO;MIMURA, HIROSHI;REEL/FRAME:017867/0279;SIGNING DATES FROM 20060508 TO 20060509

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION