US20020011617A1 - Semiconductor device and method of producing the same - Google Patents

Semiconductor device and method of producing the same Download PDF

Info

Publication number
US20020011617A1
US20020011617A1 US08/931,562 US93156297A US2002011617A1 US 20020011617 A1 US20020011617 A1 US 20020011617A1 US 93156297 A US93156297 A US 93156297A US 2002011617 A1 US2002011617 A1 US 2002011617A1
Authority
US
United States
Prior art keywords
layer
semiconductor
semiconductor device
layers
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US08/931,562
Other versions
US6399970B2 (en
Inventor
Minoru Kubo
Katsuya Nozawa
Masakatsu Suzuki
Takeshi Uenoyama
Yasuhito Kumabuchi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Assigned to MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD. reassignment MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KUMABUCHI, YASUHITO, SUZUKI, MASAKATSU, UENOYAMA, TAKESHI, KUBO, MINORU, NOZAWA, KATSUYA
Priority to US09/208,024 priority Critical patent/US6190975B1/en
Publication of US20020011617A1 publication Critical patent/US20020011617A1/en
Priority to US10/115,983 priority patent/US6674100B2/en
Application granted granted Critical
Publication of US6399970B2 publication Critical patent/US6399970B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET

Definitions

  • the present invention relates to a semiconductor device, and more particularly to a semiconductor device having a heterojunction field-effect transistor using a SiGeC or SiGe layer, and to a method of producing this semiconductor device.
  • CMOS device complementary semiconductor device
  • HCMOS device heterostructure CMOS device
  • Si/SiGe mixed crystal of the IV-family elements
  • FIG. 15 is a section view of an example of this HCMOS device.
  • a field-effect transistor comprising source-drain regions 109 , a gate insulating layer 107 and a gate electrode 110 .
  • a SiGe buffer layer 102 Formed in a so-called channel region between the source-drain regions under the gate electrode 110 are a SiGe buffer layer 102 , a ⁇ doped layer 115 , a spacer layer 103 , an i-Si layer 104 , an i-SiGe layer 105 and an i-Si layer 106 .
  • the SiGe buffer layer 102 gives tensile strain to the i-Si layer 104 for forming an n-channel layer 112 between the SiGe buffer layer 102 and the i-Si layer 104 .
  • the Ge composition rate is gradually changed such that the Ge composition rate in that portion of the layer 102 immediately above the Si substrate 101 is equal to 0%, while the Ge composition rate in the top portion of the layer 102 is equal to 30%.
  • the n-channel layer 112 is formed on the heterointerface between the i-Si layer 104 and the SiGe buffer layer 102 thereunder.
  • the ⁇ doped layer 115 is arranged to supply electrons serving as carriers to the n-channel layer 112 which is formed on the ⁇ doped layer 115 .
  • the spacer layer 103 is arranged to spatially separate the ions in the ⁇ doped layer 115 formed below the spacer layer 103 , from the n-channel layer 112 formed on the spacer layer 103 , thus preventing the carrier mobility from being lowered due to ion scattering.
  • a p-channel layer 111 is formed, at the side of the i-SiGe layer 105 , on the heterointerface between the i-SiGe layer 105 and the i-Si layer 106 thereon.
  • the gate insulating layer 107 is formed to insulate the gate electrode 110 from the p-channel layer 111 .
  • the heterojunction field-effect transistor is characterized in that a channel is formed on the heterointerface between two kinds of semiconductor layers different in band gap from each other. Accordingly, to form a channel, there are inevitably present at least two kinds of semiconductor layers different in band gap from each other. In addition, to form, in semiconductor layers, a channel in which electrons or positive holes move at a high speed, it is required to form, at the heterointerface, a discontinuous portion of a conduction or valence band.
  • the i-SiGe layer 105 has a discontinuous portion in the valence band with respect to the i-Si layer 106 , thus forming a channel for positive holes (See the left portion of FIG. 15).
  • the conduction band hardly has a discontinuous portion. Accordingly, tensile strain is induced in the i-Si layer 104 such that a discontinuous portion is formed in the conduction band at the heterointerface between i-Si layer 104 and the i-SiGe layer 105 (See the right portion of FIG. 15).
  • the HCMOS device having the arrangement above-mentioned achieves an operation at double the speed with a half power consumption. More specifically, this is a semiconductor transistor in which a Si semiconductor is combined with a SiGe mixed crystal to form a heterointerface and in which there is formed a channel in which carriers are mobile at a high speed.
  • this semiconductor transistor is a transistor capable of achieving both a high-speed operation utilizing a heterojunction and large-scale integration of a MOS device.
  • a heterojunction device utilizing a mixed crystal of the IV-family elements such as SiGe is expected as means for overcoming the functional limit of a CMOS device of prior art. Due to the difficulty in production, however, a heterojunction field-effect transistor using a mixed crystal of the IV-family elements represented by SiGe is behind in research and development as compared with a heterojunction bipolar transistor which is a heterodevice similarly using a mixed crystal such as SiGe. Thus, it cannot be stated that studies have sufficiently be made on the structure capable of providing performance as expected and on the method of producing such structure.
  • FIG. 16 is a section view illustrating the SiGe buffer layer 102 and the i-Si layer 104 thereabove, as picked out from FIG. 15. Since the i-Si layer 104 is smaller in lattice constant than the SiGe buffer layer 102 , tensile strain will be accumulated at the stage of crystal growth. When such accumulation becomes great, this results in dislocation in the i-Si layer 104 as shown in FIG. 16. Thus, dislocation or line defect is inevitably induced by strain due to lattice misfit between the i-Si layer 104 and the SiGe buffer layer 102 . Setting apart from the initial characteristics of a transistor utilizing such a crystal, it is considered that the reliability and life-time of the transistor are influenced by the deterioration in characteristics due to the growth of dislocation in the crystal.
  • the SiGe buffer layer 102 made of SiGe greater in lattice constant than Si is laminated on the Si substrate 101 and tensile strain is accumulated in the i-Si layer 104 which is grown on the SiGe buffer layer 102 .
  • the thickness of the SiGe buffer layer 102 exceeds the critical thickness in which the lattice constant of the SiGe buffer layer 102 is changed from the lattice constant of Si to the original lattice constant of SiGe. This produces lattice relaxation, causing defects such as dislocation or the like to be induced also in the SiGe buffer layer 102 .
  • a heterojunction field-effect device using a mixed crystal of the IV-family elements represented by SiGe is effective as a transistor structure capable of overcoming the performance limit of a miniaturized CMOS device of prior art.
  • studies on optimization of the contact of each source-drain electrode have not sufficiently been done as compared with studies on improvements in channel mobility.
  • the structure cannot take full advantage of such high-speed mobility.
  • IBM's heterojunction CMOS device technology mentioned earlier too, detailed studies have been made on improvements in mobility of a channel region, but studies have hardly been made on reduction in resistance of the contact of each source-drain electrode which is another important factor for improvement in performance of a miniaturized transistor.
  • the present invention provides a first semiconductor device, a second semiconductor device and a first semiconductor device producing method.
  • the present invention provides a third semiconductor device and a second semiconductor device producing method.
  • the first semiconductor device comprises a field-effect transistor which is formed on a portion of a semiconductor substrate and which comprises a gate electrode, source-drain regions and a channel region between the source-drain regions, and the channel region comprises: a Si layer; a Si 1-x-y Ge x C y layer (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1) which is formed as coming in contact with the Si layer and in which the composition rate y of C is in the range from 0.01 to 0.03; and a carrier accumulation layer formed in that portion of the Si 1-x-y Ge x C y layer which is adjacent to the Si layer.
  • the composition rates of the respective elements in the Si 1-x-y Ge x C y layer may be adjusted such that the Si 1-x-y Ge x C y layer and the Si layer are fitted in lattice for each other.
  • the Si 1-x-y Ge x C y layer has a lattice constant smaller than that of the Si layer and has such a thickness as to induce no lattice relaxation.
  • carriers accumulated in the carrier accumulation layer may be negative.
  • a carrier supply layer for supplying carriers to the carrier accumulation layer is preferably formed in that portion of the Si layer which is adjacent to the Si 1-x-y Ge x C y layer.
  • the present invention is arranged such that carriers accumulated in the carrier accumulation layer are negative, that there is formed another field-effect transistor which is formed on other portion of the semiconductor substrate and which comprises a gate electrode, source-drain regions and a channel region between the source-drain regions, and that the channel region of another field-effect transistor comprises: a second Si layer; a SiGe layer formed adjacent to the second Si layer; and a second carrier accumulation layer for accumulating positive carriers, which is formed in that portion of the SiGe layer adjacent to the second Si layer.
  • the Si 1-x-y Ge x C y or SiGe layer may be a quantum well region.
  • each of the source-drain regions may comprise a first semiconductor layer and a second semiconductor layer greater in band gap than the first semiconductor layer, and there may further be formed source-drain contact layers each of which is made of a conductive layer low in resistance and each of which is formed immediately above the first semiconductor layer.
  • the second semiconductor device comprises: a field-effect transistor which is formed on a portion of a semiconductor substrate and which comprises a gate electrode; source-drain regions; and a channel region between the source-drain regions, and the channel region comprises: a first Si layer; a first Si 1-x-y Ge x C y layer (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1) which is formed as coming in contact with the first Si layer; a second Si layer; a second Si 1-x-y Ge x C y layer (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1) which is formed as coming in contact with the second Si layer and which is different in band gap from the first Si 1-x-y Ge x C y layer; and first and second carrier accumulation layers for respectively confining different conductive carriers, these first and second carrier accumulation layers being respectively formed in that portion of the first Si 1-x-y Ge x C y layer which is adjacent to the first Si layer and in that portion of the second Si 1-x-y Ge x C y layer which is adjacent to the
  • a semiconductor device functioning as an HCMOS device having n-channel and p-channel field-effect transistors each having a channel high not only in carrier confining efficiency but also in operational speed. Further, control can be made such that lattice misfit between the first Si 1-x-y Ge x C y layer and the first Si layer does not occur or is minimized. This enables the first Si 1-x-y Ge x C y layer to be formed with no crystal defect induced therein. Thus, a highly reliable semiconductor device can be obtained.
  • the composition rate y of C in the second Si 1-x-y Ge x C y layer may be equal to 0.
  • a MOS transistor which is formed on the semiconductor substrate and in which a semiconductor layer formed of a single element serves as a channel region.
  • a transistor provided in the channel region thereof with the first Si 1-x-y Ge x C y layer may be used for a circuit requiring a high operational speed, and a usual MOS transistor may be used for other circuit, thus enabling the applicable range of the semiconductor device to be enlarged.
  • the first Si 1-x-y Ge x C y layer has a lattice constant smaller than that of the first Si layer and has such a thickness as to induce no lattice relaxation.
  • a carrier supply layer for supplying carriers to the first carrier accumulation layer is preferably formed in that portion of the first Si layer which is adjacent to the first Si 1-x-y Ge x C y layer.
  • the third semiconductor device comprises at least one field-effect transistor formed on a semiconductor substrate, and this field-effect transistor comprises: a channel region comprising a first semiconductor layer including a Si 1-x-y Ge x C y layer (0 ⁇ s ⁇ 1, 0 ⁇ y ⁇ 1), a second semiconductor layer different in band gap from the first semiconductor layer, and a carrier accumulation layer formed in the vicinity of the interface between the first and second semiconductor layers; source-drain regions each comprising: a third semiconductor layer and a fourth semiconductor layer greater in band gap than the third semiconductor layer; and source-drain contact layers each of which is made of a conductive layer, each of which is low in resistance and each of which is formed immediately above the third semiconductor layer.
  • the arrangement above-mentioned can lower the resistance of a contact with respect to each source-drain region in the field-effect transistor high not only in carrier mobility but also in operational speed with the use of a heterojunction structure.
  • the present invention may be arranged such that the first semiconductor layer also serves as the third semiconductor layer, that the second semiconductor layer also serves as the fourth semiconductor layer, and that the second semiconductor layer is formed on the first semiconductor layer.
  • the present invention may be arranged such that the first and third semiconductor layers are respectively formed by different semiconductor layers, that the third semiconductor layer is formed on the first semiconductor layer, and that the fourth semiconductor layer is formed on the third semiconductor layer.
  • the first semiconductor device producing method provides a method of producing a semiconductor device including an n-channel field-effect transistor and a p-channel field-effect transistor, and comprises: a first step of forming, on a semiconductor substrate, a first Si layer and a first Si 1-x-y Ge x C y layer (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1) which comes in contact with the first Si layer and in which a first carrier accumulation layer serving as a channel of the n-channel field-effect transistor is formed in that portion of the first Si 1-x-y Ge x C y layer which is adjacent to the first Si layer; a second step of forming, on the semiconductor substrate, a second Si layer and a second Si 1-x-y Ge x C y layer (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1) which comes in contact with the second Si layer, which is different in band gap from the first Si 1-x-y Ge x C y layer and in which a second carrier accumulation layer serving as a channel of the p-channel
  • the second semiconductor device of the present invention can readily be produced.
  • the second semiconductor device producing method of the present invention provides a method of producing a semiconductor device which has a first semiconductor layer including a Si 1-x-y Ge x C y layer (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1), a second semiconductor layer different in band gap from the first semiconductor layer and a carrier accumulation layer serving as a channel formed in the vicinity of the interface between the first and second semiconductor layers, and which serves as a field-effect transistor, and this second semiconductor device method comprises: a first step of successively forming, on a field-effect transistor forming region of a semiconductor substrate, a third semiconductor layer and a fourth semiconductor layer greater in band gap than the third semiconductor layer; a second step of depositing a conductive layer on the fourth semiconductor layer and patterning the conductive layer to form a gate electrode; a third step of introducing impurity into those portions of the field-effect transistor forming region which are located at both lateral sides of the gate electrode, thus forming source-drain regions, the impurity being introduced in depth which reaches at least the carrier accumulation
  • the third semiconductor device of the present invention can readily be produced.
  • the fourth step is preferably executed under etching conditions in which the etching selectivity for the third and fourth semiconductor layers is high.
  • FIG. 1 is a section view illustrating the structure of a SiGeC HCMOS device according to a first embodiment of the present invention
  • FIG. 2 is a view illustrating the dependency of lattice strain of the SiGeC layer in the HCMOS device in FIG. 1 upon Ge and C concentrations;
  • FIG. 3 is a view illustrating the relationship among Si, Ge, C concentrations which produce a lattice fit or tensile strain between the SiGeC layer and the Si layer in the SiGeC HCMOS device in FIG. 1;
  • FIG. 4 is a view illustrating the relationship between an energy gap value and the C composition rate in the SiGeC layer in the HCMOS device in FIG. 1;
  • FIG. 5 ( a ) to FIG. 5 ( f ) are section views illustrating the steps of producing a semiconductor device according to the first embodiment of the present invention.
  • FIG. 6 ( a ) to FIG. 6 ( f ) are views illustrating the relationships between strain due to lattice misfit and the composition of the SiGeC layer of a second embodiment of the present invention.
  • FIG. 7 is a view illustrating the band lineup in a lattice-fit SiGeC HCMOS device of the second embodiment of the present invention.
  • FIG. 8 is a section view illustrating the structure of an HCMOS device having channels each in a quantum well structure according to a third embodiment of the present invention.
  • FIG. 9 ( a ) to FIG. 9 ( f ) are section views illustrating the steps of producing a semiconductor device according to the third embodiment of the present invention.
  • FIG. 10 is a section view illustrating the structure of an HCMOS device according to a fourth embodiment of the present invention.
  • FIG. 11 ( a ) to FIG. 11 ( e ) are section views illustrating the first half of the steps of producing the HCMOS device according to the fourth embodiment of the present invention.
  • FIG. 12 ( a ) to FIG. 12 ( e ) are section views illustrating the second half of the steps of producing the HCMOS device according to the fourth embodiment of the present invention.
  • FIG. 13 is a section view illustrating the structure of an HCMOS device according to a fifth embodiment of the present invention.
  • FIG. 14 is a section view illustrating the structure of an HCMOS device according to a sixth embodiment of the present invention.
  • FIG. 15 is a section view illustrating the structure of an HCMOS device of prior art.
  • FIG. 16 shows defects induced in a heterointerface of the HCMOS device of prior art in FIG. 15, the defects including dislocation or the like produced by strain due to lattice misfit.
  • An HCMOS device is a field-effect transistor unit which uses a three-element mixed crystal of SiGeC comprising C added to SiGe/Si, in which the SiGeC layer and the Si layer are substantially fitted in lattice for each other, and in which a band discontinuous portion is formed at a heterointerface due to a difference in band gap energy.
  • FIG. 1 is a section view illustrating the structure of the HCMOS device of the first embodiment. As shown in FIG. 1, NMOS and PMOS transistors are formed on a silicon substrate 10 . The following description will first discuss the structure of the NMOS transistor.
  • a p-well 11 (high-concentration p-type silicon layer) is formed on the silicon substrate 10 .
  • a Si layer 13 n having a ⁇ doped layer which has been doped with a V-family element in high concentration, and a spacer layer are successively formed on the p-well 11 .
  • a SiGeC layer 14 n in which the C concentration is 4%, while the Ge concentration is 36%).
  • the composition rates of the respective elements in the SiGeC layer 14 n are set such that the SiGeC layer 14 n and the Si layer 13 n immediately therebelow are fitted in lattice for each other.
  • a SiGe layer 15 n (in which the Ge concentration is 30%, while the Si concentration is 70%), and a Si layer 17 n .
  • a gate insulating layer 19 n comprising a silicon oxide layer is formed on the surface of the Si layer 17 n . Because the Si layer 17 n is present below the gate insulating layer 19 n , the gate insulating layer 19 n high in crystallinity can readily be formed merely by oxidizing the surface of the Si layer 17 n .
  • a gate electrode 18 n is formed on the gate insulating layer 19 n .
  • Source-drain layers 16 n are formed in the substrate at both lateral sides of the gate electrode 18 n .
  • the travel of electrons within the SiGeC layer 14 n is controlled by a voltage applied to the gate electrode 18 n .
  • the source-drain layers 16 n are formed in depth which reaches the p-well 11 , but may be formed at least in depth corresponding to that portion of the SiGeC layer 14 n which will result in a channel.
  • the PMOS transistor has substantially the same structure as that of the NMOS transistor discussed in the foregoing.
  • An n-well 12 (high-concentration n-type Si layer) is formed on the silicon substrate 10 .
  • a Si layer 13 p having a ⁇ doped layer which has been doped with a V-family element in high concentration
  • a SiGeC layer 14 p (in which the Ge concentration is 8.2%, while the C concentration is 1%).
  • SiGeC layer 15 p in which the Ge concentration is 30%, while the Si concentration is 70%
  • Si layer 17 p a Si layer 17 p .
  • positive holes serve as carriers.
  • the channel in which the positive holes travel is formed at the side of the SiGe layer 15 p of the interface between the SiGe layer 15 p and the Si layer 17 p .
  • a band discontinuous portion of the valence band having a band offset value ⁇ Ev is present at the heterointerface between the SiGe layer 15 p and the Si layer 17 p .
  • a carrier accumulation layer is formed at this discontinuous portion. Accordingly, the positive holes travel in the channel of carrier accumulation layer formed at the side of the SiGe layer 15 p of the interface. In the SiGe layer 15 p , too, the mobility of positive holes is higher than in the Si layer, thus increasing the operational speed of the PMOS transistor.
  • a gate insulating layer 19 p comprising a silicon oxide layer is formed on the Si layer 17 p .
  • Source-drain layers 16 p are formed at both sides of a gate electrode 18 p .
  • the travel of positive holes in the SiGe layer 15 p is controlled by a voltage applied to the gate electrode 18 p.
  • a trench isolation 20 Disposed between the NMOS and PMOS transistors is a trench isolation 20 formed by embedding, with a silicon oxide layer, a groove formed in the substrate.
  • the trench isolation 20 electrically separates the NMOS and PMOS transistors from each other.
  • the Si layers 13 n , 13 p , the SiGeC layers 14 n , 14 p , the SiGe layers 15 n , 15 p , and the Si layers 17 n , 17 p are simultaneously formed through crystal growth.
  • the sizes of the respective layers can be set to the following sizes, but are not limited thereto.
  • each of the Si layers 13 n , 13 p is for example equal to about 0.6 ⁇ m, and is preferably in the range from 0 to 1 ⁇ m.
  • the thickness of each spacer layer is for example equal to about 30 nm, and is preferably in the range from 0 to 50 nm.
  • the thickness of each of the SiGeC layers 14 n , 14 p is preferably in the range from 3 to 50 nm.
  • the thickness of each of the SiGe layers 15 n , 15 p is equal to about 5 nm and is preferably in the range from 3 to 5 nm.
  • each of the Si layers 17 n , 17 p is equal to about 1 nm and is preferably in the range from 0.5 to 5 nm.
  • the thickness of each of the gate insulating layers 19 n , 19 p is for example about 5 nm.
  • each of the gate electrodes 18 n , 18 p the gate length is equal to 0.25 ⁇ m and the gate width is equal to 2.5 ⁇ m.
  • the width of each source-drain region is equal to about 1.2 ⁇ m.
  • the contact area of each of source-drain electrodes 21 n , 21 p is equal to about 0.5 ⁇ m x about 0.6 ⁇ m.
  • the doping concentration of each of the wells 13 n , 13 p is in the range from about 1 ⁇ 10 17 to 1 ⁇ about 10 18 cm ⁇ 3 .
  • the doping concentration of each of the ⁇ doped layers is in the range from about 1 ⁇ 10 18 to about 1 ⁇ 10 20 cm ⁇ 3 .
  • the HCMOS device (Heterostructure CMOS device) of this embodiment is characterized in that a SiGeC layer is used.
  • a SiGeC layer is used.
  • the band gap amount and lattice misfit with respect to silicon can be changed.
  • the following description will discuss in detail the relationship between the composition rates of Si, Ge and C and each of the strains and band offset amounts of the layers in the first embodiment.
  • FIG. 2 shows how the lattice misfit (%) between the SiGeC layer and the Si layer changes with the concentrations (%) of C and Ge respectively shown on the axis of abscissa and the axis of ordinate.
  • the misfit zero line represents that the SiGeC layer and the Si layer are equal in lattice constant to each other.
  • the lattice constant of a single crystal of Ge (germanium) is greater than that of a single crystal of Si
  • the lattice constant of a single crystal of C (carbon) is smaller than that of a single crystal of Si. Accordingly, by adjusting the composition rates of Ge and C, the lattice constant of the SiGeC layer 14 n can be made equal to that of the Si layer 13 n.
  • FIG. 3 is a characteristic view illustrating the relationship between the composition rates of three elements Si, Ge, C and lattice fit.
  • the three apexes represent the points where the respective concentrations of Si, Ge, C are equal to 100% (the composition rates are equal to 1).
  • FIG. 3 shows how the lattice misfit with respect to Si changes by adjusting the composition of three-element mixed crystal of the SiGeC layer.
  • the hatched zone is a composition zone which gives tensile strain to the SiGeC layer
  • the solid line shows the conditions of composition of the respective elements under which the lattice misfit between the SiGeC layer and the Si layer is zero or under which the SiGeC layer and the Si layer are fitted in lattice for each other.
  • the lattice constant of Ge is greater by 4.2% than that of Si, and the lattice constant of C is smaller by 34.3% than that of Si. Accordingly, by increasing the composition rate of Ge by 8.2 times of the composition rate of C, the lattice constant of the SiGeC layer can be agreed with the lattice constant of the Si layer.
  • FIG. 4 shows how the band offset value ⁇ Ec of the conduction band and the band offset value ⁇ Ev of the valence band at the interface between the SiGeC layer and the Si layer, are changed with the composition rate of C and the energy level respectively shown on the axis of abscissa and the axis of ordinate.
  • the black circles show the band offset values ⁇ Ev of the valence band and the white circles show the band offset values ⁇ Ec of the conduction band.
  • the original point of the energy is set to the energy value at the lower end of the conduction band of Si for the conduction band, and to the energy value at the upper end of the valence band of Si for the valence band.
  • the solid lines correspond to the layer in which no strain is induced, while the dotted lines correspond to the layer in which tensile strain is induced.
  • the band offset values of the conduction and valence bands at the respective interfaces between the SiGeC layer (in which the composition rate of C is equal to 0.01) and the Si layer are respectively 300 meV and 0 meV, and that at the interface between the SiGeC layer and the Si layer, a discontinuous portion is not formed in the valence band, but is formed only in the conduction band.
  • the composition rate of C is equal to 0.01. Accordingly, the SiGeC layer 14 n and the Si layer 13 n are fitted in lattice for each other.
  • a discontinuous portion is not formed in the valence band at the interface between the SiGeC layer 14 n and the Si layer 13 n . Accordingly, positive holes cannot be confined in the SiGeC layer 14 n . Therefore, the PMOS transistor using positive holes as carriers, utilizes a heterojunction between the SiGe layer 15 p and the Si layer 17 p .
  • the lattice constant of the single crystal of SiGe is greater than that of the single crystal of Si, and the SiGe layer 15 p is located above the SiGeC layer 14 p fitted in lattice for the Si layer 13 p . Accordingly, the band offset value in the valence band is large because of changes in band structure due to compressive strain.
  • the NMOS transistor is arranged such that, by adjusting the composition rates of the respective elements Si, Ge, C of the SiGeC layer 14 n , the SiGeC layer 14 n can be fitted in lattice for the Si layer 13 n while maintaining the band offset value of the conduction band at a value sufficient to accumulate two-dimensional electron gas.
  • the NMOS transistor can achieve a high-speed operation utilizing the high carrier mobility of the two-dimensional electron gas in the SiGeC layer, yet providing a high reliability by reducing the defect density.
  • the Ge concentration is equal to 8.2% and the C concentration is equal to 1%.
  • the composition rate of C is preferably in the range from 0.01 to 0.03.
  • the Ge concentration in the SiGe layer 15 p is set to 30%. To increase the band offset value, however, the Ge concentration may be increased to increase the compressive strain.
  • the HCMOS device is formed on a Si substrate, the HCMOS device may be used for a circuit in which high speed is required, while a usual CMOS device formed on an active region having a single composition of Si may be used for other circuit.
  • the HCMOS device having the arrangement above-mentioned can be integrated with a MOS field-effect transistor directly formed on a Si substrate.
  • p- and n-type transistors are not necessarily formed on the same substrate. Accordingly, the following measure may be taken, for example, for an integrated circuit used for a mobile communication device.
  • an amplifier, a mixer or the like used in a high frequency region in which a high-speed operation is required is not required to form a complementary circuit. Therefore, such an amplifier, a mixer or the like is formed of a MOS transistor using SiGeC of only one of the p- and n-types (for example, the n-type).
  • a component which is arranged to execute a digital signal processing and which is required to form a complementary circuit may be formed of a CMOS device using a single composition of Si.
  • FIG. 5 ( a ) to FIG. 5 ( f ) are section views illustrating an example of the process for producing the HCMOS device shown in FIG. 1.
  • the p- and n-wells 11 , 12 are formed, by ion injection, on the silicon substrate 10 .
  • the Si layer 13 including the ⁇ doped layer, the SiGeC layer 14 (Ge: 8.2%, C: 1%), the SiGe layer 15 and the Si layer 17 are grown on the wells 11 , 12 using a UHV-CVD method.
  • the ⁇ doped and spacer layers which are actually formed, are omitted in FIG. 5 for convenience' sake.
  • a groove for trench isolation is formed and then embedded with a silicon oxide layer, thus forming the trench isolation 20 .
  • This divides the Si layer 13 , the SiGeC layer 14 , the SiGe layer 15 and the Si layer 17 n into (i) the Si layer 13 n , the SiGeC layer 14 n , the SiGe layer 15 n and the Si layer 17 n for the NMOS transistor and (ii) the Si layer 13 p , the SiGeC layer 14 p , the SiGe layer 15 p and the Si layer 17 p for the PMOS transistor. Then, the surfaces of the Si layers 17 n , 17 p are oxidized to form the gate insulating layers 19 n , 19 p.
  • a polysilicon layer is deposited on the whole surface of the substrate and then patterned to form the gate electrodes 18 n , 18 p on the gate insulating layers 19 n , 19 p of the NMOS and PMOS transistors.
  • the gate electrodes 18 n , 18 p used as a mask, phosphorous ions (P+) are injected to form the source-drain layers 16 n at the NMOS transistor side and boron ions (B+) are injected to form the source-drain layers 16 p at the NMOS transistor side.
  • the depth of the source-drain layers 16 n of the NMOS transistor is deeper than at least the carrier accumulation layer in the SiGeC layer 14 n
  • the depth of the source-drain layers 16 p of the PMOS transistor is deeper than at least the carrier accumulation layer in the SiGe layer 15 p . This is because channels are respectively formed in the carrier accumulation layers in the SiGeC layer 14 n and the SiGe layer 15 n.
  • openings are formed in those portions of the gate insulating layers 19 n , 19 p above the source-drain layers 16 n , 16 p.
  • the source-drain electrodes 21 n , 21 p are formed at the openings in the gate insulating layers 19 n , 19 p.
  • HCMOS device comprising the NMOS and PMOS transistors.
  • the production method of the first embodiment different channels are required to be formed in the NMOS and PMOS transistors.
  • the crystals can simultaneously be grown for both the NMOS and PMOS transistors.
  • the HCMOS device can readily be produced.
  • a field-effect transistor is formed using a SiGeC layer fitted in lattice for silicon.
  • the second embodiment provides a transistor in which, within the range where the crystallinity is not deteriorated, strain is positively induced in a SiGeC layer and changes in band structure due to such strain are utilized.
  • the second embodiment provides an HCMOS device in which the PMOS and NMOS transistors according to the first embodiment in FIG. 1 are realized in a single transistor.
  • FIG. 6 ( a ) to FIG. 6 ( c ) are views respectively illustrating a crystal structure in which compressive strain is induced in the SiGeC layer, a crystal structure in which the SiGeC layer is fitted in lattice for the Si layer (with no strain induced) and a crystal structure in which tensile strain is induced in the SiGeC layer.
  • FIG. 6 ( a ) when the lattice constant of the SiGeC layer is larger than that of the Si layer, compressive strain is induced in the SiGeC layer to increase the band gap value between the lower end of the conduction band and the upper end of the valence band in the SiGeC layer.
  • FIG. 6 ( a ) to FIG. 6 ( c ) are views respectively illustrating a crystal structure in which compressive strain is induced in the SiGeC layer, a crystal structure in which the SiGeC layer is fitted in lattice for the Si layer (with no strain induced) and a crystal structure in
  • FIG. 7 ( a ) and FIG. 7 ( b ) are, respectively, a view of band structure and a section view of a channel region of the field-effect transistor of the second embodiment.
  • the tensile strain in the SiGeC layer 14 n increases the band offset value in the conduction band at the interface between the SiGeC layer 14 n and the Si layer 13 n . This improves the efficiency at which two-dimensional electron gas (2DEG) is confined.
  • the lattice constant of the top thereof is identical with the lattice constant of the Si layer 13 n . Accordingly, when a SiGe layer 15 p is grown on the SiGeC layer 14 n , compressive strain is induced in the SiGe layer 15 p because the lattice constant of the SiGe layer 15 p is larger than that of the Si layer 13 n.
  • tensile strain is induced in the SiGeC layer 14 n and compressive strain is induced in the SiGe layer 15 p .
  • the band offset value of the conduction band at the interface between the SiGeC layer 14 n and the Si layer 13 n is large, and the band offset value of the valence band at the interface between the SiGe layer 15 p and the Si layer 17 p is large.
  • this transistor is used as an NMOS transistor, a channel formed in the SiGeC layer 14 n may be utilized.
  • this transistor is used as a PMOS transistor, a channel formed in the SiGe layer 15 p may be utilized.
  • there can be formed an HCMOS device having channels different in position, while having a common gate electrode and common source-drain regions.
  • the broken lines in FIG. 4 show the composition in which tensile strain of 0.25% is induced in the SiGeC layer 14 n in the second embodiment.
  • the composition rate of Ge in the SiGeC layer is 8.2 times of the composition rate of C
  • the SiGeC layer is fitted in lattice for the Si layer.
  • the composition rate of Ge is smaller than 8.2 times of the composition rate of C
  • tensile strain can be induced in the SiGeC layer 14 n .
  • the composition rate of C is set to y.
  • the lattice constant of the SiGeC layer 14 n can be made smaller by 0.25% than that of the Si layer 13 n.
  • the band offset value can be made greater than in the non-strain type with the same C concentration. It is therefore possible to comply with a circuit requiring a greater band offset value.
  • the second embodiment is arranged such that the lattice constant of SiGeC is smaller than that of Si.
  • the thickness of the SiGeC layer is set such that no lattice relaxation is induced and strain is accumulated, there is no possibility of the transistor being lowered in reliability due to crystal defect such as dislocation or the like.
  • each field-effect transistor there is formed, at the channel region of each field-effect transistor, a heterostructure in which the SiGeC layer is fitted in lattice for the Si layer, and electrons or positive holes are confined in the band discontinuous portion at the heterointerface such that the electrons or positive holes are used as carriers.
  • a transistor in which a carrier confining region is not formed at a heterointerface, and in which a quantum well structure of Si/SiGeC or Si/SiGe/Si is formed such that a quantum well (SiGeC, SiGe) held by and between barrier layers serves as a channel.
  • FIG. 8 is a section view of an HCMOS device according to the third embodiment.
  • This HCMOS device has a CMOS-device structure in which NMOS and PMOS transistors are formed on a Si substrate 30 .
  • This structure in FIG. 8 is the same as that of the HCMOS device in FIG. 1 in that a p-well 31 and an n-well 32 are formed on the Si substrate 30 and that there are respectively formed, on the p- and n-wells 31 , 32 , first Si layers 33 n , 33 p each having a ⁇ doped layer doped with a V-family element in high concentration.
  • the PMOS and NMOS transistors on the first Si layers 33 n , 33 p are different from those in the first embodiment.
  • a SiGeC layer 34 n having such a composition as to fit in lattice for the first Si layer 33 n is formed on the first Si layer 33 n , and a second Si layer 35 n is laminated on the SiGeC layer 34 n .
  • a quantum well region (SiGeC layer 34 n ) held by and between two band discontinuous portions is present in the conduction band extending over the first Si layer 33 n , the SiGeC layer 34 n and the second Si layer 35 n .
  • a carrier accumulation layer for confining two-dimensional electron gas (2DEG) serving as a carrier is formed in the SiGeC layer 34 n which is a quantum well region (See the band illustration at the right hand in FIG. 8). More specifically, a channel is formed in the SiGeC layer 34 n when the NMOS transistor is operated. A thin SiGe layer 36 n and a third Si layer 37 n are successively formed on the second Si layer 35 n.
  • the third embodiment is improved in carrier confining efficiency as compared with the first embodiment and can be achieved using a layer small in mixed-crystal ratio. This restrains factors of deteriorating the mobility of electrons serving as a carriers. The factors include carrier scattering due to the deterioration in regularity of the crystal structure resulting from mix-crystallization.
  • the PMOS transistor is the same as the NMOS transistor in that there are successively formed, on the first Si layer 33 p , a SiGeC layer 34 p having such a composition as to fit in lattice for the first Si layer 33 p , a second Si layer 35 p , a thin SiGe layer 36 p and a third Si layer 37 p .
  • a quantum well region (SiGe layer 36 p ) held by and between two band discontinuous portions in the valence band extending over the second Si layer 35 p , the SiGe layer 36 p and the third Si layer 37 p , and there is formed, in the quantum well region, a carrier accumulation layer for two-dimensionally confining positive holes serving as carriers. More specifically, when the PMOS transistor is operated, a channel is formed in the SiGe layer 36 p . In the SiGe layer 36 p , too, the mobility of positive holes is higher than in the Si layer. This enables the PMOS transistor to be operated at a high speed.
  • gate insulating layers 39 n , 39 p comprising silicon oxide layers are formed on the substrate, and gate electrodes 38 n , 38 p are formed on the gate insulating layers 39 n , 39 p .
  • Source-drain layers 42 n , 42 p are formed at the both sides of the gate electrodes 38 n , 38 p , and source-drain electrodes 41 n , 41 p come in contact with the tops of the source-drain layers 42 n , 42 p .
  • trench isolation 40 formed by embedding an isolation groove with a silicon oxide layer. This trench isolation 40 electrically separates the NMOS and PMOS transistors from each other.
  • the HCMOS device of the third embodiment has the SiGeC layer 34 n which is fitted in lattice for the Si layer and which serves as a quantum well region, and there is formed, in the SiGeC layer 34 n , a channel in which electrons travel.
  • the SiGe layer 36 p serving as a quantum well region is formed in the PMOS transistor and there is formed in the SiGe layer 36 p a channel in which positive holes travel. Accordingly, a high-performance HCMOS can be achieved by integrating the NMOS and PMOS transistors each high in switching speed using a quantum well structure high in carrier confining efficiency.
  • the HCMOS device may be used for a circuit in which high speed of a transistor is required, while a usual CMOS device formed on a Si substrate may be used for other circuit. Also, the HCMOS device can be integrated with a MOS field-effect transistor directly formed on a Si substrate.
  • the channels of both the NMOS and PMOS transistors are not necessarily formed in the quantum well regions.
  • FIG. 9 ( a ) to FIG. 9 ( f ) are section views illustrating an example of a production process for embodying the HCMOS device shown in FIG. 8.
  • each of the SiGeC layer 34 and the SiGe layer 36 is made not greater than 10 nm, for example 3 nm, such that each of the layers 34 , 36 serves as a quantum well structure.
  • Other portions are formed at steps similar to those shown in FIG. 5 ( a ) to FIG. 5 ( f ).
  • the p-well 31 and the n-well 32 are formed on the Si substrate 30 by ion injection.
  • a trench isolation groove is formed and then embedded with a silicon oxide layer for forming the trench isolation 40 .
  • the surfaces of the third Si layers 37 n , 37 p are oxidized to form the gate insulating layers 39 n , 39 p.
  • the gate electrodes 38 n , 38 p are formed.
  • the source-drain regions 42 n are formed by injection of phosphorous ions (P+) for the NMOS transistor
  • the source-drain regions 42 p are formed by injection of boron ions (B+) for the PMOS transistor. It is sufficient that the depth of the source-drain regions 42 n of the NMOS transistor is deeper than the depth of at least the SiGeC layer 34 n and that the depth of the source-drain regions 42 p of the PMOS transistor is deeper than the depth of at least the SiGe layer 36 p . This is because channels are respectively formed in the SiGeC layer 34 n and the SiGe layer 36 p.
  • the gate insulating layers 39 n , 39 p are patterned to form openings in those portions of the gate insulating layers 39 n , 39 p above the source-drain regions 42 n , 42 p.
  • the source-drain electrodes 41 n , 41 p are formed at the openings thus formed.
  • the production method of the third embodiment there is readily formed an HCMOS device in which the channel in the NMOS transistor is formed by the SiGeC layer 34 n of the quantum well structure utilizing a heterojunction, and in which the channel in the PMOS transistor is formed by the SiGeC layer 36 p of the quantum well structure utilizing a heterojunction. Further, according to the production method of the third embodiment, different channels are required to be formed for the NMOS and PMOS transistors. However, crystals can simultaneously be grown for both the NMOS and PMOS transistors. Thus, the HCMOS device can readily be produced.
  • FIG. 10 is a section view illustrating the structure of a field-effect transistor according to a fourth embodiment of the present invention.
  • This fourth embodiment provides a structure having a source-drain contact suitable for a heterojunction field-effect transistor.
  • FIG. 10 there are formed, on a well 51 made of a Si layer, a SiGe buffer layer 52 , a ⁇ doped layer 53 , a spacer layer 54 , an n-channel layer 67 , an i-Si layer 55 , an i-Si 1-x Ge x layer 56 , an i-Si layer 57 and a gate insulating layer 58 .
  • a gate electrode 65 is formed on the gate insulating layer 58 .
  • Source-drain contact W layers 61 and Al source-drain electrodes 63 are successively formed on the i-Si 1-x Ge x layer 56 at both lateral sides of the gate electrode 65 .
  • source-drain regions 59 are formed as extending over a portion of the SiGe buffer layer 52 , the ⁇ doped layer 53 , the spacer layer 54 , the n-channel layer 67 , the i-Si layer 55 , the i-Si 1-x Ge x layer 56 and the i-Si layer 57 .
  • a first insulating layer 66 is embedded in the space between the gate electrode 65 and the Al source-drain electrodes 63 .
  • the Ge concentration is increased in the vertical direction from the lower end of the layer 52 toward the upper end thereof.
  • the SiGe buffer layer 52 has a thickness sufficient to relax the SiGe mixed crystal in lattice and therefore has a lattice constant larger than that of Si.
  • an n-channel can be formed on the SiGe buffer layer 52 .
  • the Ge concentration in the SiGe buffer layer 52 changes, for example, from 0% to 30% continuously or gradually in steps of thin layer portions. At this time, provision is made such that lattice relaxation occurs in each layer portion and that the lattice constant of the SiGe buffer layer 52 at its top layer portion is identical with the lattice constant of the original single crystal Si 0.7 Ge 0.3 .
  • the object of changing the concentration in the vertical direction of the layer 52 is to minimize the influence of a crystal defect exerted on a channel thereon, the crystal defect including dislocation or the like caused by lattice relaxation.
  • the entire thickness of the SiGe buffer layer 52 is required to be about 1 ⁇ m.
  • the spacer layer 54 made of SiO 0.7 Ge 0.3 including no impurity.
  • a carrier accumulation layer is formed at a discontinuous portion of a conduction band which is formed at the heterointerface between the spacer layer 54 and the i-Si layer 55 thereon. This carrier accumulation layer serves as the n-channel 67 in which electrons are two-dimensionally confined.
  • the ⁇ doped layer 53 is a layer doped with a V-family element such as P or As in high concentration for supplying electrons serving as carriers to the n-channel 67 .
  • the spacer layer 54 on the ⁇ doped layer 53 is composed of Si 0.7 Ge 0.3 doped with no impurity, and spatially separates the carrier electrons in the n-channel 67 from the ions in the ⁇ doped layer 53 from each other. This lowers the scattering of the carrier electrons by the ions, thus improving the mobility.
  • the spacer layer 54 is increased in thickness, the carrier scattering effect by ionized impurity is lowered.
  • the thickness of the spacer layer 54 is preferably set to about 3 nm.
  • the i-Si 1-x Ge x layer 56 and the i-Si layer 57 form a level difference in the valence band at the heterointerface to form a p-channel 68 .
  • x is preferably set to about 0.7.
  • the gate insulating layer 58 insulates the gate electrode 65 from the semiconductor layer therebelow to lower the gate leakage current, thus enabling the transistor to be lowered in power consumption.
  • An oxide layer formed by oxidizing the i-Si 1-x Ge x layer 56 is water-soluble and unsteady. Therefore, in the SiGe field-effect transistor, too, a silicon oxide layer is preferably used as a gate insulating layer. In a Si heterojunction MOS device, therefore, the semiconductor layer immediately below the gate insulating layer is preferably a Si layer.
  • each of the field-effect transistors according to the fourth embodiment comprises a channel region formed by the lamination layers above-mentioned, the source-drain regions 59 shown by the broken lines in FIG. 10, the Al source-drain electrodes 63 for introducing and taking out an electric current for operating the transistor, and the gate electrode 65 for applying a voltage for controlling the electric current.
  • this field-effect transistor is to be used as an n-channel field-effect transistor, a voltage is applied to the gate electrode 65 such that the n-channel 67 is formed.
  • this field-effect transistor is to be used as a p-channel field-effect transistor, a voltage is applied to the gate electrode 65 such that the p-channel 68 is formed.
  • the fourth embodiment is characterized by comprising channel regions, source-drain regions and source-drain contact layers.
  • the channel regions comprise a first semiconductor layer including a Si 1-x-y Ge x C y layer (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1), a second semiconductor layer different in band gap from the first semiconductor layer, and a carrier accumulation layer formed in the vicinity of the interface between the first and second semiconductor layers.
  • the source-drain regions comprise a third semiconductor layer and a fourth semiconductor layer greater in band gap than the third semiconductor layer.
  • the source-drain contact layers are made of conductive layers which are low in resistance and which are formed immediately above the third semiconductor layer.
  • the SiGe buffer layer 52 serves as the second semiconductor layer
  • the i-Si 1-x Ge x layer 56 serves as the third semiconductor layer
  • the i-Si layer 57 serves as the fourth semiconductor layer greater in band gap than the i-Si 1-x Ge x layer 56
  • the source-drain contact W layers 61 are formed immediately above the i-Si 1-x Ge x layer 56 serving as the third semiconductor layer.
  • the i-Si layer 57 serves as the second semiconductor layer and also as the fourth semiconductor layer greater in band gap than the third semiconductor layer
  • the source-drain contact W layers 61 are formed immediately above the i-Si 1-x Ge x layer 56 serving as the third semiconductor layer.
  • this embodiment is arranged such that those regions of the substrate which come in contact with the Al source-drain electrodes 63 , are formed in a semiconductor layer which is smaller in band gap out of the semiconductor layers for forming a channel.
  • the fourth embodiment is arranged such that, at the heterointerface between the i-Si layer 57 and the i-Si 1-x Ge x layer 56 for forming the p-channel, the source-drain contact W layers 61 are formed immediately above the i-Si 1-x Ge x layer 56 smaller in band gap. As compared with the arrangement in which the source-drain contact W layers 61 are formed immediately above the uppermost semiconductor layer or i-Si layer 57 , this reduces the contact resistance, enabling the transistor to be operated at a high speed with lower power consumption.
  • the SiGe layer is grown for forming source-drain electrode contacts.
  • the contacts are formed on the SiGe layer for channel formation as done in the fourth embodiment, it is not required to newly grow a SiGe crystal to improve the productivity, as will be apparent in the transistor producing method to be discussed later.
  • the HCMOS device may be used for a circuit in which high speed of a transistor is required, while a usual CMOS device formed on a Si substrate may be used for other circuit.
  • CMOS device can be integrated with a MOS field-effect transistor directly formed on a Si substrate.
  • FIG. 11 ( a ) to FIG. 11 ( e ) and FIG. 12 ( a ) to ( e ) are section views illustrating an example of the steps of producing the field-effect transistor shown in FIG. 10.
  • the p- and n-wells 51 n , 51 p serving as the groundworks of NMOS and PMOS transistors are formed on a silicon substrate 50 by ion injection.
  • the substrate is cleaned using an RCA cleaning method or the like, thereby to remove impurity on the surface. Thereafter, the surface oxide layer is removed and the substrate is then inserted into an epitaxial growth apparatus. In a vacuum, the substrate is heated to obtain a clean surface. Through epitaxial growth, semiconductor layers for forming a channel region are formed on the clean surface.
  • These semiconductor layers include the SiGe buffer layer 52 , the ⁇ doped layer 53 , the spacer layer 54 , the n-channel 67 , the i-Si layer 55 , the i-Si 1-x Ge x layer 56 , the p-channel 68 , the i-Si layer 57 and the like.
  • the ⁇ doped layer 53 , the spacer layer 54 , the n-channel 67 and the p-channel 68 are not shown. The following description will discuss how the respective semiconductor layers are formed.
  • a method of growing semiconductor layers there can be used an MBE method using a solid source and a UHV-CVD method using a gas source.
  • the atmosphere in the apparatus is first brought to a super-low gas pressure (about 10 ⁇ 10 Torr).
  • a source necessary for crystal growth is introduced into the vacuum container, a crystal is grown in a vacuum when the degree of vacuum reaches about 10 ⁇ 5 ⁇ 10 ⁇ 6 Torr.
  • the substrate temperature is set to about 500 ⁇ about 700° C. for growth of semiconductor crystal layers. Changes in substrate temperature exert an influence upon the crystal quality such as changes in composition rate of a single semiconductor crystal layer. Accordingly, the substrate temperature is basically not changed while a single layer is being grown. When the substrate temperature becomes not less than 800° C., interdiffusion of Ge and Si occurs. This is disadvantageous in view of deterioration in sharpness and strain relaxation to deteriorate the channel characteristics. Therefore, the growth temperature is set to not greater than 700° C. as mentioned earlier.
  • Crystal growth is conducted by introducing source gas necessary for crystal growth into a vacuum container brought to a super-low gas pressure.
  • the source gas for crystal growth disilane is used for growth of a Si layer.
  • germane is used as a Ge source gas in addition to the source gas such as disilane for growing a Si layer.
  • the gas flow amount is adjusted such that the degree of vacuum is in the range of about 10 ⁇ 5 ⁇ about 10 ⁇ 6 Torr.
  • a dopant gas such as arsine or phosphine is introduced into the vacuum container together with disilane and germane.
  • the transistor is deteriorated in characteristics. Accordingly, after the dopant gas is introduced into the vacuum container, the supply of the source gas is once stopped. After the degree of vacuum is sufficiently lowered, the gas for growing the spacer layer 54 is then introduced for growing the spacer layer 54 . Provision is made such that the spacer layer 54 has a uniform composition of Si 0.7 Ge 0.3 . The spacer layer 54 is grown with the disilane and germane flow amounts fixed.
  • disilane and germane are again introduced into the growth chamber for growing the i-Si 1-x Ge x layer 56 .
  • the Ge concentration is set to 70%.
  • the supply of the source gas is once stopped. Then, after the degree of vacuum is sufficiently lowered, only disilane is introduced into the growth chamber for growing the i-Si layer 57 .
  • the substrate is taken out from the UHV-CVD apparatus and introduced into a thermal oxidation furnace where the surface of the uppermost i-Si layer 57 is oxidized to form the gate insulating layer 58 made of a silicon oxide layer.
  • gate electrodes 65 n , 65 p are formed on the gate insulating layer 58 .
  • the gate electrodes 65 n , 65 p are formed in a manner similar to that in a CMOS device of prior art. More specifically, a polysilicon layer is deposited and, after impurity is introduced by ion injection, the polysilicon layer is patterned to form the gate electrodes 65 n , 65 p by dry-etching. Ions of boron fluoride (BF 2+) can be used as impurity ions.
  • boron fluoride boron fluoride
  • impurity ions serving as a dopant are injected into the substrate to form the source-drain regions 59 n , 59 p .
  • etching is conducted to remove the oxide layer exposed onto the substrate for forming contacts.
  • the ion accelerating voltage is selected such that the peak of impurity distribution is located in the contact layers which come in contact with source-drain electrodes.
  • impurity ions to be injected ions of arsenic (As +) or phosphorous (P +) of the n-type impurity are used for the NMOS transistor region, and boron ions (B +) of the P-type impurity are used for the PMOS transistor region. It is therefore required to conduct, using respectively different masks, ion injection for forming the source-drain regions 59 n for the NMOS transistor and ion injection for forming the source-drain regions 59 p for the PMOS transistor.
  • an annealing processing is executed for activating the impurity. It is however preferable to execute RTA (rapid thermal annealing) for a short period of time (30 seconds) at about 1000° C. such that no interdiffusion of Si and Ge occurs at the heterointerface and that no defect is induced in a crystal in the course of relaxation of strain present in the Si/SiGe type.
  • RTA rapid thermal annealing
  • a photoresist mask (not shown) is formed again on the substrate and the region between the NMOS transistor forming region and the PMOS transistor forming region is excavated in depth deeper than at least the channel region, thus forming a transistor isolation groove 71 .
  • a first insulating layer 72 is deposited on the entire surface of the substrate including the groove 71 .
  • a TEOS layer or the like which can be formed at a temperature of not greater than 500° C. using a plasma CVD method.
  • a trench isolation 73 is formed by the insulating layer embedded in the groove 71 .
  • the source-drain contacts which constitute a characteristic feature of the fourth embodiment, are then formed in the following steps.
  • the steps of forming the structure in FIG. 10 are not limited to the following steps.
  • i-Si 1-x Ge x layers 56 n , 56 p are selected as the specific semiconductor layer serving as the ground, and the substrate is etched until the i-Si 1-x Ge x layers 56 n , 56 p are exposed.
  • wet-etching high in selectivity.
  • the wet-etching is poor in anisotropy and is not suitable for micro-machining.
  • an etchant which hardly etches the i-Si 1-x Ge x layers 56 n , 56 p but selectively etches the i-Si layers 57 n , 57 p .
  • the i-Si layers 57 n , 57 p are removed and the i-Si 1-x Ge x layers 56 n , 56 p are exposed.
  • portions of the i-Si 1-x Ge x layers 56 n , 56 p may be removed due to over-etching.
  • the i-Si 1-x Ge x layers 56 n , 56 p are formed through epitaxial growth for forming an n-channel in the channel region of the NMOS transistor. Accordingly, the fourth embodiment eliminates a step of growing new i-Si 1-x Ge x layers 56 n , 56 p for forming contacts low in resistance using SiGe layers.
  • a metallic layer low in resistance is deposited on the exposed i-Si 1-x Ge x layers 56 n , 56 p .
  • tungsten (W) is used as the metallic material of the metallic layer, there can be formed contacts extremely low in resistance.
  • source-drain contact W layers 61 n , 61 p are selectively grown on the i-Si 1-x Ge x layers 56 n , 56 p using, as a source gas, gas obtained by diluting WF6 by hydrogen.
  • the substrate is subjected to sputtering to cause an Al alloy layer to be deposited on the whole surface of the substrate, and is then patterned to form Al source-drain electrodes 63 n , 63 p .
  • contacts low in resistance can be formed on the source-drain regions.
  • a Si heterojunction MOS device uses a silicon oxide layer as a gate insulating layer. Accordingly, the uppermost semiconductor layer is preferably a Si layer having a great band gap.
  • the technology of forming a contact metallic layer after a semiconductor layer has been removed as done in the fourth embodiment, is particularly suitable for forming a Si heterojunction MOS device.
  • a channel structure using a heterojunction of Si and SiGe there is taken, as a representative example, a channel structure using a heterojunction of Si and SiGe.
  • the invention in which contacts low in resistance are formed in source-drain regions of an HCMOS device, is not limited to the fourth embodiment.
  • a channel formed between Si and a heteroepitaxial lamination layer having a structure other than the lamination structure of SiGe in the fourth embodiment there may be used a channel formed between Si and a mixed crystal semiconductor of Si 1-x-y Ge x C y (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1).
  • Si 1-x-y Ge x C y a mixed crystal semiconductor of Si 1-x-y Ge x C y (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1).
  • two kinds of semiconductors different in band gap are always required. Accordingly, the formation of such a contact layer low in resistance is effective.
  • FIG. 13 is a section view of an HCMOS device according to a fifth embodiment in which metallic contact layers low in resistance are formed in the structure shown in FIG. 1.
  • the HCMOS device of the fifth embodiment has source-drain contact W layers 25 n , 25 p on SiGe layers 15 n , 15 p.
  • the fifth embodiment has the following characteristic feature in addition to the characteristic features of the first embodiment. That is, the fifth embodiment comprises channel regions, source-drain regions and source-drain contact layers.
  • the channel regions comprise a first semiconductor layer including a Si 1-x-y Ge x C y layer (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1), a second semiconductor layer different in band gap from the first semiconductor layer, and a carrier accumulation layer formed in the vicinity of the interface between the first and second semiconductor layers.
  • the source-drain regions comprise a third semiconductor layer and a fourth semiconductor layer greater in band gap than the third semiconductor layer.
  • the source-drain contact layers are made of conductive layers which are low in resistance and which are formed immediately above the third semiconductor layer.
  • a SiGeC layer 14 n serves as the first semiconductor layer including a Si 1-x-y Ge x C y layer (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1), a Si layer 13 n serves as the second semiconductor layer, the SiGe layer 15 n serves as the third semiconductor layer, a Si layer 17 n serves as the fourth semiconductor layer greater in band gap than the SiGe layer 15 n , and the source-drain contact W layer 25 n is formed immediately above the SiGe layer 15 n serving as the third semiconductor layer.
  • this embodiment is arranged such that those regions (source-drain contact W layers 25 n , 25 p ) of the substrate which come in contact with the Al source-drain electrodes 21 n , 21 p , are formed in a semiconductor layer which is smaller in band gap out of the semiconductor layers for forming a channel. This reduces the contact resistance as compared with the arrangement in which the contacts are formed immediately above the uppermost Si layers 17 n , 17 p . Thus, the device can be operated at a high speed with lower power consumption.
  • the source-drain contact W layers 25 n , 25 p made of tungsten (W) are so formed as to come in contact with the SiGe layers 15 n , 15 p .
  • the source-drain contact W layers 25 n , 25 p are extremely low in contact resistance.
  • the fifth embodiment can be arranged to lower the contact resistance, yet producing the effects produced by the first embodiment.
  • FIG. 14 is a section view of an HCMOS device according to a sixth embodiment in which metallic contact layers low in resistance are formed in the structure shown in FIG. 8.
  • the HCMOS device has source-drain contact W layers 45 n , 45 p on SiGe layers 36 n , 36 p which are quantum well regions.
  • the sixth embodiment has the following characteristic feature in addition to the characteristic features of the third embodiment. That is, the sixth embodiment comprises channel regions, source-drain regions and source-drain contact layers.
  • the channel regions comprise a first semiconductor layer including a Si 1-x-y Ge x C y layer (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1), a second semiconductor layer different in band gap from the first semiconductor layer, and a carrier accumulation layer formed in the vicinity of the interface between the first and second semiconductor layers.
  • the source-drain regions comprise a third semiconductor layer and a fourth semiconductor layer greater in band gap than the third semiconductor layer.
  • the source-drain contact layers are made of conductive layers which are low in resistance and which are formed immediately above the third semiconductor layer.
  • a SiGeC layer 34 n which is a quantum well region, serves as the first semiconductor layer including a Si 1-x-y Ge x C y layer (0 ⁇ x ⁇ 1, 0 ⁇ y ⁇ 1), a first Si layer 33 n serves as the second semiconductor layer, the SiGe layer 36 n which is a quantum well region serves as the third semiconductor layer, a third Si layer 37 n serves as the fourth semiconductor layer greater in band gap than the SiGe layer 36 n , and the source-drain contact W layer 45 n is formed immediately above the SiGe layer 36 n serving as the third semiconductor layer.
  • the sixth embodiment is arranged such that those regions (source-drain contact W layers 45 n , 45 p ) of the substrate which come in contact with the Al source-drain electrodes 41 n , 41 p , are formed in a semiconductor layer which is smaller in band gap out of the semiconductor layers for forming a channel. This reduces the contact resistance as compared with the arrangement in which the contacts are formed immediately above the Si layers 37 n , 37 p which are the uppermost semiconductor layers. Thus, the device can be operated at a high speed with lower power consumption.
  • the source-drain contact W layers 45 n , 45 p made of tungsten (W) are so formed as to come in contact with the SiGe layers 36 n , 36 p .
  • the source-drain contact W layers 45 n , 45 p are extremely low in contact resistance.
  • the sixth embodiment can be arranged to lower the contact resistance, yet producing the effects produced by the third embodiment.
  • a field-effect transistor unit having a gate insulating layer below a gate electrode is not limited to such embodiments.
  • a field-effect transistor unit using a heterointerface instead of a heterojunction MOS structure having an insulating layer at the uppermost layer can form even a device which does not use an insulating layer but uses a Schottky junction. This enables the resistance to be lowered and is advantageous in view of a high-speed operation with low power consumption.
  • the ⁇ doped layers are formed.
  • the present invention is not limited to such an arrangement. That is, the effects of the present invention can be produced with the use of no ⁇ doped layers. Even though the ⁇ doped layers are formed, spacer layers are not necessarily required.
  • a SiGeC layer containing a trace amount of C may be formed instead of each of the SiGe layers in the first, second, third, fifth and sixth embodiments.
  • the SiGeC layers may be disposed above the SiGe layers.
  • the source-drain contact W layers may be formed immediately above the SiGeC layers in the source-drain regions.

Abstract

Si and SiGeC layers are formed in an NMOS transistor on a Si substrate. A carrier accumulation layer is formed with the use of a discontinuous portion of a conduction band present at the heterointerface between the SiGeC and Si layers. Electrons travel in this carrier accumulation layer serving as a channel. In the SiGeC layer, the electron mobility is greater than in silicon, thus increasing the NMOS transistor in operational speed. In a PMOS transistor, a channel in which positive holes travel, is formed with the use of a discontinuous portion of a valence band at the interface between the SiGe and Si layers. In the SiGe layer, too, the positive hole mobility is greater than in the Si layer, thus increasing the PMOS transistor in operational speed. There can be provided a semiconductor device having field-effect transistors having channels lessened in crystal defect.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates to a semiconductor device, and more particularly to a semiconductor device having a heterojunction field-effect transistor using a SiGeC or SiGe layer, and to a method of producing this semiconductor device. [0001]
  • Recently, high integration of a semiconductor device is under way. It is even intended to miniaturize a MOS transistor in which a gate length is below 0.1 μm. In such ultraminiaturization, however, the current driving ability is saturated due to the influence of a short channel effect or an increase in resistance component. Thus, there cannot be expected such improvements in performance that have hitherto been made. In particular, to increase the driving ability of a miniaturized MOS transistor, it is important to improve the mobility of carriers in a channel and to lower a source-drain electrode in contact resistance. [0002]
  • In view of the foregoing, there has been proposed, instead of a complementary semiconductor device (CMOS device) formed on a silicon substrate, a heterostructure CMOS device (hereinafter referred to as an HCMOS device) using Si/SiGe (mixed crystal of the IV-family elements). The HCMOS device utilizes, as a channel, the interface of a heterojunction structure of two kinds of semiconductors different in band gap from each other, instead of the Si/SiO[0003] 2 interface. By using Si/SiGe capable of providing a carrier mobility higher than that provided by Si, it is expected to achieve a transistor higher in operational speed. By controlling the composition of Si/SiGe, it is possible to form, on a Si substrate, an epitaxial growth layer having the desired amount of strain and the desired value of band gap. Ismail of the IBM company has conducted basic experiments on improvements in characteristics of an HCMOS device of the Si/SiGe type (See K.Ismail, “Si/SiGe High Speed Field-Effect Transistors”, IEDM Tech. Dig. 1995, p509 and M. A. Armstrong et al, “Design of Si/SiGe Heterojunction Complementary Metal-Oxide Semiconductor Transistors”, IEDM Tech. Dig. 1995, p761).
  • FIG. 15 is a section view of an example of this HCMOS device. As shown in FIG. 15, there is formed, on a portion of a [0004] Si substrate 101, a field-effect transistor comprising source-drain regions 109, a gate insulating layer 107 and a gate electrode 110. Formed in a so-called channel region between the source-drain regions under the gate electrode 110 are a SiGe buffer layer 102, a δ doped layer 115, a spacer layer 103, an i-Si layer 104, an i-SiGe layer 105 and an i-Si layer 106. In these layers, the SiGe buffer layer 102 gives tensile strain to the i-Si layer 104 for forming an n-channel layer 112 between the SiGe buffer layer 102 and the i-Si layer 104. In the SiGe buffer layer 102, the Ge composition rate is gradually changed such that the Ge composition rate in that portion of the layer 102 immediately above the Si substrate 101 is equal to 0%, while the Ge composition rate in the top portion of the layer 102 is equal to 30%.
  • When a negative bias voltage is applied, the n-[0005] channel layer 112 is formed on the heterointerface between the i-Si layer 104 and the SiGe buffer layer 102 thereunder. The δ doped layer 115 is arranged to supply electrons serving as carriers to the n-channel layer 112 which is formed on the δ doped layer 115. The spacer layer 103 is arranged to spatially separate the ions in the δ doped layer 115 formed below the spacer layer 103, from the n-channel layer 112 formed on the spacer layer 103, thus preventing the carrier mobility from being lowered due to ion scattering.
  • On the other hand, when a positive bias voltage is applied, a p-[0006] channel layer 111 is formed, at the side of the i-SiGe layer 105, on the heterointerface between the i-SiGe layer 105 and the i-Si layer 106 thereon. The gate insulating layer 107 is formed to insulate the gate electrode 110 from the p-channel layer 111.
  • As discussed in the foregoing, the heterojunction field-effect transistor is characterized in that a channel is formed on the heterointerface between two kinds of semiconductor layers different in band gap from each other. Accordingly, to form a channel, there are inevitably present at least two kinds of semiconductor layers different in band gap from each other. In addition, to form, in semiconductor layers, a channel in which electrons or positive holes move at a high speed, it is required to form, at the heterointerface, a discontinuous portion of a conduction or valence band. In the Si/SiGe type above-mentioned, the i-[0007] SiGe layer 105 has a discontinuous portion in the valence band with respect to the i-Si layer 106, thus forming a channel for positive holes (See the left portion of FIG. 15). However, the conduction band hardly has a discontinuous portion. Accordingly, tensile strain is induced in the i-Si layer 104 such that a discontinuous portion is formed in the conduction band at the heterointerface between i-Si layer 104 and the i-SiGe layer 105 (See the right portion of FIG. 15).
  • According to a simulation, it is estimated that, as compared with a conventional CMOS device in the same size using a Si/SiO[0008] 2 channel, the HCMOS device having the arrangement above-mentioned achieves an operation at double the speed with a half power consumption. More specifically, this is a semiconductor transistor in which a Si semiconductor is combined with a SiGe mixed crystal to form a heterointerface and in which there is formed a channel in which carriers are mobile at a high speed. Thus, attention is placed to this semiconductor transistor as a transistor capable of achieving both a high-speed operation utilizing a heterojunction and large-scale integration of a MOS device.
  • A heterojunction device utilizing a mixed crystal of the IV-family elements such as SiGe is expected as means for overcoming the functional limit of a CMOS device of prior art. Due to the difficulty in production, however, a heterojunction field-effect transistor using a mixed crystal of the IV-family elements represented by SiGe is behind in research and development as compared with a heterojunction bipolar transistor which is a heterodevice similarly using a mixed crystal such as SiGe. Thus, it cannot be stated that studies have sufficiently be made on the structure capable of providing performance as expected and on the method of producing such structure. [0009]
  • Further, in a heterojunction field-effect transistor having a so-called heterojunction MOS structure having an insulating layer between a gate electrode and a semiconductor layer as above-mentioned, a stable and good insulating layer cannot be formed in the SiGe layer. Accordingly, an oxide layer of SiO[0010] 2 is used as a gate insulating layer. It is therefore required that a Si layer is always present immediately below the gate insulating layer. However, Si is characterized in that its band gap is always greater than the band gap of SiGe. This is disadvantageous in the above-mentioned HCMOS device of prior art as set forth below.
  • Firstly, to form an electron channel on the [0011] Si substrate 101, tensile strain is induced in the i-Si layer 104 to form a band discontinuous portion at the Si/SiGe heterointerface. However, the lattice constant undergoes a change to induce dislocation due to lattice relaxation.
  • FIG. 16 is a section view illustrating the [0012] SiGe buffer layer 102 and the i-Si layer 104 thereabove, as picked out from FIG. 15. Since the i-Si layer 104 is smaller in lattice constant than the SiGe buffer layer 102, tensile strain will be accumulated at the stage of crystal growth. When such accumulation becomes great, this results in dislocation in the i-Si layer 104 as shown in FIG. 16. Thus, dislocation or line defect is inevitably induced by strain due to lattice misfit between the i-Si layer 104 and the SiGe buffer layer 102. Setting apart from the initial characteristics of a transistor utilizing such a crystal, it is considered that the reliability and life-time of the transistor are influenced by the deterioration in characteristics due to the growth of dislocation in the crystal.
  • The [0013] SiGe buffer layer 102 made of SiGe greater in lattice constant than Si is laminated on the Si substrate 101 and tensile strain is accumulated in the i-Si layer 104 which is grown on the SiGe buffer layer 102. As the thickness of the SiGe buffer layer 102 is increased, the thickness exceeds the critical thickness in which the lattice constant of the SiGe buffer layer 102 is changed from the lattice constant of Si to the original lattice constant of SiGe. This produces lattice relaxation, causing defects such as dislocation or the like to be induced also in the SiGe buffer layer 102.
  • There are instances where such defects do not exert a significant influence on the initial characteristics of the transistor. In view of long-term reliability and life-time, however, such defects involve a possibility of a serious trouble being caused. More specifically, the transistor is deteriorated due to the growth of defects by an electric current or the diffusion of metal or impurity through the defects, thus possibly causing the transistor to be lowered in reliability. [0014]
  • Secondly, a heterojunction field-effect device using a mixed crystal of the IV-family elements represented by SiGe is effective as a transistor structure capable of overcoming the performance limit of a miniaturized CMOS device of prior art. At this point of time, however, studies on optimization of the contact of each source-drain electrode have not sufficiently been done as compared with studies on improvements in channel mobility. Thus, the structure cannot take full advantage of such high-speed mobility. In the IBM's heterojunction CMOS device technology mentioned earlier, too, detailed studies have been made on improvements in mobility of a channel region, but studies have hardly been made on reduction in resistance of the contact of each source-drain electrode which is another important factor for improvement in performance of a miniaturized transistor. [0015]
  • More specifically, in a CMOS device structure using a single crystal of Si, a variety of studies have been made on the structure of the contact region of the substrate connected to a source-drain electrode. However, studies are required as to whether or not the contact region structure and its production method which are optimized for a general CMOS device, are also good for a heterojunction field-effect device different in transistor structure. [0016]
  • SUMMARY OF THE INVENTION
  • It is a first object of the present invention to provide a semiconductor device high in carrier mobility and reliability in which there is utilized, as a structure in a channel region under a gate of an HCMOS device, a heterojunction structure in lattice fit or substantially in lattice fit, yet having a band discontinuous portion where a carrier accumulation layer can be formed. [0017]
  • It is a second object of the present invention to provide a semiconductor device having contact regions low in contact resistance without excellent characteristics of a heterostructure field-effect device injured, and to provide a method of producing the semiconductor device above-mentioned. [0018]
  • To achieve the first object, the present invention provides a first semiconductor device, a second semiconductor device and a first semiconductor device producing method. [0019]
  • To achieve the second object, the present invention provides a third semiconductor device and a second semiconductor device producing method. [0020]
  • The first semiconductor device according to the present invention comprises a field-effect transistor which is formed on a portion of a semiconductor substrate and which comprises a gate electrode, source-drain regions and a channel region between the source-drain regions, and the channel region comprises: a Si layer; a Si[0021] 1-x-yGexCy layer (0≦x≦1, 0<y≦1) which is formed as coming in contact with the Si layer and in which the composition rate y of C is in the range from 0.01 to 0.03; and a carrier accumulation layer formed in that portion of the Si1-x-yGexCy layer which is adjacent to the Si layer.
  • At the interface between the Si layer and the Si[0022] 1-x-yGexCy layer in which the composition rate y of C is in the range from 0.01 to 0.03, there can be formed a band discontinuous portion required for forming a carrier accumulation layer in which carriers are two-dimensionally confined. Since this carrier accumulation layer functions as a channel, there can be obtained a field-effect transistor high in operational speed in which the Si1-x-yGexCy layer giving a higher carrier mobility than in the Si layer, serves as a channel. Further, control can be made such that lattice misfit between the Si1-x-yGexCy layer and the Si layer does not occur or is minimized. It is therefore possible to make an adjustment such that lattice strain is not induced or substantially not induced. This enables the Si1-x-yGexCy layer to be formed with no crystal defect induced therein. Thus, a highly reliable semiconductor device can be obtained.
  • According to the present invention, the composition rates of the respective elements in the Si[0023] 1-x-yGexCy layer may be adjusted such that the Si1-x-yGexCy layer and the Si layer are fitted in lattice for each other.
  • This enables a channel to be formed in the Si[0024] 1-x-yGexCy layer free from strain due to lattice misfit. Thus, a highly reliable semiconductor device can be obtained.
  • According to the present invention, provision may be made such that the Si[0025] 1-x-yGexCy layer has a lattice constant smaller than that of the Si layer and has such a thickness as to induce no lattice relaxation.
  • With the arrangement above-mentioned, tensile strain is induced in the Si[0026] 1-x-yGexCy layer. This increases the amount of a band discontinuous portion with respect to the Si layer, thus enhancing the carrier confining efficiency.
  • According to the present invention, carriers accumulated in the carrier accumulation layer may be negative. [0027]
  • According to the present invention, a carrier supply layer for supplying carriers to the carrier accumulation layer is preferably formed in that portion of the Si layer which is adjacent to the Si[0028] 1-x-yGexCy layer.
  • Preferably, the present invention is arranged such that carriers accumulated in the carrier accumulation layer are negative, that there is formed another field-effect transistor which is formed on other portion of the semiconductor substrate and which comprises a gate electrode, source-drain regions and a channel region between the source-drain regions, and that the channel region of another field-effect transistor comprises: a second Si layer; a SiGe layer formed adjacent to the second Si layer; and a second carrier accumulation layer for accumulating positive carriers, which is formed in that portion of the SiGe layer adjacent to the second Si layer. [0029]
  • With the arrangement above-mentioned, there can be obtained a semiconductor device functioning as an HCMOS device which assures a high carrier mobility in each of the n-channel and p-channel sides. [0030]
  • According to the present invention, the Si[0031] 1-x-yGexCy or SiGe layer may be a quantum well region.
  • With the arrangement above-mentioned, there can be obtained a field-effect transistor having a channel high in carrier confining efficiency. [0032]
  • According to the present invention, each of the source-drain regions may comprise a first semiconductor layer and a second semiconductor layer greater in band gap than the first semiconductor layer, and there may further be formed source-drain contact layers each of which is made of a conductive layer low in resistance and each of which is formed immediately above the first semiconductor layer. [0033]
  • With the arrangement above-mentioned, a semiconductor device low in contact resistance can be obtained even with the use of a heterojunction structure. [0034]
  • The second semiconductor device according to the present invention comprises: a field-effect transistor which is formed on a portion of a semiconductor substrate and which comprises a gate electrode; source-drain regions; and a channel region between the source-drain regions, and the channel region comprises: a first Si layer; a first Si[0035] 1-x-yGexCy layer (0≦x≦1, 0<y≦1) which is formed as coming in contact with the first Si layer; a second Si layer; a second Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1) which is formed as coming in contact with the second Si layer and which is different in band gap from the first Si1-x-yGexCy layer; and first and second carrier accumulation layers for respectively confining different conductive carriers, these first and second carrier accumulation layers being respectively formed in that portion of the first Si1-x-yGexCy layer which is adjacent to the first Si layer and in that portion of the second Si1-x-yGexCy layer which is adjacent to the second Si layer.
  • According to the arrangement above-mentioned, there can be obtained a semiconductor device functioning as an HCMOS device having n-channel and p-channel field-effect transistors each having a channel high not only in carrier confining efficiency but also in operational speed. Further, control can be made such that lattice misfit between the first Si[0036] 1-x-yGexCy layer and the first Si layer does not occur or is minimized. This enables the first Si1-x-yGexCy layer to be formed with no crystal defect induced therein. Thus, a highly reliable semiconductor device can be obtained.
  • According to the present invention, the composition rate y of C in the second Si[0037] 1-x-yGexCy layer may be equal to 0.
  • According to the present invention, there may further be disposed a MOS transistor which is formed on the semiconductor substrate and in which a semiconductor layer formed of a single element serves as a channel region. [0038]
  • With the arrangement above-mentioned, a transistor provided in the channel region thereof with the first Si[0039] 1-x-yGexCy layer may be used for a circuit requiring a high operational speed, and a usual MOS transistor may be used for other circuit, thus enabling the applicable range of the semiconductor device to be enlarged.
  • According to the present invention, provision may be made such that the first Si[0040] 1-x-yGexCy layer has a lattice constant smaller than that of the first Si layer and has such a thickness as to induce no lattice relaxation.
  • With the arrangement above-mentioned, tensile strain is induced in the first Si[0041] 1-x-yGexCy layer. This increases the amount of a band discontinuous portion with respect to the first Si layer, thus enhancing the carrier confining efficiency.
  • According to the present invention, a carrier supply layer for supplying carriers to the first carrier accumulation layer is preferably formed in that portion of the first Si layer which is adjacent to the first Si[0042] 1-x-yGexCy layer.
  • The third semiconductor device according to the present invention comprises at least one field-effect transistor formed on a semiconductor substrate, and this field-effect transistor comprises: a channel region comprising a first semiconductor layer including a Si[0043] 1-x-yGexCy layer (0≦s≦1, 0≦y≦1), a second semiconductor layer different in band gap from the first semiconductor layer, and a carrier accumulation layer formed in the vicinity of the interface between the first and second semiconductor layers; source-drain regions each comprising: a third semiconductor layer and a fourth semiconductor layer greater in band gap than the third semiconductor layer; and source-drain contact layers each of which is made of a conductive layer, each of which is low in resistance and each of which is formed immediately above the third semiconductor layer.
  • The arrangement above-mentioned can lower the resistance of a contact with respect to each source-drain region in the field-effect transistor high not only in carrier mobility but also in operational speed with the use of a heterojunction structure. [0044]
  • The present invention may be arranged such that the first semiconductor layer also serves as the third semiconductor layer, that the second semiconductor layer also serves as the fourth semiconductor layer, and that the second semiconductor layer is formed on the first semiconductor layer. [0045]
  • The present invention may be arranged such that the first and third semiconductor layers are respectively formed by different semiconductor layers, that the third semiconductor layer is formed on the first semiconductor layer, and that the fourth semiconductor layer is formed on the third semiconductor layer. [0046]
  • The first semiconductor device producing method according to the present invention, provides a method of producing a semiconductor device including an n-channel field-effect transistor and a p-channel field-effect transistor, and comprises: a first step of forming, on a semiconductor substrate, a first Si layer and a first Si[0047] 1-x-yGexCy layer (0≦x≦1, 0<y≦1) which comes in contact with the first Si layer and in which a first carrier accumulation layer serving as a channel of the n-channel field-effect transistor is formed in that portion of the first Si1-x-yGexCy layer which is adjacent to the first Si layer; a second step of forming, on the semiconductor substrate, a second Si layer and a second Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1) which comes in contact with the second Si layer, which is different in band gap from the first Si1-x-yGexCy layer and in which a second carrier accumulation layer serving as a channel of the p-channel field-effect transistor is formed in that portion of the second Si1-x-yGexCy layer which is adjacent to the second Si layer; a third step of depositing a conductive layer on the first or second Si1-x-yGexCy layer whichever is the upper, and patterning the conductive layer to form the gate electrodes of the n- and p-channel field-effect transistors; and a fourth step of introducing, with the gate electrodes of the field-effect transistors used as masks, (i) n-type impurity into the n-channel field-effect transistor forming region in depth which reaches at least the first carrier accumulation layer and (ii) p-type impurity into the p-channel field-effect transistor forming region in depth which reaches at least the second carrier accumulation layer, thus forming source-drain regions of the n- and p-channel field-effect transistors.
  • According to the method above-mentioned, the second semiconductor device of the present invention can readily be produced. [0048]
  • The second semiconductor device producing method of the present invention provides a method of producing a semiconductor device which has a first semiconductor layer including a Si[0049] 1-x-yGexCy layer (0≦x≦1, 0≦y≦1), a second semiconductor layer different in band gap from the first semiconductor layer and a carrier accumulation layer serving as a channel formed in the vicinity of the interface between the first and second semiconductor layers, and which serves as a field-effect transistor, and this second semiconductor device method comprises: a first step of successively forming, on a field-effect transistor forming region of a semiconductor substrate, a third semiconductor layer and a fourth semiconductor layer greater in band gap than the third semiconductor layer; a second step of depositing a conductive layer on the fourth semiconductor layer and patterning the conductive layer to form a gate electrode; a third step of introducing impurity into those portions of the field-effect transistor forming region which are located at both lateral sides of the gate electrode, thus forming source-drain regions, the impurity being introduced in depth which reaches at least the carrier accumulation layer; a fourth step of etching the fourth semiconductor layer in the source-drain regions until at least the third semiconductor layer is exposed; and a fifth step of forming, on the exposed surface of the third semiconductor layer, source-drain contact layers made of conductive layers low in resistance.
  • According to the method above-mentioned, the third semiconductor device of the present invention can readily be produced. [0050]
  • According to the present invention, the fourth step is preferably executed under etching conditions in which the etching selectivity for the third and fourth semiconductor layers is high.[0051]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a section view illustrating the structure of a SiGeC HCMOS device according to a first embodiment of the present invention; [0052]
  • FIG. 2 is a view illustrating the dependency of lattice strain of the SiGeC layer in the HCMOS device in FIG. 1 upon Ge and C concentrations; [0053]
  • FIG. 3 is a view illustrating the relationship among Si, Ge, C concentrations which produce a lattice fit or tensile strain between the SiGeC layer and the Si layer in the SiGeC HCMOS device in FIG. 1; [0054]
  • FIG. 4 is a view illustrating the relationship between an energy gap value and the C composition rate in the SiGeC layer in the HCMOS device in FIG. 1; [0055]
  • FIG. 5 ([0056] a) to FIG. 5 (f) are section views illustrating the steps of producing a semiconductor device according to the first embodiment of the present invention;
  • FIG. 6 ([0057] a) to FIG. 6 (f) are views illustrating the relationships between strain due to lattice misfit and the composition of the SiGeC layer of a second embodiment of the present invention;
  • FIG. 7 is a view illustrating the band lineup in a lattice-fit SiGeC HCMOS device of the second embodiment of the present invention; [0058]
  • FIG. 8 is a section view illustrating the structure of an HCMOS device having channels each in a quantum well structure according to a third embodiment of the present invention; [0059]
  • FIG. 9 ([0060] a) to FIG. 9 (f) are section views illustrating the steps of producing a semiconductor device according to the third embodiment of the present invention;
  • FIG. 10 is a section view illustrating the structure of an HCMOS device according to a fourth embodiment of the present invention; [0061]
  • FIG. 11 ([0062] a) to FIG. 11 (e) are section views illustrating the first half of the steps of producing the HCMOS device according to the fourth embodiment of the present invention;
  • FIG. 12 ([0063] a) to FIG. 12 (e) are section views illustrating the second half of the steps of producing the HCMOS device according to the fourth embodiment of the present invention;
  • FIG. 13 is a section view illustrating the structure of an HCMOS device according to a fifth embodiment of the present invention; [0064]
  • FIG. 14 is a section view illustrating the structure of an HCMOS device according to a sixth embodiment of the present invention; [0065]
  • FIG. 15 is a section view illustrating the structure of an HCMOS device of prior art; and [0066]
  • FIG. 16 shows defects induced in a heterointerface of the HCMOS device of prior art in FIG. 15, the defects including dislocation or the like produced by strain due to lattice misfit.[0067]
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS First Embodiment
  • An HCMOS device according to a first embodiment of the present invention is a field-effect transistor unit which uses a three-element mixed crystal of SiGeC comprising C added to SiGe/Si, in which the SiGeC layer and the Si layer are substantially fitted in lattice for each other, and in which a band discontinuous portion is formed at a heterointerface due to a difference in band gap energy. [0068]
  • FIG. 1 is a section view illustrating the structure of the HCMOS device of the first embodiment. As shown in FIG. 1, NMOS and PMOS transistors are formed on a [0069] silicon substrate 10. The following description will first discuss the structure of the NMOS transistor.
  • In the NMOS transistor, a p-well [0070] 11 (high-concentration p-type silicon layer) is formed on the silicon substrate 10. Successively formed on the p-well 11 are (i) a Si layer 13 n having a δ doped layer which has been doped with a V-family element in high concentration, and a spacer layer, and (ii) a SiGeC layer 14 n (in which the C concentration is 4%, while the Ge concentration is 36%). As will be discussed later, the composition rates of the respective elements in the SiGeC layer 14 n are set such that the SiGeC layer 14 n and the Si layer 13 n immediately therebelow are fitted in lattice for each other.
  • In the heterointerface between the [0071] SiGeC layer 14 n and the Si layer 13 n, there is present a band discontinuous portion of a conduction band Ec having a band offset value ΔEc, as shown in the right portion of FIG. 1. Formed at this band discontinuous portion is a carrier accumulation layer in which electrons serving as negative carriers are confined as two-dimensional electron gas (2DEG). The carrier accumulation layer formed in the vicinity of the interface at the side of the SiGeC layer 14 n, will serve as a channel in which electrons travel at a high speed. In the SiGeC layer 14 n, the electron mobility is higher than in the Si layer, thus increasing the operational speed of this NMOS transistor.
  • Successively formed on the [0072] SiGeC layer 14 n are a SiGe layer 15 n (in which the Ge concentration is 30%, while the Si concentration is 70%), and a Si layer 17 n. A gate insulating layer 19 n comprising a silicon oxide layer is formed on the surface of the Si layer 17 n. Because the Si layer 17 n is present below the gate insulating layer 19 n, the gate insulating layer 19 n high in crystallinity can readily be formed merely by oxidizing the surface of the Si layer 17 n. A gate electrode 18 n is formed on the gate insulating layer 19 n. Source-drain layers 16 n are formed in the substrate at both lateral sides of the gate electrode 18 n. The travel of electrons within the SiGeC layer 14 n is controlled by a voltage applied to the gate electrode 18 n. In FIG. 1, the source-drain layers 16 n are formed in depth which reaches the p-well 11, but may be formed at least in depth corresponding to that portion of the SiGeC layer 14 n which will result in a channel.
  • The PMOS transistor has substantially the same structure as that of the NMOS transistor discussed in the foregoing. An n-well [0073] 12 (high-concentration n-type Si layer) is formed on the silicon substrate 10. Successively formed on the n-well 12 are (i) a Si layer 13 p having a δ doped layer which has been doped with a V-family element in high concentration and (ii) a SiGeC layer 14 p (in which the Ge concentration is 8.2%, while the C concentration is 1%). Further successively formed on the SiGeC layer 14 p are a SiGe layer 15 p (in which the Ge concentration is 30%, while the Si concentration is 70%) and a Si layer 17 p. In the PMOS transistor, positive holes serve as carriers. The channel in which the positive holes travel, is formed at the side of the SiGe layer 15 p of the interface between the SiGe layer 15 p and the Si layer 17 p. A band discontinuous portion of the valence band having a band offset value ΔEv is present at the heterointerface between the SiGe layer 15 p and the Si layer 17 p. A carrier accumulation layer is formed at this discontinuous portion. Accordingly, the positive holes travel in the channel of carrier accumulation layer formed at the side of the SiGe layer 15 p of the interface. In the SiGe layer 15 p, too, the mobility of positive holes is higher than in the Si layer, thus increasing the operational speed of the PMOS transistor.
  • In the PMOS transistor, a [0074] gate insulating layer 19 p comprising a silicon oxide layer is formed on the Si layer 17 p. Source-drain layers 16 p are formed at both sides of a gate electrode 18 p. The travel of positive holes in the SiGe layer 15 p is controlled by a voltage applied to the gate electrode 18 p.
  • Disposed between the NMOS and PMOS transistors is a [0075] trench isolation 20 formed by embedding, with a silicon oxide layer, a groove formed in the substrate. The trench isolation 20 electrically separates the NMOS and PMOS transistors from each other.
  • The Si layers [0076] 13 n, 13 p, the SiGeC layers 14 n, 14 p, the SiGe layers 15 n, 15 p, and the Si layers 17 n, 17 p are simultaneously formed through crystal growth. For example, the sizes of the respective layers can be set to the following sizes, but are not limited thereto.
  • The thickness of each of the Si layers [0077] 13 n, 13 p is for example equal to about 0.6 μm, and is preferably in the range from 0 to 1 μm. The thickness of each spacer layer is for example equal to about 30 nm, and is preferably in the range from 0 to 50 nm. The thickness of each of the SiGeC layers 14 n, 14 p is preferably in the range from 3 to 50 nm. The thickness of each of the SiGe layers 15 n, 15 p is equal to about 5 nm and is preferably in the range from 3 to 5 nm. The thickness of each of the Si layers 17 n, 17 p is equal to about 1 nm and is preferably in the range from 0.5 to 5 nm. The thickness of each of the gate insulating layers 19 n, 19 p is for example about 5 nm.
  • In each of the [0078] gate electrodes 18 n, 18 p, the gate length is equal to 0.25 μm and the gate width is equal to 2.5 μm. The width of each source-drain region is equal to about 1.2 μm. The contact area of each of source- drain electrodes 21 n, 21 p is equal to about 0.5 μm x about 0.6 μm. The doping concentration of each of the wells 13 n, 13 p is in the range from about 1×1017 to 1× about 1018 cm−3. The doping concentration of each of the δ doped layers is in the range from about 1×1018 to about 1×1020 cm−3.
  • The HCMOS device (Heterostructure CMOS device) of this embodiment is characterized in that a SiGeC layer is used. By adjusting the composition rates of Si, Ge and C in the SiGeC layer, the band gap amount and lattice misfit with respect to silicon can be changed. The following description will discuss in detail the relationship between the composition rates of Si, Ge and C and each of the strains and band offset amounts of the layers in the first embodiment. [0079]
  • FIG. 2 shows how the lattice misfit (%) between the SiGeC layer and the Si layer changes with the concentrations (%) of C and Ge respectively shown on the axis of abscissa and the axis of ordinate. The misfit zero line represents that the SiGeC layer and the Si layer are equal in lattice constant to each other. The lattice constant of a single crystal of Ge (germanium) is greater than that of a single crystal of Si, and the lattice constant of a single crystal of C (carbon) is smaller than that of a single crystal of Si. Accordingly, by adjusting the composition rates of Ge and C, the lattice constant of the [0080] SiGeC layer 14 n can be made equal to that of the Si layer 13 n.
  • FIG. 3 is a characteristic view illustrating the relationship between the composition rates of three elements Si, Ge, C and lattice fit. In FIG. 3, the three apexes represent the points where the respective concentrations of Si, Ge, C are equal to 100% (the composition rates are equal to 1). Thus, FIG. 3 shows how the lattice misfit with respect to Si changes by adjusting the composition of three-element mixed crystal of the SiGeC layer. In FIG. 3, the hatched zone is a composition zone which gives tensile strain to the SiGeC layer, and the solid line shows the conditions of composition of the respective elements under which the lattice misfit between the SiGeC layer and the Si layer is zero or under which the SiGeC layer and the Si layer are fitted in lattice for each other. The lattice constant of Ge is greater by 4.2% than that of Si, and the lattice constant of C is smaller by 34.3% than that of Si. Accordingly, by increasing the composition rate of Ge by 8.2 times of the composition rate of C, the lattice constant of the SiGeC layer can be agreed with the lattice constant of the Si layer. [0081]
  • In the [0082] SiGeC layer 14 n of the first embodiment, the Ge concentration is equal to 8.2% (x=0.082) and the C concentration is equal to 1% (y=0.01). Accordingly, it is understood from FIG. 3 that the lattice misfit of the SiGeC layer 14 n with respect to the Si substrate is zero and that the SiGeC layer 14 n and the Si layer 13 n therebelow have the same lattice constant.
  • FIG. 4 shows how the band offset value ΔEc of the conduction band and the band offset value ΔEv of the valence band at the interface between the SiGeC layer and the Si layer, are changed with the composition rate of C and the energy level respectively shown on the axis of abscissa and the axis of ordinate. In FIG. 4, the black circles show the band offset values ΔEv of the valence band and the white circles show the band offset values ΔEc of the conduction band. In FIG. 4, the original point of the energy is set to the energy value at the lower end of the conduction band of Si for the conduction band, and to the energy value at the upper end of the valence band of Si for the valence band. In FIG. 4, the solid lines correspond to the layer in which no strain is induced, while the dotted lines correspond to the layer in which tensile strain is induced. [0083]
  • As shown in FIG. 4, it is understood in the first embodiment that the band offset values of the conduction and valence bands at the respective interfaces between the SiGeC layer (in which the composition rate of C is equal to 0.01) and the Si layer, are respectively 300 meV and 0 meV, and that at the interface between the SiGeC layer and the Si layer, a discontinuous portion is not formed in the valence band, but is formed only in the conduction band. In the [0084] SiGeC layer 14 n of the first embodiment, the composition rate of C is equal to 0.01. Accordingly, the SiGeC layer 14 n and the Si layer 13 n are fitted in lattice for each other. This prevents a defect such as dislocation or the like from being induced in the SiGeC layer 14 n having a channel in which two-dimensional electron gas travels. That is, if the SiGeC layer 14 n and the Si layer 13 n therebelow are misfitted in lattice for each other, such a defect is induced in the SiGeC layer 14 n.
  • In the first embodiment, a discontinuous portion is not formed in the valence band at the interface between the [0085] SiGeC layer 14 n and the Si layer 13 n. Accordingly, positive holes cannot be confined in the SiGeC layer 14 n. Therefore, the PMOS transistor using positive holes as carriers, utilizes a heterojunction between the SiGe layer 15 p and the Si layer 17 p. The lattice constant of the single crystal of SiGe is greater than that of the single crystal of Si, and the SiGe layer 15 p is located above the SiGeC layer 14 p fitted in lattice for the Si layer 13 p. Accordingly, the band offset value in the valence band is large because of changes in band structure due to compressive strain. In this case, too, when an electric field is applied from the gate, positive holes are two-dimensionally confined (2DHG) by band inclination, thus forming a carrier accumulation layer. Therefore, the carrier accumulation layer in the SiGe layer 15 p will result in a channel in which the positive holes travel at a high speed.
  • According to the structure of the first embodiment discussed in the foregoing, the NMOS transistor is arranged such that, by adjusting the composition rates of the respective elements Si, Ge, C of the [0086] SiGeC layer 14 n, the SiGeC layer 14 n can be fitted in lattice for the Si layer 13 n while maintaining the band offset value of the conduction band at a value sufficient to accumulate two-dimensional electron gas. Thus, the NMOS transistor can achieve a high-speed operation utilizing the high carrier mobility of the two-dimensional electron gas in the SiGeC layer, yet providing a high reliability by reducing the defect density. Since no discontinuous portion is formed in the valence band at the interface between the SiGeC layer 14 n and the Si layer 13 n, the positive holes cannot be confined in the SiGeC layer 14 n. However, by utilizing a heterojunction between the SiGe layer 15 p and the Si layer 17 p, there can be formed a channel for the PMOS transistor using positive holes as carriers, thus achieving a high-speed operation.
  • By integrating the NMOS transistor high in speed with the PMOS transistor high in speed using SiGe to form band discontinuous portion in the valence band, there can be achieved a high-performance HCMOS device. [0087]
  • In the first embodiment, the Ge concentration is equal to 8.2% and the C concentration is equal to 1%. However, it is understood from FIG. 4 that, to maximize the band discontinuous portion or band offset value ΔEv in the lattice-fit type, it is enough to increase the composition rate of C. When such a large band offset value ΔEv is provided, the two-dimensional electron gas (2DEG) confined in the heterointerface does not get over the heterointerface but travels in a stable manner even though the electron concentration is high. In particular, the composition rate of C is preferably in the range from 0.01 to 0.03. In this range, there can be obtained, in both the non-strain and tensile strain types, a proper band offset value ΔEv (=−0.2 to −0.6) for forming a carrier accumulation layer in which the two-dimensional electron gas is to be confined. [0088]
  • In the first embodiment, the Ge concentration in the [0089] SiGe layer 15 p is set to 30%. To increase the band offset value, however, the Ge concentration may be increased to increase the compressive strain.
  • Since the HCMOS device is formed on a Si substrate, the HCMOS device may be used for a circuit in which high speed is required, while a usual CMOS device formed on an active region having a single composition of Si may be used for other circuit. Thus, the HCMOS device having the arrangement above-mentioned can be integrated with a MOS field-effect transistor directly formed on a Si substrate. As a device using SiGeC, p- and n-type transistors are not necessarily formed on the same substrate. Accordingly, the following measure may be taken, for example, for an integrated circuit used for a mobile communication device. That is, an amplifier, a mixer or the like used in a high frequency region in which a high-speed operation is required, is not required to form a complementary circuit. Therefore, such an amplifier, a mixer or the like is formed of a MOS transistor using SiGeC of only one of the p- and n-types (for example, the n-type). A component which is arranged to execute a digital signal processing and which is required to form a complementary circuit, may be formed of a CMOS device using a single composition of Si. [0090]
  • Referring to FIG. 5 ([0091] a) to FIG. 5 (f), the following description will discuss a method of producing the HCMOS device of the first embodiment. FIG. 5 (a) to FIG. 5 (f) are section views illustrating an example of the process for producing the HCMOS device shown in FIG. 1.
  • At the step shown in FIG. 5 ([0092] a), the p- and n- wells 11, 12 are formed, by ion injection, on the silicon substrate 10.
  • At the step shown in FIG. 5 ([0093] b), the Si layer 13 including the δ doped layer, the SiGeC layer 14 (Ge: 8.2%, C: 1%), the SiGe layer 15 and the Si layer 17 are grown on the wells 11, 12 using a UHV-CVD method. The δ doped and spacer layers which are actually formed, are omitted in FIG. 5 for convenience' sake.
  • At the step shown in FIG. 5 ([0094] c), to electrically separate the PMOS and NMOS transistors from each other, a groove for trench isolation is formed and then embedded with a silicon oxide layer, thus forming the trench isolation 20. This divides the Si layer 13, the SiGeC layer 14, the SiGe layer 15 and the Si layer 17 n into (i) the Si layer 13 n, the SiGeC layer 14 n, the SiGe layer 15 n and the Si layer 17 n for the NMOS transistor and (ii) the Si layer 13 p, the SiGeC layer 14 p, the SiGe layer 15 p and the Si layer 17 p for the PMOS transistor. Then, the surfaces of the Si layers 17 n, 17 p are oxidized to form the gate insulating layers 19 n, 19 p.
  • At the step shown in FIG. 5 ([0095] d), a polysilicon layer is deposited on the whole surface of the substrate and then patterned to form the gate electrodes 18 n, 18 p on the gate insulating layers 19 n, 19 p of the NMOS and PMOS transistors. With each of the gate electrodes 18 n, 18 p used as a mask, phosphorous ions (P+) are injected to form the source-drain layers 16 n at the NMOS transistor side and boron ions (B+) are injected to form the source-drain layers 16 p at the NMOS transistor side. It is sufficient that the depth of the source-drain layers 16 n of the NMOS transistor is deeper than at least the carrier accumulation layer in the SiGeC layer 14 n, and that the depth of the source-drain layers 16 p of the PMOS transistor is deeper than at least the carrier accumulation layer in the SiGe layer 15 p. This is because channels are respectively formed in the carrier accumulation layers in the SiGeC layer 14 n and the SiGe layer 15 n.
  • At the step shown in FIG. 5 ([0096] e), openings are formed in those portions of the gate insulating layers 19 n, 19 p above the source- drain layers 16 n, 16 p.
  • At the step shown in FIG. 5 ([0097] f), the source- drain electrodes 21 n, 21 p are formed at the openings in the gate insulating layers 19 n, 19 p.
  • Thus formed on the [0098] Si substrate 10 is an HCMOS device comprising the NMOS and PMOS transistors.
  • According to the production method of the first embodiment, different channels are required to be formed in the NMOS and PMOS transistors. However, the crystals can simultaneously be grown for both the NMOS and PMOS transistors. Thus, the HCMOS device can readily be produced. [0099]
  • Second Embodiment
  • According to the first embodiment, a field-effect transistor is formed using a SiGeC layer fitted in lattice for silicon. However, the second embodiment provides a transistor in which, within the range where the crystallinity is not deteriorated, strain is positively induced in a SiGeC layer and changes in band structure due to such strain are utilized. The second embodiment provides an HCMOS device in which the PMOS and NMOS transistors according to the first embodiment in FIG. 1 are realized in a single transistor. [0100]
  • FIG. 6 ([0101] a) to FIG. 6 (c) are views respectively illustrating a crystal structure in which compressive strain is induced in the SiGeC layer, a crystal structure in which the SiGeC layer is fitted in lattice for the Si layer (with no strain induced) and a crystal structure in which tensile strain is induced in the SiGeC layer. As shown in FIG. 6 (a), when the lattice constant of the SiGeC layer is larger than that of the Si layer, compressive strain is induced in the SiGeC layer to increase the band gap value between the lower end of the conduction band and the upper end of the valence band in the SiGeC layer. On the other hand, as shown in FIG. 6 (c), when the lattice constant of the SiGeC layer is smaller than that of the Si layer, tensile strain is induced in the SiGeC layer to reduce the band gap between the lower end of the conduction band and the upper end of the valence band in the SiGeC layer. More specifically, the strain of the SiGeC layer causes the band structure to be changed. Thus, such effect can positively be utilized to change the band offset value of the Si layer or the like adjacent to the SiGeC layer.
  • Even though the lattice constant of the SiGeC layer is shifted from the lattice constant of the Si layer, it is possible to effectively prevent the transistor from being lowered in reliability due to the occurrence of crystal defects such as dislocation or the like, by setting the thickness of the SiGeC layer to such a level that no lattice relaxation occurs and that strain is accumulated. [0102]
  • FIG. 7 ([0103] a) and FIG. 7 (b) are, respectively, a view of band structure and a section view of a channel region of the field-effect transistor of the second embodiment. By growing a Si layer 13 n on a Si substrate and then growning a SiGeC layer 14 n (Ge: 10%, C: 4%) in which the composition rate of C is being increased, the SiGeC layer 14 n can be arranged such that its band gap value is large and its lattice constant is small. Then, the thickness of the SiGeC layer 14 n is reduced to such an extent that no lattice relaxation occurs and strain is accumulated. Accordingly, tensile strain is induced in the SiGeC layer 14 n. In addition to the effect of increasing the band gap value due to an increase in the composition rate of C, the tensile strain in the SiGeC layer 14 n increases the band offset value in the conduction band at the interface between the SiGeC layer 14 n and the Si layer 13 n. This improves the efficiency at which two-dimensional electron gas (2DEG) is confined.
  • Further, since the [0104] SiGeC layer 14 n is not relaxed in lattice, the lattice constant of the top thereof is identical with the lattice constant of the Si layer 13 n. Accordingly, when a SiGe layer 15 p is grown on the SiGeC layer 14 n, compressive strain is induced in the SiGe layer 15 p because the lattice constant of the SiGe layer 15 p is larger than that of the Si layer 13 n.
  • According to the semiconductor device of the second embodiment, tensile strain is induced in the [0105] SiGeC layer 14 n and compressive strain is induced in the SiGe layer 15 p. Accordingly, the band offset value of the conduction band at the interface between the SiGeC layer 14 n and the Si layer 13 n is large, and the band offset value of the valence band at the interface between the SiGe layer 15 p and the Si layer 17 p is large. When this transistor is used as an NMOS transistor, a channel formed in the SiGeC layer 14 n may be utilized. When this transistor is used as a PMOS transistor, a channel formed in the SiGe layer 15 p may be utilized. Thus, there can be formed an HCMOS device having channels different in position, while having a common gate electrode and common source-drain regions.
  • By properly setting the thickness of each of the layers, there can be obtained an HCMOS device having a field-effect transistor unit which is free from dislocation or defect due to lattice misfit and which is high in reliability resulting from good crystallinity. [0106]
  • The broken lines in FIG. 4 show the composition in which tensile strain of 0.25% is induced in the [0107] SiGeC layer 14 n in the second embodiment. Generally, when the composition rate of Ge in the SiGeC layer is 8.2 times of the composition rate of C, the SiGeC layer is fitted in lattice for the Si layer. Accordingly, when the composition rate of Ge is smaller than 8.2 times of the composition rate of C, tensile strain can be induced in the SiGeC layer 14 n. It is now supposed that the composition rate of C is set to y. When the Ge composition is set to (8.2y−0.12), the lattice constant of the SiGeC layer 14 n can be made smaller by 0.25% than that of the Si layer 13 n.
  • As shown in FIG. 4, likewise in the non-strain type, a band discontinuous portion is not formed in the valence band at the interface between the [0108] SiGeC layer 14 n and the Si layer 13 n, and is formed only in the conduction band. When the C concentration is not greater than 2%, the band offset value of the conduction band is substantially equal to that of the non-strain type. Thus, even though the ratio of the C concentration to the Ge concentration deviates from the value satisfying the condition of lattice fit, there can be obtained transistor characteristics substantially equal to those of the lattice-fit type. This means that a certain latitude is allowed in the condition in view of control of C concentration and Ge concentration when growing the SiGeC layer 14 n. This facilitate the crystal growth of the SiGeC layer. When the C concentration is not less than 2%, the band offset value can be made greater than in the non-strain type with the same C concentration. It is therefore possible to comply with a circuit requiring a greater band offset value.
  • The second embodiment is arranged such that the lattice constant of SiGeC is smaller than that of Si. However, since the thickness of the SiGeC layer is set such that no lattice relaxation is induced and strain is accumulated, there is no possibility of the transistor being lowered in reliability due to crystal defect such as dislocation or the like. [0109]
  • Third Embodiment
  • According to the first embodiment mentioned earlier, there is formed, at the channel region of each field-effect transistor, a heterostructure in which the SiGeC layer is fitted in lattice for the Si layer, and electrons or positive holes are confined in the band discontinuous portion at the heterointerface such that the electrons or positive holes are used as carriers. [0110]
  • According to a third embodiment, there is formed a transistor in which a carrier confining region is not formed at a heterointerface, and in which a quantum well structure of Si/SiGeC or Si/SiGe/Si is formed such that a quantum well (SiGeC, SiGe) held by and between barrier layers serves as a channel. [0111]
  • FIG. 8 is a section view of an HCMOS device according to the third embodiment. This HCMOS device has a CMOS-device structure in which NMOS and PMOS transistors are formed on a [0112] Si substrate 30. This structure in FIG. 8 is the same as that of the HCMOS device in FIG. 1 in that a p-well 31 and an n-well 32 are formed on the Si substrate 30 and that there are respectively formed, on the p- and n- wells 31, 32, first Si layers 33 n, 33 p each having a δ doped layer doped with a V-family element in high concentration. However, the PMOS and NMOS transistors on the first Si layers 33 n, 33 p are different from those in the first embodiment.
  • In the NMOS transistor, a [0113] SiGeC layer 34 n having such a composition as to fit in lattice for the first Si layer 33 n, is formed on the first Si layer 33 n, and a second Si layer 35 n is laminated on the SiGeC layer 34 n. In the third embodiment, a quantum well region (SiGeC layer 34 n) held by and between two band discontinuous portions is present in the conduction band extending over the first Si layer 33 n, the SiGeC layer 34 n and the second Si layer 35 n. Accordingly, a carrier accumulation layer for confining two-dimensional electron gas (2DEG) serving as a carrier is formed in the SiGeC layer 34 n which is a quantum well region (See the band illustration at the right hand in FIG. 8). More specifically, a channel is formed in the SiGeC layer 34 n when the NMOS transistor is operated. A thin SiGe layer 36 n and a third Si layer 37 n are successively formed on the second Si layer 35 n.
  • According to the arrangement above-mentioned, likewise in the first embodiment, a channel for moving carriers is formed in the [0114] SiGeC layer 34 n higher in electron mobility than the Si layer. Thus, there can be obtained an NMOS transistor high in operational speed. In addition, since the SiGeC layer 34 n serving as a quantum well layer is thin, the third embodiment is improved in carrier confining efficiency as compared with the first embodiment and can be achieved using a layer small in mixed-crystal ratio. This restrains factors of deteriorating the mobility of electrons serving as a carriers. The factors include carrier scattering due to the deterioration in regularity of the crystal structure resulting from mix-crystallization.
  • The PMOS transistor is the same as the NMOS transistor in that there are successively formed, on the [0115] first Si layer 33 p, a SiGeC layer 34 p having such a composition as to fit in lattice for the first Si layer 33 p, a second Si layer 35 p, a thin SiGe layer 36 p and a third Si layer 37 p. In the PMOS transistor, however, there is formed a quantum well region (SiGe layer 36 p) held by and between two band discontinuous portions in the valence band extending over the second Si layer 35 p, the SiGe layer 36 p and the third Si layer 37 p, and there is formed, in the quantum well region, a carrier accumulation layer for two-dimensionally confining positive holes serving as carriers. More specifically, when the PMOS transistor is operated, a channel is formed in the SiGe layer 36 p. In the SiGe layer 36 p, too, the mobility of positive holes is higher than in the Si layer. This enables the PMOS transistor to be operated at a high speed.
  • In the NMOS and PMOS transistors, [0116] gate insulating layers 39 n, 39 p comprising silicon oxide layers are formed on the substrate, and gate electrodes 38 n, 38 p are formed on the gate insulating layers 39 n, 39 p. Source- drain layers 42 n, 42 p are formed at the both sides of the gate electrodes 38 n, 38 p, and source- drain electrodes 41 n, 41 p come in contact with the tops of the source- drain layers 42 n, 42 p. It is a matter of course that, in the NMOS and PMOS transistors, the respective travels of electrons and positive holes in the SiGeC layer 34 n and the SiGe layer 36 p are controlled by voltages respectively applied to the gate electrodes 38 n, 38 p.
  • Formed between the NMOS and PMOS transistors is a [0117] trench isolation 40 formed by embedding an isolation groove with a silicon oxide layer. This trench isolation 40 electrically separates the NMOS and PMOS transistors from each other.
  • Likewise in the first embodiment, the HCMOS device of the third embodiment has the [0118] SiGeC layer 34 n which is fitted in lattice for the Si layer and which serves as a quantum well region, and there is formed, in the SiGeC layer 34 n, a channel in which electrons travel. The SiGe layer 36 p serving as a quantum well region is formed in the PMOS transistor and there is formed in the SiGe layer 36 p a channel in which positive holes travel. Accordingly, a high-performance HCMOS can be achieved by integrating the NMOS and PMOS transistors each high in switching speed using a quantum well structure high in carrier confining efficiency.
  • According to the third embodiment, the HCMOS device may be used for a circuit in which high speed of a transistor is required, while a usual CMOS device formed on a Si substrate may be used for other circuit. Also, the HCMOS device can be integrated with a MOS field-effect transistor directly formed on a Si substrate. [0119]
  • The channels of both the NMOS and PMOS transistors are not necessarily formed in the quantum well regions. [0120]
  • Referring to FIG. 9 ([0121] a) to FIG. 9 (f), the following description will discuss a method of producing the HCMOS device according to the third embodiment. FIG. 9 (a) to FIG. 9 (f) are section views illustrating an example of a production process for embodying the HCMOS device shown in FIG. 8.
  • First, an outline of the production process will be given. When growing the [0122] SiGeC layer 34, the second Si layer 35 and the SiGe layer 36, the thickness of each of the SiGeC layer 34 and the SiGe layer 36 is made not greater than 10 nm, for example 3 nm, such that each of the layers 34, 36 serves as a quantum well structure. Other portions are formed at steps similar to those shown in FIG. 5 (a) to FIG. 5 (f).
  • At the step shown in FIG. 9 ([0123] a), the p-well 31 and the n-well 32 are formed on the Si substrate 30 by ion injection.
  • At the step shown in FIG. 9 ([0124] b), there are successively grown, on the p- and n- wells 31, 32, a first Si layer 33 including a δ doped layer, a SiGeC layer 34 (Ge: 36%, C: 4%), a second Si layer 35, a SiGe layer 36 and a third Si layer 37, using a UHV-CVD method.
  • At the step shown in FIG. 9 ([0125] c), to electrically separate the PMOS and NMOS transistors, a trench isolation groove is formed and then embedded with a silicon oxide layer for forming the trench isolation 40. This divides the first Si layer 33, the SiGeC layer 34, the second Si layer 35, the SiGe layer 36, the third Si layer 37 and the gate insulating layer 39, into (i) the first Si layer 33 n, the SiGeC layer 34 n, the second Si layer 35 n, the SiGe layer 36 n and the third Si layer 17 n for the NMOS transistor and (ii) the first Si layer 33 p, the SiGeC layer 34 p, the second Si layer 35 p, the SiGe layer 36 p and the third Si layer 37 p for the PMOS transistor. Then, the surfaces of the third Si layers 37 n, 37 p are oxidized to form the gate insulating layers 39 n, 39 p.
  • At the step shown in FIG. 9 ([0126] d), the gate electrodes 38 n, 38 p are formed. Then, the source-drain regions 42 n are formed by injection of phosphorous ions (P+) for the NMOS transistor, and the source-drain regions 42 p are formed by injection of boron ions (B+) for the PMOS transistor. It is sufficient that the depth of the source-drain regions 42 n of the NMOS transistor is deeper than the depth of at least the SiGeC layer 34 n and that the depth of the source-drain regions 42 p of the PMOS transistor is deeper than the depth of at least the SiGe layer 36 p. This is because channels are respectively formed in the SiGeC layer 34 n and the SiGe layer 36 p.
  • At the step shown in FIG. 9 ([0127] e), the gate insulating layers 39 n, 39 p are patterned to form openings in those portions of the gate insulating layers 39 n, 39 p above the source- drain regions 42 n, 42 p.
  • At the step shown in FIG. 9 ([0128] e), the source- drain electrodes 41 n, 41 p are formed at the openings thus formed.
  • With the steps above-mentioned, there is formed an HCMOS device comprising the NMOS and PMOS transistors according to the third embodiment. [0129]
  • According to the production method of the third embodiment, there is readily formed an HCMOS device in which the channel in the NMOS transistor is formed by the [0130] SiGeC layer 34 n of the quantum well structure utilizing a heterojunction, and in which the channel in the PMOS transistor is formed by the SiGeC layer 36 p of the quantum well structure utilizing a heterojunction. Further, according to the production method of the third embodiment, different channels are required to be formed for the NMOS and PMOS transistors. However, crystals can simultaneously be grown for both the NMOS and PMOS transistors. Thus, the HCMOS device can readily be produced.
  • Fourth Embodiment
  • FIG. 10 is a section view illustrating the structure of a field-effect transistor according to a fourth embodiment of the present invention. This fourth embodiment provides a structure having a source-drain contact suitable for a heterojunction field-effect transistor. [0131]
  • As shown in FIG. 10, there are formed, on a well [0132] 51 made of a Si layer, a SiGe buffer layer 52, a δ doped layer 53, a spacer layer 54, an n-channel layer 67, an i-Si layer 55, an i-Si1-xGex layer 56, an i-Si layer 57 and a gate insulating layer 58. A gate electrode 65 is formed on the gate insulating layer 58. Source-drain contact W layers 61 and Al source-drain electrodes 63 are successively formed on the i-Si1-xGex layer 56 at both lateral sides of the gate electrode 65. At both sides of the gate electrode 65, source-drain regions 59 are formed as extending over a portion of the SiGe buffer layer 52, the δ doped layer 53, the spacer layer 54, the n-channel layer 67, the i-Si layer 55, the i-Si1-xGex layer 56 and the i-Si layer 57. A first insulating layer 66 is embedded in the space between the gate electrode 65 and the Al source-drain electrodes 63.
  • The following description will discuss the structure of the field-effect transistor above-mentioned. [0133]
  • In the [0134] SiGe buffer layer 52, the Ge concentration is increased in the vertical direction from the lower end of the layer 52 toward the upper end thereof. The SiGe buffer layer 52 has a thickness sufficient to relax the SiGe mixed crystal in lattice and therefore has a lattice constant larger than that of Si. Thus, using a strain effect, an n-channel can be formed on the SiGe buffer layer 52. When, without using such a SiGe buffer layer relaxed in lattice, there is formed a heterojunction of Si and SiGe layers with the Si layer fitted in lattice for the Si substrate, a great discontinuous portion increased in level difference is formed in the valence band, but a discontinuous portion is hardly formed in the conduction band. It is therefore difficult to confine two-dimensional electron gas to form an n-channel.
  • The Ge concentration in the [0135] SiGe buffer layer 52 changes, for example, from 0% to 30% continuously or gradually in steps of thin layer portions. At this time, provision is made such that lattice relaxation occurs in each layer portion and that the lattice constant of the SiGe buffer layer 52 at its top layer portion is identical with the lattice constant of the original single crystal Si0.7Ge0.3. The object of changing the concentration in the vertical direction of the layer 52, is to minimize the influence of a crystal defect exerted on a channel thereon, the crystal defect including dislocation or the like caused by lattice relaxation. The entire thickness of the SiGe buffer layer 52 is required to be about 1 μm.
  • Formed on the [0136] SiGe buffer layer 52 is the spacer layer 54 made of SiO0.7Ge0.3 including no impurity. A carrier accumulation layer is formed at a discontinuous portion of a conduction band which is formed at the heterointerface between the spacer layer 54 and the i-Si layer 55 thereon. This carrier accumulation layer serves as the n-channel 67 in which electrons are two-dimensionally confined.
  • The δ doped [0137] layer 53 is a layer doped with a V-family element such as P or As in high concentration for supplying electrons serving as carriers to the n-channel 67. The spacer layer 54 on the δ doped layer 53 is composed of Si0.7Ge0.3 doped with no impurity, and spatially separates the carrier electrons in the n-channel 67 from the ions in the δ doped layer 53 from each other. This lowers the scattering of the carrier electrons by the ions, thus improving the mobility. As the spacer layer 54 is increased in thickness, the carrier scattering effect by ionized impurity is lowered. However, as the spacer layer 54 is increased in thickness, the carrier density is lowered. Therefore, the thickness of the spacer layer 54 is preferably set to about 3 nm.
  • The i-Si[0138] 1-xGex layer 56 and the i-Si layer 57 form a level difference in the valence band at the heterointerface to form a p-channel 68. Here, x is preferably set to about 0.7.
  • The [0139] gate insulating layer 58 insulates the gate electrode 65 from the semiconductor layer therebelow to lower the gate leakage current, thus enabling the transistor to be lowered in power consumption. An oxide layer formed by oxidizing the i-Si1-xGex layer 56 is water-soluble and unsteady. Therefore, in the SiGe field-effect transistor, too, a silicon oxide layer is preferably used as a gate insulating layer. In a Si heterojunction MOS device, therefore, the semiconductor layer immediately below the gate insulating layer is preferably a Si layer.
  • More specifically, each of the field-effect transistors according to the fourth embodiment comprises a channel region formed by the lamination layers above-mentioned, the source-[0140] drain regions 59 shown by the broken lines in FIG. 10, the Al source-drain electrodes 63 for introducing and taking out an electric current for operating the transistor, and the gate electrode 65 for applying a voltage for controlling the electric current. When this field-effect transistor is to be used as an n-channel field-effect transistor, a voltage is applied to the gate electrode 65 such that the n-channel 67 is formed. When this field-effect transistor is to be used as a p-channel field-effect transistor, a voltage is applied to the gate electrode 65 such that the p-channel 68 is formed.
  • The fourth embodiment is characterized by comprising channel regions, source-drain regions and source-drain contact layers. The channel regions comprise a first semiconductor layer including a Si[0141] 1-x-yGexCy layer (0≦x≦1, 0≦y≦1), a second semiconductor layer different in band gap from the first semiconductor layer, and a carrier accumulation layer formed in the vicinity of the interface between the first and second semiconductor layers. The source-drain regions comprise a third semiconductor layer and a fourth semiconductor layer greater in band gap than the third semiconductor layer. The source-drain contact layers are made of conductive layers which are low in resistance and which are formed immediately above the third semiconductor layer.
  • When using the field-effect transistor according to the fourth embodiment as an n-channel field-effect transistor, the i-[0142] Si layer 55 serves as the first semiconductor layer (x=y=0) including a Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1), the SiGe buffer layer 52 serves as the second semiconductor layer, the i-Si1-xGex layer 56 serves as the third semiconductor layer, the i-Si layer 57 serves as the fourth semiconductor layer greater in band gap than the i-Si1-xGex layer 56, and the source-drain contact W layers 61 are formed immediately above the i-Si1-xGex layer 56 serving as the third semiconductor layer.
  • When using the field-effect transistor according to the fourth embodiment as a p-channel field-effect transistor, the i-Si[0143] 1-xGex layer 56 serves as the first semiconductor layer (y=0) including a Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1) and also as the third semiconductor layer, the i-Si layer 57 serves as the second semiconductor layer and also as the fourth semiconductor layer greater in band gap than the third semiconductor layer, and the source-drain contact W layers 61 are formed immediately above the i-Si1-xGex layer 56 serving as the third semiconductor layer.
  • As discussed in the foregoing, this embodiment is arranged such that those regions of the substrate which come in contact with the Al source-[0144] drain electrodes 63, are formed in a semiconductor layer which is smaller in band gap out of the semiconductor layers for forming a channel. The fourth embodiment is arranged such that, at the heterointerface between the i-Si layer 57 and the i-Si1-xGex layer 56 for forming the p-channel, the source-drain contact W layers 61 are formed immediately above the i-Si1-xGex layer 56 smaller in band gap. As compared with the arrangement in which the source-drain contact W layers 61 are formed immediately above the uppermost semiconductor layer or i-Si layer 57, this reduces the contact resistance, enabling the transistor to be operated at a high speed with lower power consumption.
  • When metal (Al in this case) is deposited after W has been grown on the Si[0145] 0.7Ge0.3 layer on the Si layer, contacts very low in resistance can be obtained. Such contacts using the SiGe layer are lower in resistance by one digit than low-resistance contacts obtained using a silicide technology which are generally used as low-resistance contacts in a CMOS device of prior art (IEEE Electron Device Letters, Vol. 17, No. 7, 1996 pp 360).
  • According to the paper above-mentioned, the SiGe layer is grown for forming source-drain electrode contacts. However, when the contacts are formed on the SiGe layer for channel formation as done in the fourth embodiment, it is not required to newly grow a SiGe crystal to improve the productivity, as will be apparent in the transistor producing method to be discussed later. [0146]
  • According to the fourth embodiment, the HCMOS device may be used for a circuit in which high speed of a transistor is required, while a usual CMOS device formed on a Si substrate may be used for other circuit. Such HCMOS device can be integrated with a MOS field-effect transistor directly formed on a Si substrate. [0147]
  • The following description will discuss a method of producing the field-effect transistor according to the fourth embodiment. FIG. 11 ([0148] a) to FIG. 11 (e) and FIG. 12 (a) to (e) are section views illustrating an example of the steps of producing the field-effect transistor shown in FIG. 10.
  • At the step shown in FIG. 11 ([0149] a), prior to epitaxial growth for channel formation, the p- and n- wells 51 n, 51 p serving as the groundworks of NMOS and PMOS transistors are formed on a silicon substrate 50 by ion injection.
  • At the step shown in FIG. 11 ([0150] b), prior to epitaxial growth on the substrate, the substrate is cleaned using an RCA cleaning method or the like, thereby to remove impurity on the surface. Thereafter, the surface oxide layer is removed and the substrate is then inserted into an epitaxial growth apparatus. In a vacuum, the substrate is heated to obtain a clean surface. Through epitaxial growth, semiconductor layers for forming a channel region are formed on the clean surface. These semiconductor layers include the SiGe buffer layer 52, the δ doped layer 53, the spacer layer 54, the n-channel 67, the i-Si layer 55, the i-Si1-xGex layer 56, the p-channel 68, the i-Si layer 57 and the like. For convenience' sake, the δ doped layer 53, the spacer layer 54, the n-channel 67 and the p-channel 68 are not shown. The following description will discuss how the respective semiconductor layers are formed.
  • As a method of growing semiconductor layers, there can be used an MBE method using a solid source and a UHV-CVD method using a gas source. According to the UHV-CVD method, the atmosphere in the apparatus is first brought to a super-low gas pressure (about 10[0151] −10 Torr). After a source necessary for crystal growth is introduced into the vacuum container, a crystal is grown in a vacuum when the degree of vacuum reaches about 10−5˜10−6 Torr.
  • According to the fourth embodiment, too, when the degree of vacuum in the vacuum container becomes sufficiently low after a clean surface is formed on the substrate by the method above-mentioned, the substrate temperature is set to about 500˜ about 700° C. for growth of semiconductor crystal layers. Changes in substrate temperature exert an influence upon the crystal quality such as changes in composition rate of a single semiconductor crystal layer. Accordingly, the substrate temperature is basically not changed while a single layer is being grown. When the substrate temperature becomes not less than 800° C., interdiffusion of Ge and Si occurs. This is disadvantageous in view of deterioration in sharpness and strain relaxation to deteriorate the channel characteristics. Therefore, the growth temperature is set to not greater than 700° C. as mentioned earlier. [0152]
  • Crystal growth is conducted by introducing source gas necessary for crystal growth into a vacuum container brought to a super-low gas pressure. As the source gas for crystal growth, disilane is used for growth of a Si layer. For growing a SiGe layer, germane is used as a Ge source gas in addition to the source gas such as disilane for growing a Si layer. At this time, by adjusting the ratio of partial pressures of the respective source gases, the composition rates of Si and Ge in the SiGe layer can be controlled. The gas flow amount is adjusted such that the degree of vacuum is in the range of about 10[0153] −5˜ about 10−6 Torr.
  • First, a plurality of SiGe layer portions in which the composition rates are being gradually changed and which are being relaxed in lattice, are laminated to form the [0154] SiGe buffer layer 52. To gradually change the composition rate, the ratio of the partial pressures of the Si source gas and the Ge source gas is gradually changed.
  • To form the δ doped [0155] layer 53, a dopant gas such as arsine or phosphine is introduced into the vacuum container together with disilane and germane.
  • If impurity introduced into the δ doped [0156] layer 53 is mixed with the spacer layer 54, the transistor is deteriorated in characteristics. Accordingly, after the dopant gas is introduced into the vacuum container, the supply of the source gas is once stopped. After the degree of vacuum is sufficiently lowered, the gas for growing the spacer layer 54 is then introduced for growing the spacer layer 54. Provision is made such that the spacer layer 54 has a uniform composition of Si0.7Ge0.3. The spacer layer 54 is grown with the disilane and germane flow amounts fixed.
  • After the growth of the [0157] spacer layer 54, the supply of the source gas is stopped. After the degree of vacuum is sufficiently lowered, only disilane is introduced into the growth chamber for growing the i-Si layer 55 doped with no impurity.
  • After the growth of the i-[0158] Si layer 55, disilane and germane are again introduced into the growth chamber for growing the i-Si1-xGex layer 56. The Ge concentration is set to 70%. After the growth of the i-Si1-xGex layer 56, the supply of the source gas is once stopped. Then, after the degree of vacuum is sufficiently lowered, only disilane is introduced into the growth chamber for growing the i-Si layer 57.
  • With the foregoing, the process of epitaxially growing the semiconductor layers forming a channel region is finished. [0159]
  • At the step shown in FIG. 11 ([0160] c), the substrate is taken out from the UHV-CVD apparatus and introduced into a thermal oxidation furnace where the surface of the uppermost i-Si layer 57 is oxidized to form the gate insulating layer 58 made of a silicon oxide layer.
  • At the step shown in FIG. 11 ([0161] d), gate electrodes 65 n, 65 p are formed on the gate insulating layer 58. The gate electrodes 65 n, 65 p are formed in a manner similar to that in a CMOS device of prior art. More specifically, a polysilicon layer is deposited and, after impurity is introduced by ion injection, the polysilicon layer is patterned to form the gate electrodes 65 n, 65 p by dry-etching. Ions of boron fluoride (BF 2+) can be used as impurity ions. At the stage where the polysilicon layer for gate electrodes is deposited, the source-drain regions are not formed yet.
  • At the step shown in FIG. 11 ([0162] e), with the gate electrodes 65 n, 65 p serving as masks, impurity ions serving as a dopant are injected into the substrate to form the source- drain regions 59 n, 59 p. Then, etching is conducted to remove the oxide layer exposed onto the substrate for forming contacts. At the time of ion injection, the ion accelerating voltage is selected such that the peak of impurity distribution is located in the contact layers which come in contact with source-drain electrodes. As impurity ions to be injected, ions of arsenic (As +) or phosphorous (P +) of the n-type impurity are used for the NMOS transistor region, and boron ions (B +) of the P-type impurity are used for the PMOS transistor region. It is therefore required to conduct, using respectively different masks, ion injection for forming the source-drain regions 59 n for the NMOS transistor and ion injection for forming the source-drain regions 59 p for the PMOS transistor.
  • Immediately after ion injections, an annealing processing is executed for activating the impurity. It is however preferable to execute RTA (rapid thermal annealing) for a short period of time (30 seconds) at about 1000° C. such that no interdiffusion of Si and Ge occurs at the heterointerface and that no defect is induced in a crystal in the course of relaxation of strain present in the Si/SiGe type. [0163]
  • At the step shown in FIG. 12 ([0164] a), a photoresist mask (not shown) is formed again on the substrate and the region between the NMOS transistor forming region and the PMOS transistor forming region is excavated in depth deeper than at least the channel region, thus forming a transistor isolation groove 71.
  • At the step shown in FIG. 12 ([0165] b), a first insulating layer 72 is deposited on the entire surface of the substrate including the groove 71. To avoid the execution of a high-temperature process, it is preferable to use a TEOS layer or the like which can be formed at a temperature of not greater than 500° C. using a plasma CVD method. At this time, a trench isolation 73 is formed by the insulating layer embedded in the groove 71.
  • The source-drain contacts which constitute a characteristic feature of the fourth embodiment, are then formed in the following steps. However, the steps of forming the structure in FIG. 10 are not limited to the following steps. [0166]
  • To maximize the effect produced by the fourth embodiment, there is required the presence of a specific very thin semiconductor layer which ultimately serves as the ground of the contacts. In this connection, i-Si[0167] 1-xGex layers 56 n, 56 p are selected as the specific semiconductor layer serving as the ground, and the substrate is etched until the i-Si1-xGex layers 56 n, 56 p are exposed. To expose the i-Si1-xGex layers 56 n, 56 p, it is preferable to apply wet-etching high in selectivity. However, the wet-etching is poor in anisotropy and is not suitable for micro-machining. It is therefore preferable to execute wet-etching after executing dry-etching to selectively remove those regions of the first insulating layer 72 at which source-drain electrodes will be formed, thus forming contact holes to cause gate insulating layers 58 n, 58 p to be exposed. The following steps may be conducted as an example of such a process.
  • To remove the uppermost oxide layer ([0168] gate insulating layers 58 n, 58 p), a solution of the hydrofluoric acid type is used as well known. When i-Si layers 57 n, 57 p are exposed, the etchant of the hydrofluoric acid type which hardly removes silicon, is changed to an etchant capable of removing the i-Si layers 57 n, 57 p. According to the fourth embodiment, contacts are formed in the i-Si1-xGex layers 56 n, 56 p below the i-Si layers 57 n, 57 p. Accordingly, there is selected an etchant which hardly etches the i-Si1-xGex layers 56 n, 56 p but selectively etches the i-Si layers 57 n, 57 p. Using this etchant, the i-Si layers 57 n, 57 p are removed and the i-Si1-xGex layers 56 n, 56 p are exposed. At this time, portions of the i-Si1-xGex layers 56 n, 56 p may be removed due to over-etching. As mentioned earlier, the i-Si1-xGex layers 56 n, 56 p are formed through epitaxial growth for forming an n-channel in the channel region of the NMOS transistor. Accordingly, the fourth embodiment eliminates a step of growing new i-Si1-xGex layers 56 n, 56 p for forming contacts low in resistance using SiGe layers.
  • To form contacts, a metallic layer low in resistance is deposited on the exposed i-Si[0169] 1-xGex layers 56 n, 56 p. When tungsten (W) is used as the metallic material of the metallic layer, there can be formed contacts extremely low in resistance. According to the fourth embodiment, with the temperature set to 400° C., source-drain contact W layers 61 n, 61 p are selectively grown on the i-Si1-xGex layers 56 n, 56 p using, as a source gas, gas obtained by diluting WF6 by hydrogen.
  • At the step shown in FIG. 12 ([0170] e), the substrate is subjected to sputtering to cause an Al alloy layer to be deposited on the whole surface of the substrate, and is then patterned to form Al source- drain electrodes 63 n, 63 p. With the steps above-mentioned, contacts low in resistance can be formed on the source-drain regions.
  • As mentioned earlier, a Si heterojunction MOS device uses a silicon oxide layer as a gate insulating layer. Accordingly, the uppermost semiconductor layer is preferably a Si layer having a great band gap. Thus, the technology of forming a contact metallic layer after a semiconductor layer has been removed as done in the fourth embodiment, is particularly suitable for forming a Si heterojunction MOS device. [0171]
  • Fifth Embodiment
  • In the fourth embodiment, there is taken, as a representative example, a channel structure using a heterojunction of Si and SiGe. The invention in which contacts low in resistance are formed in source-drain regions of an HCMOS device, is not limited to the fourth embodiment. According to the invention, there may be used a channel formed between Si and a heteroepitaxial lamination layer having a structure other than the lamination structure of SiGe in the fourth embodiment. For example, there may be used a channel formed between Si and a mixed crystal semiconductor of Si[0172] 1-x-yGexCy (0≦x≦1, 0≦y≦1). To form a channel at the heterointerface, two kinds of semiconductors different in band gap are always required. Accordingly, the formation of such a contact layer low in resistance is effective.
  • FIG. 13 is a section view of an HCMOS device according to a fifth embodiment in which metallic contact layers low in resistance are formed in the structure shown in FIG. 1. [0173]
  • As shown in FIG. 13, the HCMOS device of the fifth embodiment has source-drain contact W layers [0174] 25 n, 25 p on SiGe layers 15 n, 15 p.
  • Likewise the fourth embodiment, the fifth embodiment has the following characteristic feature in addition to the characteristic features of the first embodiment. That is, the fifth embodiment comprises channel regions, source-drain regions and source-drain contact layers. The channel regions comprise a first semiconductor layer including a Si[0175] 1-x-yGexCy layer (0≦x≦1, 0≦y≦1), a second semiconductor layer different in band gap from the first semiconductor layer, and a carrier accumulation layer formed in the vicinity of the interface between the first and second semiconductor layers. The source-drain regions comprise a third semiconductor layer and a fourth semiconductor layer greater in band gap than the third semiconductor layer. The source-drain contact layers are made of conductive layers which are low in resistance and which are formed immediately above the third semiconductor layer.
  • In the NMOS transistor in the fifth embodiment, a [0176] SiGeC layer 14 n serves as the first semiconductor layer including a Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1), a Si layer 13 n serves as the second semiconductor layer, the SiGe layer 15 n serves as the third semiconductor layer, a Si layer 17 n serves as the fourth semiconductor layer greater in band gap than the SiGe layer 15 n, and the source-drain contact W layer 25 n is formed immediately above the SiGe layer 15 n serving as the third semiconductor layer.
  • In the PMOS transistor of the fifth embodiment, the [0177] SiGe layer 15 p serves as the first semiconductor layer (y=0) including a Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1) and also as the third semiconductor layer, a Si layer 17 p serves as the second semiconductor layer and also as the fourth semiconductor layer greater in band gap than the third semiconductor layer, and a source-drain contact W layer 25 p is formed immediately above the SiGe layer 15 p serving as the third semiconductor layer.
  • As discussed in the foregoing, this embodiment is arranged such that those regions (source-drain contact W layers [0178] 25 n, 25 p) of the substrate which come in contact with the Al source- drain electrodes 21 n, 21 p, are formed in a semiconductor layer which is smaller in band gap out of the semiconductor layers for forming a channel. This reduces the contact resistance as compared with the arrangement in which the contacts are formed immediately above the uppermost Si layers 17 n, 17 p. Thus, the device can be operated at a high speed with lower power consumption.
  • In particular, the source-drain contact W layers [0179] 25 n, 25 p made of tungsten (W) are so formed as to come in contact with the SiGe layers 15 n, 15 p. Thus, the source-drain contact W layers 25 n, 25 p are extremely low in contact resistance.
  • That is, the fifth embodiment can be arranged to lower the contact resistance, yet producing the effects produced by the first embodiment. [0180]
  • Sixth Embodiment
  • FIG. 14 is a section view of an HCMOS device according to a sixth embodiment in which metallic contact layers low in resistance are formed in the structure shown in FIG. 8. [0181]
  • As shown in FIG. 14, the HCMOS device has source-drain contact W layers [0182] 45 n, 45 p on SiGe layers 36 n, 36 p which are quantum well regions.
  • Likewise the fourth embodiment, the sixth embodiment has the following characteristic feature in addition to the characteristic features of the third embodiment. That is, the sixth embodiment comprises channel regions, source-drain regions and source-drain contact layers. The channel regions comprise a first semiconductor layer including a Si[0183] 1-x-yGexCy layer (0≦x≦1, 0≦y≦1), a second semiconductor layer different in band gap from the first semiconductor layer, and a carrier accumulation layer formed in the vicinity of the interface between the first and second semiconductor layers. The source-drain regions comprise a third semiconductor layer and a fourth semiconductor layer greater in band gap than the third semiconductor layer. The source-drain contact layers are made of conductive layers which are low in resistance and which are formed immediately above the third semiconductor layer.
  • In the NMOS transistor in the sixth embodiment, a [0184] SiGeC layer 34 n which is a quantum well region, serves as the first semiconductor layer including a Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1), a first Si layer 33 n serves as the second semiconductor layer, the SiGe layer 36 n which is a quantum well region serves as the third semiconductor layer, a third Si layer 37 n serves as the fourth semiconductor layer greater in band gap than the SiGe layer 36 n, and the source-drain contact W layer 45 n is formed immediately above the SiGe layer 36 n serving as the third semiconductor layer.
  • In the PMOS transistor of the sixth embodiment, a [0185] SiGe layer 36 p serves as the first semiconductor layer (y=0) including a Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1) and also as the third semiconductor layer, a third Si layer 37 p serves as the second semiconductor layer and also as the fourth semiconductor layer greater in band gap than the third semiconductor layer, and a source-drain contact W layer 45 p is formed immediately above the SiGe layer 36 p serving as the third semiconductor layer.
  • As discussed in the foregoing, the sixth embodiment is arranged such that those regions (source-drain contact W layers [0186] 45 n, 45 p) of the substrate which come in contact with the Al source- drain electrodes 41 n, 41 p, are formed in a semiconductor layer which is smaller in band gap out of the semiconductor layers for forming a channel. This reduces the contact resistance as compared with the arrangement in which the contacts are formed immediately above the Si layers 37 n, 37 p which are the uppermost semiconductor layers. Thus, the device can be operated at a high speed with lower power consumption.
  • In particular, the source-drain contact W layers [0187] 45 n, 45 p made of tungsten (W) are so formed as to come in contact with the SiGe layers 36 n, 36 p. Thus, the source-drain contact W layers 45 n, 45 p are extremely low in contact resistance.
  • That is, the sixth embodiment can be arranged to lower the contact resistance, yet producing the effects produced by the third embodiment. [0188]
  • Other Modifications
  • In each of the first to sixth embodiments, the description has been made of a MOS field-effect transistor unit having a gate insulating layer below a gate electrode. However, the present invention is not limited to such embodiments. In particular, a field-effect transistor unit using a heterointerface instead of a heterojunction MOS structure having an insulating layer at the uppermost layer, can form even a device which does not use an insulating layer but uses a Schottky junction. This enables the resistance to be lowered and is advantageous in view of a high-speed operation with low power consumption. [0189]
  • In each of the first to sixth embodiments, the δ doped layers are formed. However, the present invention is not limited to such an arrangement. That is, the effects of the present invention can be produced with the use of no δ doped layers. Even though the δ doped layers are formed, spacer layers are not necessarily required. [0190]
  • A SiGeC layer containing a trace amount of C may be formed instead of each of the SiGe layers in the first, second, third, fifth and sixth embodiments. [0191]
  • In each of the first, second, third, fifth and sixth embodiments, the SiGeC layers may be disposed above the SiGe layers. In such an arrangement, the source-drain contact W layers may be formed immediately above the SiGeC layers in the source-drain regions. [0192]

Claims (28)

What is claimed is:
1. A semiconductor device comprising a field-effect transistor which is formed on a portion of a semiconductor substrate and which comprises a gate electrode, source-drain regions and a channel region between said source-drain regions,
said channel region comprises:
a Si layer;
a Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1) which is formed as coming in contact with said Si layer and in which the composition rate y of C is in the range from 0.01 to 0.03; and
a carrier accumulation layer formed in that portion of said Si1-x-yGexCy layer which is adjacent to said Si layer.
2. A semiconductor device according to claim 1, wherein the composition rates of the respective elements in said Si1-x-yGexCy layer are adjusted such that said Si1-x-yGexCy layer and said Si layer are fitted in lattice for each other.
3. A semiconductor device according to claim 1, wherein said Si1-x-yGexCy layer has a lattice constant smaller than that of said Si layer and has such a thickness as not to induce lattice relaxation.
4. A semiconductor device according to claim 1, further comprising a MOS transistor which is formed on said semiconductor substrate and in which a semiconductor layer formed of a single element serves as a channel region.
5. A semiconductor device according to claim 1, wherein carriers accumulated in said carrier accumulation layer are negative.
6. A semiconductor device according to claim 1, wherein a carrier supply layer for supplying carriers to said carrier accumulation layer is formed in that portion of said Si layer which is adjacent to said Si1-x-yGexCy layer.
7. A semiconductor device according to claim 1, wherein:
carriers accumulated in said carrier accumulation layer are negative;
there is formed another field-effect transistor which is formed on other portion of said semiconductor substrate and which comprises a gate electrode, source-drain regions and a channel region between said source-drain regions; and
said channel region of said another field-effect transistor comprises:
a second Si layer;
a SiGe layer formed adjacent to said second Si layer; and
a second carrier accumulation layer for accumulating positive carriers, which is formed in that portion of said SiGe layer adjacent to said second Si layer.
8. A semiconductor device according to claim 1, wherein said Si1-x-yGexCy layer is a quantum well region.
9. A semiconductor device according to claim 7, wherein said SiGe layer is a quantum well region.
10. A semiconductor device according to claim 1, wherein:
each of said source-drain regions comprises a first semiconductor layer and a second semiconductor layer greater in band gap than said first semiconductor layer; and
there is further formed a source-drain contact layer which is made of a conductive layer low in resistance and which is formed immediately above said first semiconductor layer.
11. A semiconductor device comprising a field-effect transistor which is formed on a portion of a semiconductor substrate and which comprises a gate electrode, source-drain regions and a channel region between said source-drain regions,
said channel region comprises:
a first Si layer;
a first Si1-x-yGexCy layer (0≦x≦1, 0<y≦1) which is formed as coming in contact with said first Si layer;
a second Si layer;
a second Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1) which is formed as coming in contact with said second Si layer and which is different in band gap from said first Si1-x-yGexCy layer; and
first and second carrier accumulation layers for respectively confining different conductive carriers, said first and second carrier accumulation layers being respectively formed in that portion of said first Si1-x-yGexCy layer which is adjacent to said first Si layer and in that portion of said second Si1-x-yGexCy layer which is adjacent to said second Si layer.
12. A semiconductor device according to claim 11, wherein the composition rate y of C in said second Si1-x-yGexCy layer is equal to 0.
13. A semiconductor device according to claim 11, further comprising a MOS transistor which is formed on said semiconductor substrate and in which a semiconductor layer formed of a single element serves as a channel region.
14. A semiconductor device according to claim 11, wherein the composition rate y of C in said first Si1-x-yGexCy layer is in the range from 0.01 to 0.03.
15. A semiconductor device according to claim 11, wherein the composition rates of the respective elements in said first Si1-x-yGexCy layer are adjusted such that said first Si1-x-yGexCy layer and said first Si layer are fitted in lattice for each other.
16. A semiconductor device according to claim 11, wherein said first Si1-x-yGexCy layer has a lattice constant smaller than that of said first Si layer and has such a thickness as to induce no lattice relaxation.
17. A semiconductor device according to claim 11, wherein the carriers to be accumulated in said first carrier accumulation layer are negative, and the carriers to be accumulated in said second carrier accumulation layer are positive.
18. A semiconductor device according to claim 11, wherein a carrier supply layer for supplying carriers to said first carrier accumulation layer is formed in that portion of said first Si layer which is adjacent to said first Si1-x-yGexCy layer.
19. A semiconductor device according to claim 11, wherein at least one of said first and second Si1-x-yGexCy layer is a quantum well region.
20. A semiconductor device according to claim 11, further comprising a source-drain contact layer which is made of a conductive layer, which is low in resistance and which is formed immediately above said first or second Si1-x-yGexCy layer whichever is the upper.
21. A semiconductor device comprising at least one field-effect transistor formed on a semiconductor substrate, said field-effect transistor comprising:
a channel region comprising a first semiconductor layer including a Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1), a second semiconductor layer different in band gap from said first semiconductor layer, and a carrier accumulation layer formed in the vicinity of the interface between said first and second semiconductor layers;
a source-drain region comprising: a third semiconductor layer and a fourth semiconductor layer greater in band gap than said third semiconductor layer; and
a source-drain contact layer which is made of a conductive layer, which is low in resistance and which is formed immediately above said third semiconductor layer.
22. A semiconductor device according to claim 21, wherein:
said first semiconductor layer also serves as said third semiconductor layer;
said second semiconductor layer also serves as said fourth semiconductor layer; and
said second semiconductor layer is formed on said first semiconductor layer.
23. A semiconductor device according to claim 21, wherein:
said first and third semiconductor layers are respectively formed by different semiconductor layers;
said third semiconductor layer is formed on said first semiconductor layer; and
said fourth semiconductor layer is formed on said third semiconductor layer.
24. A method of producing a semiconductor device including an n-channel field-effect transistor and a p-channel field-effect transistor, comprising:
a first step of forming, on a semiconductor substrate, a first Si layer and a first Si1-x-yGexCy layer (0≦x≦1, 0<y1) which comes in contact with said first Si layer and in which a first carrier accumulation layer serving as a channel of said n-channel field-effect transistor is formed in that portion of said first Si1-x-yGexCy layer which is adjacent to said first Si layer;
a second step of forming, on said semiconductor substrate, a second Si layer and a second Si1-x-yGexCy layer (0≦x≦1, 0y≦1) which comes in contact with said second Si layer, which is different in band gap from said first Si1-x-yGexCy layer and in which a second carrier accumulation layer serving as a channel of said p-channel field-effect transistor is formed in that portion of said second Si1-x-yGexCy layer which is adjacent to said second Si layer;
a third step of depositing a conductive layer on said first or second Si1-x-yGexCy layer whichever is the upper, and patterning said conductive layer to form the gate electrodes of said n- and p-channel field-effect transistors; and
a fourth step of introducing, with said gate electrodes of said field-effect transistors used as masks, (i) n-type impurity into the n-channel field-effect transistor forming region in depth which reaches at least said first carrier accumulation layer and (ii) p-type impurity into the p-channel field-effect transistor forming region in depth which reaches at least said second carrier accumulation layer, thus forming source-drain regions of said n- and p-channel field-effect transistors.
25. A method of producing a semiconductor device which has a first semiconductor layer including a Si1-x-yGexCy layer (0≦x≦1, 0≦y≦1), a second semiconductor layer different in band gap from said first semiconductor layer and a carrier accumulation layer serving as a channel formed in the vicinity of the interface between said first and second semiconductor layers, and which serves as a field-effect transistor, said method comprising:
a first step of successively forming, on a field-effect transistor forming region of a semiconductor substrate, a third semiconductor layer and a fourth semiconductor layer greater in band gap than said third semiconductor layer;
a second step of depositing a conductive layer on said fourth semiconductor layer and patterning said conductive layer to form a gate electrode;
a third step of introducing impurity into those portions of said field-effect transistor forming region which are located at both lateral sides of said gate electrode, thus forming source-drain regions, said impurity being introduced in depth which reaches at least said carrier accumulation layer;
a fourth step of etching said fourth semiconductor layer in said source-drain regions until at least said third semiconductor layer is exposed; and
a fifth step of forming, on the exposed surface of said third semiconductor layer, source-drain contact layers made of conductive layers low in resistance.
26. A semiconductor device producing method according to claim 25, wherein said first step is executed such that said first semiconductor layer also serves as said third semiconductor layer and that said second semiconductor layer also serves as said fourth semiconductor layer.
27. A semiconductor device producing method according to claim 25, further comprising, prior to said first step, a step of forming said first and second semiconductor layers, said first step being executed such that said third semiconductor layer is formed on said first semiconductor layer.
28. A semiconductor device producing method according to claim 25, wherein said fourth step is executed under etching conditions in which the etching selectivity for the third and fourth semiconductor layers is high.
US08/931,562 1996-09-17 1997-09-16 FET having a Si/SiGeC heterojunction channel Expired - Fee Related US6399970B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/208,024 US6190975B1 (en) 1996-09-17 1998-12-09 Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer
US10/115,983 US6674100B2 (en) 1996-09-17 2002-04-05 SiGeC-based CMOSFET with separate heterojunctions

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP24439596 1996-09-17
JP26957896 1996-10-11
JP31455196 1996-11-26
JP8-269578 1996-11-26
JP8-244395 1996-11-26
JP8-314551 1996-11-26

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US09/208,024 Division US6190975B1 (en) 1996-09-17 1998-12-09 Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer
US10/115,983 Division US6674100B2 (en) 1996-09-17 2002-04-05 SiGeC-based CMOSFET with separate heterojunctions

Publications (2)

Publication Number Publication Date
US20020011617A1 true US20020011617A1 (en) 2002-01-31
US6399970B2 US6399970B2 (en) 2002-06-04

Family

ID=27333232

Family Applications (3)

Application Number Title Priority Date Filing Date
US08/931,562 Expired - Fee Related US6399970B2 (en) 1996-09-17 1997-09-16 FET having a Si/SiGeC heterojunction channel
US09/208,024 Expired - Fee Related US6190975B1 (en) 1996-09-17 1998-12-09 Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer
US10/115,983 Expired - Fee Related US6674100B2 (en) 1996-09-17 2002-04-05 SiGeC-based CMOSFET with separate heterojunctions

Family Applications After (2)

Application Number Title Priority Date Filing Date
US09/208,024 Expired - Fee Related US6190975B1 (en) 1996-09-17 1998-12-09 Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer
US10/115,983 Expired - Fee Related US6674100B2 (en) 1996-09-17 2002-04-05 SiGeC-based CMOSFET with separate heterojunctions

Country Status (3)

Country Link
US (3) US6399970B2 (en)
EP (2) EP1014435B1 (en)
DE (2) DE69730625T2 (en)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429084B1 (en) * 2001-06-20 2002-08-06 International Business Machines Corporation MOS transistors with raised sources and drains
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6682965B1 (en) * 1997-03-27 2004-01-27 Sony Corporation Method of forming n-and p- channel field effect transistors on the same silicon layer having a strain effect
US20040140481A1 (en) * 2002-03-08 2004-07-22 Basanth Jagannathan Optimized blocking impurity placement for SiGe HBTs
US20040192002A1 (en) * 2003-03-31 2004-09-30 Ravindra Soman Method for fabricating a heterojunction bipolar transistor
US6815279B2 (en) * 1999-02-22 2004-11-09 Kabushiki Kaisha Toshiba Manufacturing method of CMOS devices
US20040232441A1 (en) * 2000-09-11 2004-11-25 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor
US20060105510A1 (en) * 2004-11-16 2006-05-18 Mun Jae K Transistor or semiconductor device and method of fabricating the same
US20060234455A1 (en) * 2005-04-19 2006-10-19 Chien-Hao Chen Structures and methods for forming a locally strained transistor
US20070018255A1 (en) * 2004-05-17 2007-01-25 Fujitsu Limited Semiconductor device and method for fabricating the same
US20070096149A1 (en) * 2005-10-31 2007-05-03 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US20070173022A1 (en) * 2006-01-20 2007-07-26 Chih-Hao Wang Defect-free SiGe source/drain formation by epitaxy-free process
US20080224218A1 (en) * 2007-03-13 2008-09-18 International Business Machines Corporation Cmos structure including differential channel stressing layer compositions
US20080296614A1 (en) * 2004-01-08 2008-12-04 Kazuya Uejima Mis-Type Field-Effect Transistor
US20090042373A1 (en) * 2007-08-08 2009-02-12 Freescale Semiconductor, Inc. Process of forming an electronic device including a doped semiconductor layer
US20090230331A1 (en) * 2008-03-12 2009-09-17 Alexei Koudymov Device having active region with lower electron concentration
US20100221883A1 (en) * 2009-02-27 2010-09-02 Stephan Kronholz Adjusting of a non-silicon fraction in a semiconductor alloy during transistor fabrication by an intermediate oxidation process
CN102569082A (en) * 2010-12-24 2012-07-11 中芯国际集成电路制造(上海)有限公司 Method for manufacturing embedded germanium-silicon strain PMOS (P-channel Metal Oxide Semiconductor) structure
CN102664166A (en) * 2012-05-31 2012-09-12 中国科学院上海微系统与信息技术研究所 CMOS (complementary metal-oxide-semiconductor) device and manufacturing method thereof
US20130037886A1 (en) * 2011-08-10 2013-02-14 Teng-Chun Tsai Semiconductor device and method of making the same
CN102956445A (en) * 2011-08-24 2013-03-06 中芯国际集成电路制造(上海)有限公司 Method for growing germanium-silicon epitaxial layers
US20130230977A1 (en) * 2012-03-05 2013-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Thin capped channel layers of semiconductor devices and methods of forming the same
CN103579308A (en) * 2012-07-27 2014-02-12 中芯国际集成电路制造(上海)有限公司 Mos transistor device and manufacturing method thereof
US9219150B1 (en) * 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9576798B2 (en) 2014-09-18 2017-02-21 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
CN108766967A (en) * 2018-05-23 2018-11-06 燕山大学 A kind of Planar Compound strain Si/SiGe cmos devices and preparation method
US20220399459A1 (en) * 2021-06-11 2022-12-15 United Semiconductor (Xiamen) Co., Ltd. Semiconductor transistor structure and fabrication method thereof

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
JP3443343B2 (en) * 1997-12-03 2003-09-02 松下電器産業株式会社 Semiconductor device
AU764799B2 (en) * 1997-12-29 2003-08-28 Coretek, Inc. Microelectromechanically tunable, confocal, vertical cavity surface emitting laser and fabry-perot filter
TW415103B (en) * 1998-03-02 2000-12-11 Ibm Si/SiGe optoelectronic integrated circuits
JP4258034B2 (en) * 1998-05-27 2009-04-30 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
EP1020900B1 (en) * 1999-01-14 2009-08-05 Panasonic Corporation Semiconductor device and method for fabricating the same
JP4521542B2 (en) * 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
EP1672700A2 (en) * 1999-11-15 2006-06-21 Matsushita Electric Industrial Co., Ltd. Field effect semiconductor device
US6518644B2 (en) * 2000-01-20 2003-02-11 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
CN1180483C (en) * 2000-03-27 2004-12-15 松下电器产业株式会社 Si Ge C semiconductor crystal and production method thereof
US6319799B1 (en) * 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6647041B1 (en) * 2000-05-26 2003-11-11 Finisar Corporation Electrically pumped vertical optical cavity with improved electrical performance
US6743680B1 (en) * 2000-06-22 2004-06-01 Advanced Micro Devices, Inc. Process for manufacturing transistors having silicon/germanium channel regions
JP4447128B2 (en) * 2000-07-12 2010-04-07 富士通マイクロエレクトロニクス株式会社 Insulated gate type semiconductor device manufacturing method
JP2002043566A (en) * 2000-07-27 2002-02-08 Matsushita Electric Ind Co Ltd Semiconductor device and manufacturing method thereof
US20110091428A1 (en) * 2000-07-31 2011-04-21 New York Medical College Compositions of adult organ stem cells and uses thereof
JP2004519090A (en) * 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション Gate technology for strained surface channel and strained buried channel MOSFET devices
EP1309989B1 (en) * 2000-08-16 2007-01-10 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
JP2002100762A (en) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp Semiconductor device and manufacturing method therefor
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020090772A1 (en) * 2000-12-11 2002-07-11 Seiko Epson Corporation Method for manufacturing semiconductor lamination, method for manufacturing lamination, semiconductor device, and electronic equipment
WO2002052652A1 (en) * 2000-12-26 2002-07-04 Matsushita Electric Industrial Co., Ltd. Semiconductor device and its manufacturing method
US6646322B2 (en) * 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) * 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US7205604B2 (en) 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
US6709935B1 (en) 2001-03-26 2004-03-23 Advanced Micro Devices, Inc. Method of locally forming a silicon/geranium channel layer
WO2002082526A1 (en) * 2001-04-03 2002-10-17 Matsushita Electric Industrial Co., Ltd. Semiconductor device and its manufacturing method
US6781163B2 (en) * 2001-04-12 2004-08-24 Matsushita Electric Industrial Co., Ltd. Heterojunction field effect transistor
US6750119B2 (en) * 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6855436B2 (en) * 2003-05-30 2005-02-15 International Business Machines Corporation Formation of silicon-germanium-on-insulator (SGOI) by an integral high temperature SIMOX-Ge interdiffusion anneal
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US7301180B2 (en) 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
JP2004531901A (en) * 2001-06-21 2004-10-14 マサチューセッツ インスティテュート オブ テクノロジー MOSFET with strained semiconductor layer
US6730551B2 (en) 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
WO2003025984A2 (en) * 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003028106A2 (en) 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
JP4799786B2 (en) * 2001-10-02 2011-10-26 ルネサスエレクトロニクス株式会社 Field effect semiconductor device for power amplification, method for manufacturing the same, and power module
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4060580B2 (en) * 2001-11-29 2008-03-12 株式会社ルネサステクノロジ Heterojunction bipolar transistor
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
JP3610951B2 (en) * 2002-01-16 2005-01-19 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
US6805962B2 (en) * 2002-01-23 2004-10-19 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
WO2003105204A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
WO2003105206A1 (en) * 2002-06-10 2003-12-18 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
JP4421811B2 (en) * 2002-06-25 2010-02-24 株式会社ルネサステクノロジ Semiconductor integrated circuit device and manufacturing method thereof
JP3597831B2 (en) * 2002-07-01 2004-12-08 株式会社東芝 Field effect transistor and method of manufacturing the same
JP4750342B2 (en) * 2002-07-03 2011-08-17 ルネサスエレクトロニクス株式会社 MOS-FET, manufacturing method thereof, and semiconductor device
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7375385B2 (en) * 2002-08-23 2008-05-20 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US7098095B1 (en) * 2002-12-10 2006-08-29 National Semiconductor Corporation Method of forming a MOS transistor with a layer of silicon germanium carbon
US6818938B1 (en) * 2002-12-10 2004-11-16 National Semiconductor Corporation MOS transistor and method of forming the transistor with a channel region in a layer of composite material
EP1439570A1 (en) * 2003-01-14 2004-07-21 Interuniversitair Microelektronica Centrum ( Imec) SiGe strain relaxed buffer for high mobility devices and a method of fabricating it
US6903384B2 (en) * 2003-01-15 2005-06-07 Sharp Laboratories Of America, Inc. System and method for isolating silicon germanium dislocation regions in strained-silicon CMOS applications
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
JP4585510B2 (en) * 2003-03-07 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 Shallow trench isolation process
US6963078B2 (en) * 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US7183611B2 (en) * 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US6943407B2 (en) * 2003-06-17 2005-09-13 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
TWI294670B (en) 2003-06-17 2008-03-11 Ibm Ultra scalable high speed heterojunction vertical n-channel misfets and methods thereof
US6927414B2 (en) * 2003-06-17 2005-08-09 International Business Machines Corporation High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US7078742B2 (en) 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US6940705B2 (en) * 2003-07-25 2005-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor with enhanced performance and method of manufacture
US6936881B2 (en) * 2003-07-25 2005-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US7923785B2 (en) * 2003-08-18 2011-04-12 Globalfoundries Inc. Field effect transistor having increased carrier mobility
US7071052B2 (en) * 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US6949761B2 (en) * 2003-10-14 2005-09-27 International Business Machines Corporation Structure for and method of fabricating a high-mobility field-effect transistor
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7888201B2 (en) 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US20050104092A1 (en) * 2003-11-19 2005-05-19 International Business Machiness Corportion Method of reducing dislocation-induced leakage in a strained-layer field-effect transistor
US7183593B2 (en) * 2003-12-05 2007-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Heterostructure resistor and method of forming the same
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) * 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7224007B1 (en) * 2004-01-12 2007-05-29 Advanced Micro Devices, Inc. Multi-channel transistor with tunable hot carrier effect
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
JP3884439B2 (en) * 2004-03-02 2007-02-21 株式会社東芝 Semiconductor device
JP4177775B2 (en) * 2004-03-16 2008-11-05 株式会社東芝 Semiconductor substrate, manufacturing method thereof, and semiconductor device
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
EP1730506B1 (en) * 2004-04-02 2018-09-26 Silicon Laboratories Inc. An integrated electronic sensor
US7087965B2 (en) * 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7244958B2 (en) * 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7078722B2 (en) * 2004-09-20 2006-07-18 International Business Machines Corporation NFET and PFET devices and methods of fabricating same
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7202124B2 (en) * 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
KR100592749B1 (en) * 2004-11-17 2006-06-26 한국전자통신연구원 High voltage MOSFET having Si/SiGe hetero structure and a method for manufacturing the same
US7547605B2 (en) * 2004-11-22 2009-06-16 Taiwan Semiconductor Manufacturing Company Microelectronic device and a method for its manufacture
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
KR100607785B1 (en) * 2004-12-31 2006-08-02 동부일렉트로닉스 주식회사 Method for manufacturing split gate flash EEPROM
US7271043B2 (en) 2005-01-18 2007-09-18 International Business Machines Corporation Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20090206394A1 (en) * 2005-04-01 2009-08-20 Daniel Chanemougame Strained Channel PMOS Transistor and Corresponding Production Method
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US20060292809A1 (en) * 2005-06-23 2006-12-28 Enicks Darwin G Method for growth and optimization of heterojunction bipolar transistor film stacks by remote injection
US20070054460A1 (en) * 2005-06-23 2007-03-08 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070069302A1 (en) * 2005-09-28 2007-03-29 Been-Yih Jin Method of fabricating CMOS devices having a single work function gate electrode by band gap engineering and article made thereby
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090408A1 (en) * 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
CN101326646B (en) * 2005-11-01 2011-03-16 麻省理工学院 Monolithically integrated semiconductor materials and devices
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US20070102834A1 (en) * 2005-11-07 2007-05-10 Enicks Darwin G Strain-compensated metastable compound base heterojunction bipolar transistor
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
WO2007067589A2 (en) * 2005-12-05 2007-06-14 Massachusetts Institute Of Technology Insulated gate devices and method of making same
US8183556B2 (en) 2005-12-15 2012-05-22 Intel Corporation Extreme high mobility CMOS logic
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US20070235877A1 (en) * 2006-03-31 2007-10-11 Miriam Reshotko Integration scheme for semiconductor photodetectors on an integrated circuit chip
US7700975B2 (en) * 2006-03-31 2010-04-20 Intel Corporation Schottky barrier metal-germanium contact in metal-germanium-metal photodetectors
US20070262295A1 (en) * 2006-05-11 2007-11-15 Atmel Corporation A method for manipulation of oxygen within semiconductor materials
US7436006B2 (en) * 2006-05-19 2008-10-14 International Business Machines Corporation Hybrid strained orientated substrates and devices
US7772060B2 (en) * 2006-06-21 2010-08-10 Texas Instruments Deutschland Gmbh Integrated SiGe NMOS and PMOS transistors
US8063397B2 (en) * 2006-06-28 2011-11-22 Massachusetts Institute Of Technology Semiconductor light-emitting structure and graded-composition substrate providing yellow-green light emission
US8143646B2 (en) * 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
DE102006046380B4 (en) * 2006-09-29 2011-03-24 Globalfoundries Inc. Method for producing a field effect transistor with an elastically strained channel region and field effect transistor
US7495250B2 (en) * 2006-10-26 2009-02-24 Atmel Corporation Integrated circuit structures having a boron- and carbon-doped etch-stop and methods, devices and systems related thereto
US7569913B2 (en) * 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
WO2008137645A1 (en) * 2007-05-04 2008-11-13 Entegris, Inc. Network interface device
US7800150B2 (en) * 2007-05-29 2010-09-21 United Microelectronics Corp. Semiconductor device
EP2070533B1 (en) * 2007-12-11 2014-05-07 Apoteknos Para La Piel, s.l. Use of a compound derived from P-hydroxyphenyl propionic acid for the treatment of psoriasis
JP2009200107A (en) * 2008-02-19 2009-09-03 Elpida Memory Inc Semiconductor device and its manufacturing method
US8211786B2 (en) * 2008-02-28 2012-07-03 International Business Machines Corporation CMOS structure including non-planar hybrid orientation substrate with planar gate electrodes and method for fabrication
US7999250B2 (en) * 2008-03-04 2011-08-16 Hvvi Semiconductors, Inc. Silicon-germanium-carbon semiconductor structure
US8017489B2 (en) * 2008-03-13 2011-09-13 International Business Machines Corporation Field effect structure including carbon alloyed channel region and source/drain region not carbon alloyed
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US8384122B1 (en) * 2008-04-17 2013-02-26 The Regents Of The University Of California Tunneling transistor suitable for low voltage operation
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
EP2202795A1 (en) * 2008-12-24 2010-06-30 S.O.I. TEC Silicon Method for fabricating a semiconductor substrate and semiconductor substrate
CN102341889A (en) * 2009-03-11 2012-02-01 住友化学株式会社 Semiconductor substrate, method for manufacturing semiconductor substrate, electronic device and method for manufacturing electronic device
US8816391B2 (en) * 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
CN101853882B (en) 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 There is the high-mobility multiple-gate transistor of the switch current ratio of improvement
US8455860B2 (en) * 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US9768305B2 (en) * 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8617976B2 (en) * 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
US8084309B2 (en) * 2009-08-17 2011-12-27 International Business Machines Corporation Extremely thin silicon on insulator (ETSOI) complementary metal oxide semiconductor (CMOS) with in-situ doped source and drain regions formed by a single mask
US8193523B2 (en) 2009-12-30 2012-06-05 Intel Corporation Germanium-based quantum well devices
CN101819996B (en) * 2010-04-16 2011-10-26 清华大学 Semiconductor structure
CN101986435B (en) * 2010-06-25 2012-12-19 中国科学院上海微系统与信息技术研究所 Manufacturing method of metal oxide semiconductor (MOS) device structure for preventing floating body and self-heating effect
US8432002B2 (en) * 2011-06-28 2013-04-30 International Business Machines Corporation Method and structure for low resistive source and drain regions in a replacement metal gate process flow
KR20130008281A (en) * 2011-07-12 2013-01-22 삼성전자주식회사 Methods for manufacturing power devices
US8669590B2 (en) 2011-07-26 2014-03-11 Applied Materials, Inc. Methods and apparatus for forming silicon germanium-carbon semiconductor structures
US8785291B2 (en) * 2011-10-20 2014-07-22 International Business Machines Corporation Post-gate shallow trench isolation structure formation
KR101990622B1 (en) 2011-11-23 2019-06-18 아콘 테크놀로지스 인코포레이티드 Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers
US8828813B2 (en) * 2012-04-13 2014-09-09 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels
CN102810568B (en) * 2012-07-16 2014-12-31 西安电子科技大学 Stress silicon (Si) vertical-channel P-channel metal-oxide semiconductor (PMOS) device and preparation method
KR101927411B1 (en) * 2012-09-28 2018-12-10 삼성전자주식회사 Semiconductor device using 2-dimensional electron gas and 2-dimensional hole gas and method of manufacturing the same
CN103855001A (en) * 2012-12-04 2014-06-11 中芯国际集成电路制造(上海)有限公司 Transistor and manufacturing method thereof
DE112013007039B4 (en) * 2013-06-28 2023-11-02 Intel Corporation Integrating VLSI-compatible fin structures with selective epitaxial growth and fabricating devices thereon
US9224822B2 (en) 2013-09-10 2015-12-29 Globalfoundries Inc. High percentage silicon germanium alloy fin formation
US9466670B2 (en) * 2014-03-12 2016-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sandwich epi channel for device enhancement
US9741622B2 (en) * 2015-01-29 2017-08-22 Globalfoundries Inc. Methods of forming NMOS and PMOS FinFET devices and the resulting product
US20180323260A1 (en) * 2015-12-23 2018-11-08 Intel Corporation Dual threshold voltage (vt) channel devices and their methods of fabrication
US9666486B1 (en) 2016-05-18 2017-05-30 International Business Machines Corporation Contained punch through stopper for CMOS structures on a strain relaxed buffer substrate
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
US10879240B2 (en) * 2016-11-18 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
WO2018094205A1 (en) 2016-11-18 2018-05-24 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height
US10056498B2 (en) * 2016-11-29 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TWI660465B (en) * 2017-07-28 2019-05-21 新唐科技股份有限公司 Semiconductor device and manufacturing method thereof
US10680065B2 (en) * 2018-08-01 2020-06-09 Globalfoundries Inc. Field-effect transistors with a grown silicon-germanium channel
CN113035934B (en) * 2021-03-12 2022-07-05 浙江集迈科微电子有限公司 GaN-based HEMT device and preparation method thereof
US20220396476A1 (en) * 2021-06-14 2022-12-15 Lawrence Semiconductor Research Laboratory, Inc. Engineered substrates, free-standing semiconductor microstructures, and related systems and methods

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE373100C (en) 1921-10-16 1923-04-03 Otto Hempel Exposure set
JPS63308966A (en) 1987-06-11 1988-12-16 Seiko Epson Corp Semiconductor device
JP2569058B2 (en) * 1987-07-10 1997-01-08 株式会社日立製作所 Semiconductor device
DE3731000C2 (en) 1987-09-16 1995-10-12 Licentia Gmbh Integrated semiconductor device with p-channel and n-channel field effect transistors
JP2611358B2 (en) 1988-07-28 1997-05-21 富士通株式会社 Semiconductor device
EP0323896B1 (en) * 1988-01-07 1996-04-17 Fujitsu Limited Complementary semiconductor device
US5272365A (en) 1990-03-29 1993-12-21 Kabushiki Kaisha Toshiba Silicon transistor device with silicon-germanium electron gas hetero structure channel
JPH0691249B2 (en) * 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Modulation-doped MISFET and manufacturing method thereof
JPH05114708A (en) 1991-09-18 1993-05-07 Fujitsu Ltd Semiconductor device
JP3096341B2 (en) 1992-02-03 2000-10-10 日本電信電話株式会社 High electron mobility transistor
JP3023239B2 (en) 1992-03-27 2000-03-21 大同ほくさん株式会社 Semiconductor device
JP2914049B2 (en) 1992-10-27 1999-06-28 株式会社デンソー Compound semiconductor substrate having heterojunction and field effect transistor using the same
JPH06163600A (en) 1992-11-26 1994-06-10 Nec Corp Field-effect transistor
US5323020A (en) 1992-12-22 1994-06-21 International Business Machines Corporation High performance MESFET with multiple quantum wells
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5591655A (en) * 1995-02-28 1997-01-07 Sgs-Thomson Microelectronics, Inc. Process for manufacturing a vertical switched-emitter structure with improved lateral isolation
DE19533313A1 (en) * 1995-09-08 1997-03-13 Max Planck Gesellschaft Semiconductor transistor device structure for e.g. CMOS FET
US5686744A (en) * 1996-06-17 1997-11-11 Northern Telecom Limited Complementary modulation-doped field-effect transistors
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel

Cited By (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7355214B2 (en) 1997-03-27 2008-04-08 Sony Corporation Field effect transistor and fabrication thereof, semiconductor device and fabrication thereof, logic circuit including the semiconductor device, and semiconductor substrate
US20080176367A1 (en) * 1997-03-27 2008-07-24 Sony Corporation Field effect transistor and fabrication thereof, semiconductor device and fabrication thereof, logic circuit including the semiconductor device, and semiconductor substrate
US6682965B1 (en) * 1997-03-27 2004-01-27 Sony Corporation Method of forming n-and p- channel field effect transistors on the same silicon layer having a strain effect
US20040135210A1 (en) * 1997-03-27 2004-07-15 Takashi Noguchi Field effect transistor and fabrication thereof, semiconductor device and fabrication thereof, logic circuit including the semiconductor device, and semiconductor substrate
US7851289B2 (en) * 1997-03-27 2010-12-14 Sony Corporation Method of forming N-and P- channel field effect transistors on the same silicon layer having a strain effect
US6815279B2 (en) * 1999-02-22 2004-11-09 Kabushiki Kaisha Toshiba Manufacturing method of CMOS devices
US20040232441A1 (en) * 2000-09-11 2004-11-25 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor
US7135721B2 (en) * 2000-09-11 2006-11-14 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor having reduced driving voltage requirements
US6429084B1 (en) * 2001-06-20 2002-08-06 International Business Machines Corporation MOS transistors with raised sources and drains
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US20040140481A1 (en) * 2002-03-08 2004-07-22 Basanth Jagannathan Optimized blocking impurity placement for SiGe HBTs
US20040192002A1 (en) * 2003-03-31 2004-09-30 Ravindra Soman Method for fabricating a heterojunction bipolar transistor
US7517768B2 (en) * 2003-03-31 2009-04-14 Intel Corporation Method for fabricating a heterojunction bipolar transistor
US7579636B2 (en) * 2004-01-08 2009-08-25 Nec Corporation MIS-type field-effect transistor
US20080296614A1 (en) * 2004-01-08 2008-12-04 Kazuya Uejima Mis-Type Field-Effect Transistor
US20070018255A1 (en) * 2004-05-17 2007-01-25 Fujitsu Limited Semiconductor device and method for fabricating the same
US20090170250A1 (en) * 2004-11-16 2009-07-02 Jae Kyoung Mun Transistor of semiconductor device and method of fabricating the same
US20070238232A9 (en) * 2004-11-16 2007-10-11 Mun Jae K Transistor or semiconductor device and method of fabricating the same
US7871874B2 (en) 2004-11-16 2011-01-18 Electronics And Telecommunications Research Institute Transistor of semiconductor device and method of fabricating the same
US7518166B2 (en) * 2004-11-16 2009-04-14 Electronics And Telecommunications Research Institute Transistor or semiconductor device comprising ohmic contact in an epitaxy substrate
US20060105510A1 (en) * 2004-11-16 2006-05-18 Mun Jae K Transistor or semiconductor device and method of fabricating the same
US20060234455A1 (en) * 2005-04-19 2006-10-19 Chien-Hao Chen Structures and methods for forming a locally strained transistor
US20110223737A1 (en) * 2005-10-31 2011-09-15 Globalfoundries Singapore Pte. Ltd. Implant damage control by in-situ c doping during sige epitaxy for device applications
US8790980B2 (en) 2005-10-31 2014-07-29 International Business Machines Corporation (Ibm) Implant damage control by in-situ C doping during sige epitaxy for device applications
US8652892B2 (en) 2005-10-31 2014-02-18 International Business Machines Corporation (Ibm) Implant damage control by in-situ C doping during sige epitaxy for device applications
US7947546B2 (en) * 2005-10-31 2011-05-24 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US20070096149A1 (en) * 2005-10-31 2007-05-03 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US9899519B2 (en) 2006-01-20 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-Free SiGe source/drain formation by epitaxy-free process
US20070173022A1 (en) * 2006-01-20 2007-07-26 Chih-Hao Wang Defect-free SiGe source/drain formation by epitaxy-free process
US8900980B2 (en) 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US7875511B2 (en) 2007-03-13 2011-01-25 International Business Machines Corporation CMOS structure including differential channel stressing layer compositions
US20080224218A1 (en) * 2007-03-13 2008-09-18 International Business Machines Corporation Cmos structure including differential channel stressing layer compositions
US7560354B2 (en) * 2007-08-08 2009-07-14 Freescale Semiconductor, Inc. Process of forming an electronic device including a doped semiconductor layer
US20090042373A1 (en) * 2007-08-08 2009-02-12 Freescale Semiconductor, Inc. Process of forming an electronic device including a doped semiconductor layer
US20090230331A1 (en) * 2008-03-12 2009-09-17 Alexei Koudymov Device having active region with lower electron concentration
US8497527B2 (en) * 2008-03-12 2013-07-30 Sensor Electronic Technology, Inc. Device having active region with lower electron concentration
US8735253B2 (en) * 2009-02-27 2014-05-27 Globalfoundries Inc. Adjusting of a non-silicon fraction in a semiconductor alloy during transistor fabrication by an intermediate oxidation process
US20100221883A1 (en) * 2009-02-27 2010-09-02 Stephan Kronholz Adjusting of a non-silicon fraction in a semiconductor alloy during transistor fabrication by an intermediate oxidation process
CN102569082A (en) * 2010-12-24 2012-07-11 中芯国际集成电路制造(上海)有限公司 Method for manufacturing embedded germanium-silicon strain PMOS (P-channel Metal Oxide Semiconductor) structure
US20130037886A1 (en) * 2011-08-10 2013-02-14 Teng-Chun Tsai Semiconductor device and method of making the same
US9184100B2 (en) * 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US20150348971A1 (en) * 2011-08-10 2015-12-03 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US10014227B2 (en) * 2011-08-10 2018-07-03 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
CN102956445A (en) * 2011-08-24 2013-03-06 中芯国际集成电路制造(上海)有限公司 Method for growing germanium-silicon epitaxial layers
US20130230977A1 (en) * 2012-03-05 2013-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Thin capped channel layers of semiconductor devices and methods of forming the same
US8883598B2 (en) * 2012-03-05 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Thin capped channel layers of semiconductor devices and methods of forming the same
CN102664166A (en) * 2012-05-31 2012-09-12 中国科学院上海微系统与信息技术研究所 CMOS (complementary metal-oxide-semiconductor) device and manufacturing method thereof
CN103579308A (en) * 2012-07-27 2014-02-12 中芯国际集成电路制造(上海)有限公司 Mos transistor device and manufacturing method thereof
US9219150B1 (en) * 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9818874B2 (en) 2014-09-18 2017-11-14 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9576798B2 (en) 2014-09-18 2017-02-21 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
US9349865B2 (en) 2014-09-18 2016-05-24 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
CN108766967A (en) * 2018-05-23 2018-11-06 燕山大学 A kind of Planar Compound strain Si/SiGe cmos devices and preparation method
US20220399459A1 (en) * 2021-06-11 2022-12-15 United Semiconductor (Xiamen) Co., Ltd. Semiconductor transistor structure and fabrication method thereof

Also Published As

Publication number Publication date
US20020105015A1 (en) 2002-08-08
DE69711138T2 (en) 2002-07-25
EP0829908A2 (en) 1998-03-18
EP1014435A2 (en) 2000-06-28
DE69730625T2 (en) 2005-02-03
US6674100B2 (en) 2004-01-06
DE69730625D1 (en) 2004-10-14
EP0829908B1 (en) 2002-03-20
DE69711138D1 (en) 2002-04-25
US6190975B1 (en) 2001-02-20
US6399970B2 (en) 2002-06-04
EP1014435A3 (en) 2000-11-22
EP0829908A3 (en) 1998-12-09
EP1014435B1 (en) 2004-09-08

Similar Documents

Publication Publication Date Title
US6674100B2 (en) SiGeC-based CMOSFET with separate heterojunctions
US5847419A (en) Si-SiGe semiconductor device and method of fabricating the same
US5792679A (en) Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
US5998807A (en) Integrated CMOS circuit arrangement and method for the manufacture thereof
US7374988B2 (en) NFET and PFET devices and methods of fabricating same
US5241197A (en) Transistor provided with strained germanium layer
US7244972B2 (en) Semiconductor devices and method for manufacturing the same
JP3376211B2 (en) Semiconductor device, method of manufacturing semiconductor substrate, and method of manufacturing semiconductor device
KR20050121479A (en) Fabricating method of cmos transistor and cmos transistor fabricated by the same method
JP3383154B2 (en) Semiconductor device
JP3262747B2 (en) Semiconductor device and manufacturing method thereof
KR20080078349A (en) Transistor of semiconductor device and method for fabricating the same
US7170109B2 (en) Heterojunction semiconductor device with element isolation structure
TW554531B (en) Semiconductor device and its manufacturing method
KR100495543B1 (en) Semiconductor device and method of producing the same
JP3300339B1 (en) Semiconductor device
EP0380077A2 (en) Transistor provided with strained germanium layer
JP3326427B2 (en) Semiconductor device and manufacturing method thereof
JPH0590517A (en) Semiconductor device and manufacture thereof
US9818761B2 (en) Selective oxidation for making relaxed silicon germanium on insulator structures
JP3843043B2 (en) Semiconductor device
US7084484B2 (en) Semiconductor integrated circuit
JPH05152336A (en) Soimosfet and manufacturing method thereof
JPH0951101A (en) Semiconductor device and its manufacture
JPH04280682A (en) Semiconductor device and manufacture thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KUBO, MINORU;NOZAWA, KATSUYA;SUZUKI, MASAKATSU;AND OTHERS;REEL/FRAME:009145/0175;SIGNING DATES FROM 19970716 TO 19970718

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20140604