JP3326427B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof

Info

Publication number
JP3326427B2
JP3326427B2 JP2001344989A JP2001344989A JP3326427B2 JP 3326427 B2 JP3326427 B2 JP 3326427B2 JP 2001344989 A JP2001344989 A JP 2001344989A JP 2001344989 A JP2001344989 A JP 2001344989A JP 3326427 B2 JP3326427 B2 JP 3326427B2
Authority
JP
Japan
Prior art keywords
layer
semiconductor
semiconductor layer
source
sige
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001344989A
Other languages
Japanese (ja)
Other versions
JP2002198533A (en
Inventor
実 久保
克弥 能澤
政勝 鈴木
雄 上野山
康仁 熊渕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP2001344989A priority Critical patent/JP3326427B2/en
Publication of JP2002198533A publication Critical patent/JP2002198533A/en
Application granted granted Critical
Publication of JP3326427B2 publication Critical patent/JP3326427B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置に関
し、特に、SiGeC層あるいはSiGe層を用いたヘ
テロ接合の電界効果型トランジスタを備えた半導体装置
及びその製造方法に関するものである。
The present invention relates to a semiconductor device, and more particularly, to a semiconductor device having a heterojunction field-effect transistor using a SiGeC layer or a SiGe layer, and a method of manufacturing the same.

【0002】[0002]

【従来の技術】近年、半導体装置の高集積化が進行しつ
つあるが、MOS型トランジスタの微細化もゲート長が
0.1μmを下回るような超微細化領域においては、短
チャンネル効果の影響や抵抗成分の増大などにより、電
流駆動能力が飽和するなど、これまでのような性能向上
を見込めないことが予想されている。特に、微細MOS
トランジスタの高駆動力化のためには、チャネルのキャ
リア移動度の向上や、ソース・ドレイン電極のコンタク
トの低抵抗化が重要である。
2. Description of the Related Art In recent years, high integration of semiconductor devices has been progressing. However, miniaturization of MOS type transistors has an effect of a short channel effect in a super miniaturized region where a gate length is less than 0.1 μm. It is expected that the current drive capability will be saturated due to an increase in the resistance component and the like, and the performance improvement as in the past cannot be expected. In particular, fine MOS
In order to increase the driving force of a transistor, it is important to improve the carrier mobility of the channel and reduce the resistance of the source / drain electrode contacts.

【0003】そこで、シリコン基板上に形成される単一
組成のSiを用いた相補型半導体装置(CMOSデバイ
ス)に代わって、Si/SiGe系(IV族混晶)による
ヘテロ構造CMOSデバイス(Heterostructure CMO
S:以下、HCMOSデバイスと略する)の提案がされ
ている。これは、チャネルとしてSi/Si02 界面で
はなくバンドギャップが相異なる2種類の半導体による
ヘテロ接合体の界面を利用するものである。このような
Siよりも高いキャリア移動度を与えるSi/SiGe
系を用いることにより、より高速の素子を実現できると
期待されている。このSi/SiGe系では、組成の制
御によりSi基板上に所望の歪量とバンドギャップ値と
を有するエピタキシャル成長層を形成することが可能で
ある。IBM社のIsmail は、Si/SiGe系系のH
CMOSデバイスによる特性向上についての基礎実験を
している(K. Ismail, "Si/SiGe High Speed Field-Eff
ect Transistors", IEDM Tech. Dig. 1995, p509. 及
びM.A. Armstrong et al, "Design of Si/SiGe Hetroju
nction Complementary Metal-Oxide-SemiconductorTran
sistors" IEDM Tech. Dig. 1995, p761.を参照)。
[0003] Therefore, instead of a complementary semiconductor device (CMOS device) using Si of a single composition formed on a silicon substrate, a heterostructure CMOS device (Heterostructure CMO) using a Si / SiGe system (Group IV mixed crystal) is used.
S: hereinafter abbreviated as HCMOS device). This uses a heterojunction interface made of two kinds of semiconductors having different band gaps instead of a Si / Si02 interface as a channel. Si / SiGe giving higher carrier mobility than such Si
It is expected that higher-speed devices can be realized by using a system. In the Si / SiGe system, it is possible to form an epitaxial growth layer having a desired amount of strain and a desired band gap value on a Si substrate by controlling the composition. IBM's Ismail is a Si / SiGe-based H
(K. Ismail, "Si / SiGe High Speed Field-Eff.
ect Transistors ", IEDM Tech. Dig. 1995, p509. and MA Armstrong et al," Design of Si / SiGe Hetroju
nction Complementary Metal-Oxide-SemiconductorTran
sistors "IEDM Tech. Dig. 1995, p761.).

【0004】図15は、このHCMOSデバイスの一例
を示す断面図である。同図に示すように、Si基板10
1の一部には、ソース・ドレイン領域109と、ゲート
絶縁膜107及びその上にゲート電極110とにより構
成される電界効果型トランジスタが設けられている。そ
して、ゲート電極110の下方におけるソース領域−ド
レイン領域間のいわゆるチャネル領域には、SiGeバ
ッファ層102と、δドープ層115と、スペーサ層1
03と、i−Si層104と、i−SiGe層105
と、i−Si層106とが形成されている。これらの領
域において、SiGeバッファ層102は、i−Si層
104とi−SiGe層105との間にn−チャネル層
112を形成するために、i−Si層104に引っ張り
歪みを与えるものである。このSiGeバッファ層10
2において、Si基板101の直上ではGe組成比が0
%となり、最上ではGe組成比が30%となるように、
段階的に組成比が変更されている。
FIG. 15 is a sectional view showing an example of the HCMOS device. As shown in FIG.
A field effect transistor including a source / drain region 109, a gate insulating film 107, and a gate electrode 110 thereon is provided in a part of the transistor 1. The so-called channel region between the source region and the drain region below the gate electrode 110 includes the SiGe buffer layer 102, the δ-doped layer 115, and the spacer layer 1
03, i-Si layer 104, and i-SiGe layer 105
And an i-Si layer 106 are formed. In these regions, the SiGe buffer layer 102 applies tensile strain to the i-Si layer 104 to form the n-channel layer 112 between the i-Si layer 104 and the i-SiGe layer 105. . This SiGe buffer layer 10
2, the Ge composition ratio was 0 immediately above the Si substrate 101.
%, And at the top, the Ge composition ratio is 30%.
The composition ratio is changed stepwise.

【0005】ここで、負バイアスが印加されると、i−
Si層104において、下方のSiGeバッファ層10
2とのヘテロ界面にn−チャネル層112が形成され
る。δドープ層115は、上方に形成されるn−チャネ
ル層112にキャリアである電子を供給するものであ
る。また、スペーサー層103は、下方に形成されたδ
ドープ層115のイオンと上方のn−チャネル層112
とを空間的に分離し、キャリアのイオン散乱による移動
度の低下を防止するものである。
When a negative bias is applied, i-
In the Si layer 104, the lower SiGe buffer layer 10
An n-channel layer 112 is formed at the hetero interface with the second. The δ-doped layer 115 supplies electrons as carriers to the n-channel layer 112 formed above. Further, the spacer layer 103 is formed on the δ formed below.
The ions of the doped layer 115 and the upper n-channel layer 112
Are spatially separated from each other to prevent a decrease in mobility due to ion scattering of carriers.

【0006】また、正バイアスが印加されると、i−S
iGe層105において、上方のi−Si層106との
ヘテロ界面にはp−チャネル層111が形成される。ゲ
ート絶縁膜107は、ゲート電極110とp−チャネル
層111とを絶縁するためのものである。
When a positive bias is applied, i-S
In the iGe layer 105, a p-channel layer 111 is formed at a hetero interface with the upper i-Si layer 106. Gate insulating film 107 is for insulating gate electrode 110 and p-channel layer 111.

【0007】上述のように、ヘテロ電界効果トランジス
タにおいては、バンドギャップの異なる2種類の半導体
層間のヘテロ界面にチャネルを形成することが特徴であ
る。従って、チャネル形成のために必然的にバンドギャ
ップの異なる少なくとも2種類の半導体層が存在する。
加えて、半導体層中に電子又は正孔が高速で移動するた
めのチャンネルを形成するには、ヘテロ界面に伝導帯又
は価電子帯の不連続部を有することが必要となる。上述
のSi/SiGe系では、正孔についてはSiGe層1
05がi−Si層106に対して価電子帯における不連
続部を有するため、正孔用のチャネルが形成される(図
15の左方部分参照)。しかし、伝導帯には不連続部が
ほとんどないので、電子用のチャネルを形成するため
に、i−Si層104に引っ張り歪を印加することによ
り、i−SiGe層105とのヘテロ界面に伝導帯の不
連続部を形成している(図15の右方部分参照)。
As described above, the hetero field effect transistor is characterized in that a channel is formed at a hetero interface between two kinds of semiconductor layers having different band gaps. Therefore, there are at least two types of semiconductor layers having different band gaps for channel formation.
In addition, in order to form a channel through which electrons or holes move at high speed in the semiconductor layer, it is necessary to have a conduction band or a valence band discontinuity at the hetero interface. In the above-mentioned Si / SiGe system, holes are used for the SiGe layer 1
Since 05 has a discontinuity in the valence band with respect to the i-Si layer 106, a channel for holes is formed (see the left part of FIG. 15). However, since there is almost no discontinuity in the conduction band, a tensile strain is applied to the i-Si layer 104 in order to form a channel for electrons, so that the conduction band is formed at the hetero interface with the i-SiGe layer 105. (See the right part of FIG. 15).

【0008】このような構造をもつHCMOSデバイス
は、Si/Si02 によるチャネルを使用する従来のC
MOSデバイスに比べ、同じ加工寸法で2倍の高速度動
作を半分の消費電力で実現できることがシミュレーショ
ンの結果から予想されている。すなわち、Si半導体と
SiGe混晶を組み合わせることによりヘテロ界面を形
成し、高移動度チャネルを形成した半導体素子であっ
て、ヘテロ接合を利用する素子の高速動作と、MOSデ
バイスの大規模集積性を両立する素子として非常に注目
を集めている。
The HCMOS device having such a structure is a conventional CCMOS using a channel of Si / Si02.
It is expected from simulation results that twice the high-speed operation can be realized with half the power consumption with the same processing dimensions as compared with the MOS device. That is, a semiconductor element in which a heterointerface is formed by combining a Si semiconductor and a SiGe mixed crystal to form a high mobility channel, and high-speed operation of an element utilizing a heterojunction and large-scale integration of a MOS device are achieved. It has attracted much attention as a compatible element.

【0009】[0009]

【発明が解決しようとする課題】しかしながら、上述の
ようなSiGeなどのIV族混晶を利用するヘテロデバイ
スは従来のCMOSデバイスの性能限界を克服する方法
として大いに期待されているが、SiGeに代表される
IV族混晶を用いるヘテロ電界効果トランジスタは、その
製造の難しさから同じSiGe混晶を用いたヘテロデバ
イスであるヘテロバイポーラトランジスタに比べ研究開
発が遅れており、まだその期待される性能を十分発揮し
うる構造および製造方法の検討が十分に行われていると
はいえない。また、ヘテロ電界効果トランジスタの中で
も、上述のようなゲート電極と半導体層の間に絶縁膜を
有するいわゆるヘテロMOS構造の場合、SiGe層内
には安定で良好な絶縁膜を形成できないため、ゲート絶
縁膜としてSi02 からなる酸化膜を使用する。従っ
て、ゲート絶縁膜の直下は必ずSi層である必要がある
が、SiはSiGeに比べ必ずバンドギャップが大きい
という特徴をもっている。
However, a hetero device utilizing a group IV mixed crystal such as SiGe as described above is greatly expected as a method for overcoming the performance limit of a conventional CMOS device, but is typically represented by SiGe. Be done
Hetero-field-effect transistors using Group IV mixed crystals have been delayed in research and development compared to hetero-bipolar transistors, which are hetero devices using the same SiGe mixed crystals, due to the difficulty of their manufacture, and still exhibit their expected performance. It cannot be said that possible structures and manufacturing methods have been sufficiently studied. In the case of a so-called hetero MOS structure having an insulating film between a gate electrode and a semiconductor layer as described above, a stable and good insulating film cannot be formed in the SiGe layer. An oxide film made of SiO2 is used as the film. Therefore, immediately below the gate insulating film must be a Si layer, but Si has a characteristic that the band gap is always larger than that of SiGe.

【0010】そのために、上記従来のHCMOSデバイ
スの構造においては、以下のような問題があった。
For this reason, the conventional HCMOS device has the following problems.

【0011】第1に、上述のように、Si基板101上
に電子のチャネルを形成するために、i−Si層104
に引っ張り歪を印加して、Si/SiGeヘテロ界面に
バンド不連続を形成している。しかし、格子定数を変化
させることから、格子緩和による転位の導入を伴う。
First, as described above, in order to form an electron channel on the Si substrate 101, the i-Si layer 104 is formed.
Is applied with tensile strain to form a band discontinuity at the Si / SiGe hetero interface. However, changing the lattice constant involves the introduction of dislocations due to lattice relaxation.

【0012】図16は、SiGeバッファ層102とそ
の上のi−Si層104とを抜き出して示す断面図であ
る。i−Si層104は、SiGeバッファ層102よ
りも格子定数が小さいことから、結晶成長した段階で引
っ張り歪みが蓄積されている。この歪みの蓄積が大きく
なると、同図に示すように、i−Si層104に転位が
入ってしまう。このように、i−Si層104とSiG
eバッファ層102との間における格子不整合歪による
転位や欠陥の導入は避けられない。したがって、この結
晶を利用した素子の初期特性はともかく、信頼性や寿命
の観点からは、転位の増殖などによる特性劣化の影響が
でてくると考えられる。
FIG. 16 is a sectional view showing the SiGe buffer layer 102 and the i-Si layer 104 thereon. Since the i-Si layer 104 has a smaller lattice constant than the SiGe buffer layer 102, tensile strain is accumulated at the stage of crystal growth. When the accumulation of the strain increases, dislocations enter the i-Si layer 104 as shown in FIG. Thus, the i-Si layer 104 and the SiG
Introduction of dislocations and defects due to lattice mismatch distortion between the e-buffer layer 102 and the e-buffer layer 102 cannot be avoided. Therefore, aside from the initial characteristics of an element using this crystal, it is considered that the influence of characteristic deterioration due to propagation of dislocations and the like will occur from the viewpoint of reliability and life.

【0013】また、Si基板101上にSiより格子定
数の大きなSiGeからなるSiGeバッファ層102
を積層し、その上に成長するi−Si層104に引っ張
り歪を蓄積させているが、SiGeバッファ層102の
膜厚を大きくしていくと、その間にSiGeバッファ層
102の格子定数がSiの格子定数から本来のSiGe
の格子定数に変化する臨界膜厚を超えるため、格子緩和
が生じSiGeバッファ層102にも転位等の欠陥が導
入される。
A SiGe buffer layer 102 made of SiGe having a larger lattice constant than Si on a Si substrate 101.
Are stacked, and tensile strain is accumulated in the i-Si layer 104 grown thereon. When the thickness of the SiGe buffer layer 102 is increased, the lattice constant of the From the lattice constant to the original SiGe
Exceeds the critical thickness at which the lattice constant changes, lattice relaxation occurs, and defects such as dislocations are also introduced into the SiGe buffer layer 102.

【0014】これらの欠陥は、素子の初期特性への影響
は少ない場合もあるが、長期的な信頼性や寿命という観
点からは、重大な問題を引き起こすおそれがある。すな
わち、電流による欠陥の増殖や、金属や不純物の欠陥を
介在した拡散による劣化が生じ、信頼性の低下を招くお
それがある。
Although these defects may have little effect on the initial characteristics of the device, they may cause serious problems from the viewpoint of long-term reliability and life. That is, there is a possibility that the propagation of defects due to the current or the deterioration due to diffusion of the metal or the impurities through the defects may occur, and the reliability may be reduced.

【0015】本発明の第1の目的は、HCMOSデバイ
スのゲート下方のチャネル領域における構造としてキャ
リア蓄積層を形成しうるバンド不連続部を有しながら格
子整合又はほぼ格子整合したヘテロ接合体を利用するこ
とにより、キャリアの移動度が高くかつ信頼性の高い半
導体装置を提供することにある。
A first object of the present invention is to use a heterojunction that is lattice-matched or almost lattice-matched while having a band discontinuity that can form a carrier accumulation layer as a structure in a channel region below a gate of an HCMOS device. Accordingly, an object of the present invention is to provide a highly reliable semiconductor device having high carrier mobility.

【0016】第2に、SiGeに代表されるIV族混晶を
用いたヘテロ電界効果デバイスは、従来の微細CMOS
デバイスの性能限界を克服する素子構造として有効な技
術であるが、現時点ではチャネル移動度の向上の研究に
比べ、ソース・ドレイン電極のコンタクトの最適化の検
討はさらに不十分であり、その高移動度を十分生かしき
る構造になっているとはいえない。上述のIBM社によ
るヘテロCMOSデバイスの技術も、チャネル領域の移
動度向上については詳細な検討が行われているが、微細
トランジスタの性能向上に重要なもう一つの要素である
ソース・ドレイン電極のコンタクトの低抵抗化について
はほとんど検討がなされていない。
Second, a hetero field effect device using a group IV mixed crystal represented by SiGe is a conventional fine CMOS.
Although it is an effective technology as an element structure that overcomes the performance limitations of the device, at the present time, studies on optimizing the contact between the source and drain electrodes are still inadequate compared to studies on improving channel mobility. It cannot be said that the structure is fully utilized. In the above-mentioned technology of hetero CMOS devices by IBM, detailed studies have been made on improving the mobility of the channel region. However, contact between source / drain electrodes, which is another important factor for improving the performance of fine transistors, is discussed. Almost no studies have been made on lowering the resistance.

【0017】すなわち、Si単結晶を用いたCMOSデ
バイス構造においては、ソース・ドレイン電極に接続さ
れる基板側のコンタクト領域の構造についてはさまざま
な検討が為されているが、一般的なCMOSデバイスに
おける最適なコンタクト領域の構造および形成方法が、
素子構造が異なるヘテロ電界効果デバイスにおいても最
良であるかどうかは検討が必要である。
That is, in the CMOS device structure using the Si single crystal, various studies have been made on the structure of the contact region on the substrate side connected to the source / drain electrodes. Optimal contact area structure and formation method
It is necessary to study whether the device structure is optimal even in hetero field effect devices having different element structures.

【0018】本発明の第2の目的は、ヘテロ電界効果デ
バイスの優れた特性を損ねることなく小さなコンタクト
抵抗を発揮しうるコンタクト領域を有する半導体装置及
びその製造方法を提供することにある。
A second object of the present invention is to provide a semiconductor device having a contact region capable of exhibiting a small contact resistance without deteriorating the excellent characteristics of a hetero field effect device, and a method of manufacturing the same.

【0019】[0019]

【課題を解決するための手段】本発明の第1の半導体装
置は、半導体基板の一部に形成され、ゲート電極とソー
ス・ドレイン領域と該ソース・ドレイン領域間のチャネ
ル領域とを有する電界効果トランジスタを備えている半
導体装置であって、上記チャネル領域には、Si層と、
上記Si層に接して形成され、Cの組成比yが0.01
〜0.03であるSi1-x-y Gex y 層(0≦x≦
1,0<y≦1)とが設けられていて、Si1-x-y Ge
x y 層内における上記Si層に近接した領域にはキャ
リア蓄積層が形成されており、上記半導体基板上に形成
され、単一組成の半導体層をチャネル領域とするMOS
トランジスタをさらに備えている
A first semiconductor device according to the present invention is formed on a part of a semiconductor substrate and has a gate electrode, a source / drain region, and a channel region between the source / drain regions. A semiconductor device including a transistor, wherein the channel region includes a Si layer,
It is formed in contact with the Si layer and has a C composition ratio y of 0.01.
~ 0.03 Si 1-xy Ge x C y layer (0 ≦ x ≦
1,0 <y ≦ 1) and Si 1-xy Ge
The area adjacent to the Si layer in the x C y layer and the carrier accumulation layer is formed, formed on the semiconductor substrate
MOS having a semiconductor layer of a single composition as a channel region
A transistor is further provided .

【0020】これにより、Cの組成比yが0.01〜
0.03であるSi1-x-y Gex y層とSi層との界
面には、キャリアを2次元的に閉じこめるキャリア蓄積
層を形成するのに必要なバンド不連続部を形成すること
が可能である。そして、このキャリア蓄積層がチャネル
として機能するので、Si層よりも大きなキャリア移動
度を与えるSi1-x-y Gex y 層をチャネルとする動
作速度の大きい電界効果型トランジスタが得られる。し
かも、Si1-x-y Gex y 層とSi層との間では、格
子不整合がなくなりあるいは極めてわずかになるように
制御できるので、格子歪を0あるいはほとんどないよう
に調整でき、Si1-x-y Gex y 層に結晶欠陥が入ら
ないように構成することが可能である。したがって、高
い信頼性を有する半導体装置を得ることができる。
Thus, the composition ratio y of C is 0.01 to
At the interface between the Si 1-xy G x C y layer of 0.03 and the Si layer, it is possible to form a band discontinuity necessary for forming a carrier accumulation layer for two-dimensionally confining carriers. It is. Since the carrier storage layer serves as a channel, a large field-effect transistor in operation speed and Si 1-xy Ge x C y layer channels provide greater carrier mobility than Si layer. Moreover, between the Si 1-xy Ge x C y layer and the Si layer, it can be controlled so as lattice mismatch is eliminated or extremely slight, can adjust the lattice strain to zero or little, Si 1- It can be configured to crystal defects xy Ge x C y layer from entering. Therefore, a highly reliable semiconductor device can be obtained.

【0021】上記Si1-x-y Gex y 層の各元素の組
成比を、上記Si1-x-y Gex y層と上記Si層とが
格子整合する組成比に調整しておくことができる。
[0021] The composition ratio of each element of the Si 1-xy Ge x C y layer, and the Si 1-xy Ge x C y layer and the Si layer can be previously adjusted to a composition ratio which is lattice-matched .

【0022】これにより、格子不整合に起因する歪のな
いSi1-x-y Gex y 層内にチャネルが形成されるの
で、極めて高い信頼性を有する半導体装置が得られるこ
とになる。
[0022] Thus, the channel lattice mismatch without distortion resulting from Si 1-xy Ge x C y layer is formed, a semiconductor device having extremely high reliability can be obtained.

【0023】上記Si1-x-y Gex y 層を、上記Si
層よりも小さい格子定数を有し、かつ格子緩和を生じな
い膜厚を有するものとすることができる。
The Si 1-xy Ge x C y layer is
It can have a lattice constant smaller than that of the layer and a film thickness that does not cause lattice relaxation.

【0024】これにより、Si1-x-y Gex y 層には
引っ張り歪みが加わるために、Si層とのバンドの不連
続量を大きくすることができ、キャリアの閉じこめ効率
が向上する。
[0024] Thus, to join tensile strain in Si 1-xy Ge x C y layer, Si layer band discontinuity can be increased in, improved confinement efficiency of carrier.

【0025】記キャリア蓄積層に蓄積されるキャリア
を負のキャリアとすることができる。
[0025] can be the carriers accumulated in the upper Symbol carrier storage layer and a negative carrier.

【0026】上記Si層内の上記Si1-x-y Gex y
層に近接した領域に、上記キャリア蓄積層にキャリアを
供給するためのキャリア供給層を設けておくことが好ま
しい。
The Si 1-xy Ge x C y in the Si layer
It is preferable to provide a carrier supply layer for supplying carriers to the carrier accumulation layer in a region close to the layer.

【0027】本発明の第2の半導体装置は、半導体基板
上に形成された少なくとも1つの電界効果トランジスタ
を備えた半導体装置であって、上記電界効果トランジス
タは、Si1-x-y Gex y 層(0≦x≦1,0≦y≦
1)を含む第1の半導体層、上記第1の半導体層とはバ
ンドギャップの異なる半導体により構成される第2の半
導体層、及び上記第1,第2の半導体層の間の界面付近
の領域に形成されたキャリア蓄積層を有するチャネル領
域と、第3の半導体層及び該第3の半導体層よりもバン
ドギャップの大きい半導体で構成される第4の半導体層
を有するソース・ドレイン領域と、上記第3の半導体層
の直上に形成された低抵抗の導体膜からなるソース・ド
レインコンタクト層とを備えており、上記第1の半導体
層と上記第3の半導体層とは互いに異なる半導体膜によ
り構成され、上記第3の半導体層は上記第1の半導体層
の上方に形成されており、上記第4の半導体層は、上記
第3の半導体層の上に形成されている
According to a second aspect of the present invention, there is provided a semiconductor device including at least one field effect transistor formed on a semiconductor substrate, wherein the field effect transistor includes a Si 1-xy Ge x Cy layer. (0 ≦ x ≦ 1, 0 ≦ y ≦
A) a first semiconductor layer including the first semiconductor layer, a second semiconductor layer formed of a semiconductor having a band gap different from that of the first semiconductor layer, and a region near an interface between the first and second semiconductor layers. A source / drain region having a third semiconductor layer and a fourth semiconductor layer including a semiconductor having a larger band gap than the third semiconductor layer; and a source-drain contact layer made of a third low-resistance conductive film formed directly on the semiconductor layer, the first semiconductor
Layer and the third semiconductor layer are made of different semiconductor films.
Wherein the third semiconductor layer is the first semiconductor layer
Above, and the fourth semiconductor layer is
It is formed on the third semiconductor layer .

【0028】これにより、ヘテロ接合を利用したキャリ
ア移動の高いつまり動作速度の高い電界効果トランジス
タにおけるソース・ドレイン領域へのコンタクト抵抗を
低減することが可能になる。
This makes it possible to reduce the contact resistance to the source / drain regions in a field effect transistor having a high carrier movement utilizing a heterojunction, that is, a high operating speed.

【0029】発明の半導体装置の製造方法は、Si
1-x-y Gex y 層(0≦x≦1,0≦y≦1)を含む
第1の半導体層と、上記第1の半導体層とは異なるバン
ドギャップを有する第2の半導体層と、上記第1,第2
の半導体層の間の界面付近の領域に形成されたチャネル
となるキャリア蓄積層とを有し、電界効果トランジスタ
として機能する半導体装置の製造方法であって、半導体
基板の電界効果トランジスタ形成領域に、第3の半導体
層と、該第3の半導体層よりも大きいバンドギャップを
有する第4の半導体層とを順次形成する第1の工程と、
上記第4の半導体層の上方に導体膜を堆積した後、該導
体膜をパターニングしてゲート電極を形成する第2の工
程と、上記ゲート電極の両側方に位置する上記電界効果
型トランジスタ形成領域に少なくとも上記キャリア蓄積
層に達する深さまで不純物を導入して、ソース・ドレイ
ン領域を形成する第3の工程と、上記ソース・ドレイン
領域における上記第4の半導体層を、少なくとも上記第
3の半導体層が露出するまでエッチングにより除去する
第4の工程と、上記第3の半導体層の露出した面上に低
抵抗の導体膜からなるソース・ドレインコンタクト層を
形成する第5の工程とを備え、上記第1の工程の前に、
上記第1及び第2の半導体層を形成する工程をさらに備
え、上記第1の工程は、上記第1の半導体層の上方に第
3の半導体層を形成するように行う
The method of manufacturing a semiconductor device according to the present invention comprises the steps of:
A first semiconductor layer containing 1-xy Ge x C y layer (0 ≦ x ≦ 1,0 ≦ y ≦ 1), and a second semiconductor layer having a different band gap from the first semiconductor layer, The above first and second
A carrier accumulation layer serving as a channel formed in a region near the interface between the semiconductor layers of the semiconductor device, and a method of manufacturing a semiconductor device functioning as a field effect transistor, wherein the field effect transistor forming region of the semiconductor substrate, A first step of sequentially forming a third semiconductor layer and a fourth semiconductor layer having a band gap larger than the third semiconductor layer;
A second step of depositing a conductor film above the fourth semiconductor layer and then patterning the conductor film to form a gate electrode; and forming the field-effect transistor formation region located on both sides of the gate electrode. A third step of forming a source / drain region by introducing an impurity at least to a depth reaching the carrier accumulation layer; and forming the fourth semiconductor layer in the source / drain region into at least the third semiconductor layer. And a fifth step of forming a source / drain contact layer made of a low-resistance conductor film on the exposed surface of the third semiconductor layer , the method comprising: Before the first step,
A step of forming the first and second semiconductor layers;
In addition, the first step includes forming a first step above the first semiconductor layer.
3 is performed to form a semiconductor layer .

【0030】この方法により、上述の構造を有する半導
体装置が容易に形成されることになる。
According to this method, a semiconductor device having the above-described structure can be easily formed.

【0031】記第4の工程は、上記第3の半導体層と
上記第4の半導体層とに対するエッチング選択比の高い
エッチング条件で行うことが好ましい。
The upper SL fourth step is preferably carried out at a high etching conditions of etching selectivity with respect to the said third semiconductor layer and said fourth semiconductor layer.

【0032】[0032]

【発明の実施の形態】(第1の実施形態) 第1の実施形態に係るHCMOSデバイスは、SiGe
/Si系にCを添加してなるSiGeCの3元混晶系を
用い、このSiGeC層とSi層とをほぼ格子整合さ
せ、バンドギャップエネルギーの差異からヘテロ界面に
バンド不連続部を形成する電界効果トランジスタであ
る。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS First Embodiment An HCMOS device according to a first embodiment is a SiGe device.
/ Si system is made of a ternary mixed crystal of SiGeC obtained by adding C, and the SiGeC layer and the Si layer are almost lattice-matched, and an electric field which forms a band discontinuity at a hetero interface due to a difference in band gap energy. It is an effect transistor.

【0033】図1は、第1の実施形態に係るHCMOS
デバイスの構造を示す断面図である。同図に示すよう
に、シリコン基板10上には、NMOSトランジスタと
PMOSトランジスタとが形成されているが、まず、N
MOSトランジスタの構造から説明する。
FIG. 1 shows an HCMOS according to the first embodiment.
It is sectional drawing which shows the structure of a device. As shown in FIG. 1, an NMOS transistor and a PMOS transistor are formed on a silicon substrate 10.
The structure of the MOS transistor will be described.

【0034】NMOSトランジスタにおいて、Si基板
10上にはpウェル11(高濃度p型シリコン層)が形
成されており、さらにその上に、V族元素が高濃度にド
ーピングされたδドープ層及びスペーサー層を有するS
i層13nと、SiGeC層14n(Cの組成率は1
%、Geの組成率は8.2%)とが順次形成されてい
る。後述するように、このSiGeC層14nにおける
各元素の組成比は、SiGeC層14nとその直下のS
i層13nとが格子整合する値となっている。
In the NMOS transistor, a p-well 11 (high-concentration p-type silicon layer) is formed on a Si substrate 10, and a δ-doped layer with a high-concentration V group element and a spacer are further formed thereon. S with layer
i layer 13n and SiGeC layer 14n (C composition ratio is 1
%, And the composition ratio of Ge is 8.2%). As will be described later, the composition ratio of each element in the SiGeC layer 14n is different from the SiGeC layer 14n and the S
The value is lattice-matched to the i-layer 13n.

【0035】このSiGeC層14nとSi層13nと
のヘテロ界面には、図1の右方部分に示すように、バン
ドオフセット値ΔEc を有する伝導帯Ecのバンド不連
続部が存在しており、このバンド不連続部に負のキャリ
アである電子を2次元電子ガス(2DEG)として閉じ
こめるためのキャリア蓄積層が形成される。そして、こ
のSiGeC層14n側の界面付近に形成されたキャリ
ア蓄積層が電子が高速で走行するチャネルとなる。Si
GeC層14n内では、Si層内に比べて電子の移動度
が大きく、このNMOSトランジスタの動作速度も大き
くすることができる。
At the hetero interface between the SiGeC layer 14n and the Si layer 13n, as shown in the right part of FIG. 1, there is a band discontinuity of the conduction band Ec having a band offset value ΔEc. A carrier accumulation layer for confining electrons, which are negative carriers, as a two-dimensional electron gas (2DEG) is formed in the band discontinuity. The carrier accumulation layer formed near the interface on the SiGeC layer 14n side serves as a channel through which electrons travel at high speed. Si
In the GeC layer 14n, the mobility of electrons is higher than in the Si layer, and the operating speed of the NMOS transistor can be increased.

【0036】さらに、このSiGeC層14nの上に、
SiGe層15n(Geの組成率は30%、Siの組成
率は70%)と、Si層17nとが順次形成され、さら
に表面には、シリコン酸化膜からなるゲート絶縁膜19
nが形成されている。このゲート絶縁膜19nの下には
Si層17nが存在しているために、Si層17nの表
面を酸化するだけで結晶性の高いゲート絶縁膜19nを
容易に形成することができる。ゲート絶縁膜19nの上
には、ゲート電極18nが形成され、このゲート電極1
8nの両側に位置する基板内にはソース・ドレイン層1
6nが形成されている。SiGeC層14n内における
電子の走行は、ゲート電極18nに印加される電圧によ
って制御される。なお、ソース・ドレイン層16nは、
pウェル11に達する深さにまで形成されているが、少
なくとも、SiGeC層14nに形成されるチャネルと
なる部分の深さにまで形成しておけばよい。
Further, on this SiGeC layer 14n,
A SiGe layer 15n (the composition ratio of Ge is 30% and the composition ratio of Si is 70%) and a Si layer 17n are sequentially formed, and a gate insulating film 19 made of a silicon oxide film is formed on the surface.
n is formed. Since the Si layer 17n exists under the gate insulating film 19n, the gate insulating film 19n having high crystallinity can be easily formed only by oxidizing the surface of the Si layer 17n. A gate electrode 18n is formed on the gate insulating film 19n.
8n, the source / drain layers 1
6n are formed. The traveling of the electrons in the SiGeC layer 14n is controlled by the voltage applied to the gate electrode 18n. The source / drain layers 16n are
Although it is formed to a depth reaching the p-well 11, it may be formed at least to a depth of a portion to be a channel formed in the SiGeC layer 14n.

【0037】一方、PMOSトランジスタは、以上で説
明したNMOSトランジスタとほぼ同じ構成を有してい
る。Si基板10上にはnウェル12(高濃度n型Si
層)が形成されており、さらにその上に、V族元素が高
濃度にドーピングされたδドープ層を有するSi層13
pと、SiGeC層14p(Geの組成率は8.2%、
Cの組成率は1%)とが順次形成されている。さらに、
このSiGeC層14pの上に、SiGe層15p(G
eの組成率は30%、Siの組成率は70%)と、Si
層17pとが順次形成されている。PMOSトランジス
タの場合は、キャリアが正孔となるが、この正孔が流れ
るチャネルはSiGe層15pとSi層17pとの界面
のSiGe層15p側に形成される。このSiGe層1
5pとSi層17pとのヘテロ界面に、バンドオフセッ
ト値ΔEv を有する価電子帯のバンド不連続部が存在
し、この不連続部にキャリア蓄積層が形成される。した
がって、SiGe層15p側の界面に形成されたキャリ
ア蓄積層チャネルを正孔が走行するが、SiGe層15
p内でもSi層内に比べて正孔の移動度が大きいので、
このPMOSトランジスタの動作速度も大きくなる。
On the other hand, the PMOS transistor has substantially the same configuration as the NMOS transistor described above. An n-well 12 (high-concentration n-type Si
Layer) formed thereon, and further thereon an Si layer 13 having a δ-doped layer doped with a group V element at a high concentration
p and the SiGeC layer 14p (the composition ratio of Ge is 8.2%,
C is 1%). further,
On this SiGeC layer 14p, a SiGe layer 15p (G
e is 30%, the composition ratio of Si is 70%) and Si
The layers 17p are sequentially formed. In the case of the PMOS transistor, carriers are holes, and a channel through which the holes flow is formed on the SiGe layer 15p side at the interface between the SiGe layer 15p and the Si layer 17p. This SiGe layer 1
At the hetero interface between 5p and the Si layer 17p, there is a band discontinuity in the valence band having a band offset value ΔEv, and a carrier accumulation layer is formed at this discontinuity. Therefore, the holes travel through the channel of the carrier accumulation layer formed at the interface on the SiGe layer 15p side.
Since the mobility of holes is larger in p than in the Si layer,
The operation speed of the PMOS transistor also increases.

【0038】PMOSトランジスタにおいて、Si層1
7pの上には、シリコン酸化膜からなるゲート絶縁膜1
9pが形成されている。ゲート電極18pの両側にはソ
ース・ドレイン層16pが形成され、SiGe層15p
における正孔の走行はゲート電極18pに印加される電
圧により制御されている。
In the PMOS transistor, the Si layer 1
7p, a gate insulating film 1 made of a silicon oxide film
9p is formed. A source / drain layer 16p is formed on both sides of the gate electrode 18p, and the SiGe layer 15p
Is controlled by the voltage applied to the gate electrode 18p.

【0039】また、NMOSトランジスタとPMOSト
ランジスタとの間は、基板に形成された溝をシリコン酸
化膜によって埋め込んでなるトレンチ分離20が設けら
れており、このトレンチ分離20によって、NMOSト
ランジスタとPMOSトランジスタとが、互いに電気的
に分離されている。
A trench isolation 20 is formed between the NMOS transistor and the PMOS transistor by embedding a groove formed in the substrate with a silicon oxide film. The trench isolation 20 allows the NMOS transistor and the PMOS transistor to be connected to each other. Are electrically separated from each other.

【0040】なお、各Si層13n,13p、各SiG
eC層14p,14n、各SiGe層15n,15p、
各Si層17n,17pは、結晶成長によりそれぞれ同
時に形成されている。そして、各層の寸法は、例えば以
下のような寸法とすることができる。ただし、必ずしも
以下の寸法に限定されるものではない。
Each Si layer 13n, 13p, each SiG
eC layers 14p, 14n, SiGe layers 15n, 15p,
Each of the Si layers 17n and 17p is simultaneously formed by crystal growth. The dimensions of each layer can be, for example, the following dimensions. However, it is not necessarily limited to the following dimensions.

【0041】各Si層13n,13pの厚みは例えば
0.6μm程度であり、0〜1μmの範囲にあることが
好ましい。スペーサー層の厚みは例えば30nm程度で
あり、0〜50nmの範囲にあることが好ましい。各S
iGeC層14p,14nの厚みは3〜50nmである
ことが好ましい。各SiGe層15n,15pの厚みは
5nm程度であり、3〜5nmの範囲にあることが好ま
しい。各Si層17n,17pの厚みは1nm程度であ
り、0.5〜5nmの範囲にあることが好ましい。ゲー
ト絶縁膜19n,19pの厚みは、例えば5nm程度で
ある。
The thickness of each of the Si layers 13n and 13p is, for example, about 0.6 μm, and preferably ranges from 0 to 1 μm. The thickness of the spacer layer is, for example, about 30 nm, and is preferably in the range of 0 to 50 nm. Each S
The thickness of the iGeC layers 14p and 14n is preferably 3 to 50 nm. The thickness of each of the SiGe layers 15n and 15p is about 5 nm, and is preferably in the range of 3 to 5 nm. The thickness of each of the Si layers 17n and 17p is about 1 nm, and is preferably in the range of 0.5 to 5 nm. The thickness of the gate insulating films 19n and 19p is, for example, about 5 nm.

【0042】また、ゲート電極18n,18pのゲート
長は0.25μm,ゲート幅は2.5μm、ソース・ド
レイン領域の幅は1.2μm程度であり、ソース・ドレ
イン電極21n,21pのコンタクト面積は、0.5μ
m×0.6μm程度である。各ウェル13n,13pの
ドーピング濃度は1×1017〜1×1018cm-3程度で
あり、δドープ層のドーピング濃度は、1×1018〜1
×1020cm-3程度である。
The gate length of the gate electrodes 18n, 18p is 0.25 μm, the gate width is 2.5 μm, the width of the source / drain region is about 1.2 μm, and the contact area of the source / drain electrodes 21n, 21p is , 0.5μ
It is about m × 0.6 μm. The doping concentration of each of the wells 13n and 13p is about 1 × 10 17 to 1 × 10 18 cm −3 , and the doping concentration of the δ-doped layer is 1 × 10 18 to 1 × 10 18.
It is about × 10 20 cm −3 .

【0043】本実施形態におけるHCMOSデバイス
(HeterostructureCMOSデバイス)の特徴は、Si
GeC層を用いている点である。このSiGeC層は、
Si、Ge、Cの各々の組成比の調整により、バンドギ
ャップ量およびシリコンに対する格子不整合率を変える
ことができる。ここで、本実施形態におけるSi,G
e,Cの組成比と、各層の歪及びバンドオフセット量と
の関係について詳細に説明する。
The feature of the HCMOS device (heterostructure CMOS device) in this embodiment is that
The point is that a GeC layer is used. This SiGeC layer is
By adjusting the composition ratio of each of Si, Ge, and C, the amount of band gap and the lattice mismatch rate with respect to silicon can be changed. Here, Si, G in the present embodiment
The relationship between the composition ratio of e and C and the amount of strain and band offset of each layer will be described in detail.

【0044】図2は、横軸にC(カーボン)の組成比
(%)、縦軸にGeの組成比(%)をとったときに、S
iGeC層とSi層との格子不整合率(%)(ミスフィ
ット)が変化する様子を示している。ミスフィットがゼ
ロのラインは、SiGeC層とSi層との格子定数が等
しいことを示す。Ge(ゲルマニウム)単結晶の格子定
数はSi単結晶の格子定数よりも大きく、C(カーボ
ン)単結晶の格子定数は、Si単結晶の格子定数よりも
小さいので、GeとCの組成比を調整することで、Si
GeC層14nの格子定数とSi層13nの格子定数と
を一致させることができるのである。
FIG. 2 shows that when the horizontal axis indicates the composition ratio (%) of C (carbon) and the vertical axis indicates the composition ratio (%) of Ge, S
This shows how the lattice mismatch (%) (misfit) between the iGeC layer and the Si layer changes. The line with zero misfit indicates that the lattice constants of the SiGeC layer and the Si layer are equal. Since the lattice constant of Ge (germanium) single crystal is larger than the lattice constant of Si single crystal and the lattice constant of C (carbon) single crystal is smaller than the lattice constant of Si single crystal, the composition ratio of Ge and C is adjusted. By doing, Si
The lattice constant of the GeC layer 14n and the lattice constant of the Si layer 13n can be matched.

【0045】図3は、Si,Ge,Cの3元素の組成比
に対する格子整合との関係を示す特性図である。同図の
3つの頂点は、それぞれSi,Ge,Cの組成率が10
0%(組成比が1)の点であり、SiGeC層の3元混
晶系の組成比の調整によってSiとの格子不整合率が変
化する様子を示している。同図中のハッチング領域はS
iGeC層に引っ張り歪を与える組成比の領域を示し、
同図中の実線は、SiGeC層とSi層との格子不整合
がゼロつまり両者が格子整合するための各元素の組成比
の条件を示す。Geの格子定数はSiの格子定数よりも
4.2%大きく、Cの格子定数はSiの格子定数よりも
34.3%小さいので、Geの組成比をCの組成比より
も8.2倍大きくすることで、SiGeC層の格子定数
をSi層の格子定数に一致させることができる。
FIG. 3 is a characteristic diagram showing the relationship between the composition ratio of the three elements Si, Ge and C and lattice matching. The three vertices in the figure indicate that the composition ratio of Si, Ge, and C is 10
It is a point of 0% (composition ratio is 1), and shows how the lattice mismatch ratio with Si changes by adjusting the composition ratio of the ternary mixed crystal system of the SiGeC layer. The hatched area in FIG.
FIG. 5 shows a region of a composition ratio that gives tensile strain to the iGeC layer,
The solid line in the figure shows the condition of the composition ratio of each element for making the lattice mismatch between the SiGeC layer and the Si layer zero, that is, both are lattice matched. Since the lattice constant of Ge is 4.2% larger than the lattice constant of Si and the lattice constant of C is 34.3% smaller than the lattice constant of Si, the composition ratio of Ge is 8.2 times that of C. By increasing the lattice constant, the lattice constant of the SiGeC layer can be made to match the lattice constant of the Si layer.

【0046】本実施形態におけるSiGeC層14nに
おいては、Geの組成率が8.2%(x=0.082)
であり、Cの組成率が1%(y=0.01)であること
から、図3よりSi基板との格子不整合が0であり、S
iGeC層14nと下方のSi層13nとは同じ格子定
数を有していることがわかる。
In the SiGeC layer 14n according to the present embodiment, the Ge composition ratio is 8.2% (x = 0.082).
Since the composition ratio of C is 1% (y = 0.01), the lattice mismatch with the Si substrate is 0 from FIG.
It can be seen that the iGeC layer 14n and the lower Si layer 13n have the same lattice constant.

【0047】次に、図4は、横軸にCの組成比をとり、
縦軸にエネルギーレベルをとったときに、SiGeC層
とSi層との界面における伝導帯のバンドオフセット値
ΔEc、ならびに価電子帯のバンドオフセット値ΔEv
が変化する様子を示している。但し、黒丸は価電子帯の
バンドオフセット値ΔEvであり、白丸は伝導帯のバン
ドオフセット値ΔEcを表わしている。また、エネルギ
ーの原点は、伝導帯に対してはSiの伝導帯の下端のエ
ネルギー値にとり、価電子帯に対してはSiの価電子帯
の上端のエネルギー値にとってある。また、同図の実線
は無歪み系に対応し、同図の点線は引っ張り歪み系に対
応している。
Next, FIG. 4 shows the composition ratio of C on the horizontal axis,
When the energy level is plotted on the vertical axis, the band offset value ΔEc of the conduction band and the band offset value ΔEv of the valence band at the interface between the SiGeC layer and the Si layer.
Shows a state in which changes. The black circles represent the band offset value ΔEv of the valence band, and the white circles represent the band offset value ΔEc of the conduction band. In addition, the origin of the energy is the energy value at the lower end of the conduction band of Si for the conduction band and the energy value at the upper end of the valence band of Si for the valence band. The solid line in the figure corresponds to the strain-free system, and the dotted line in the figure corresponds to the tensile strain system.

【0048】図4に示すように、本実施形態のSiGe
C層(Cの組成比が0.01)及びSi層間の界面にお
ける伝導帯ならびに価電子帯のバンドオフセット値は、
それぞれ300meV、0meVであり、SiGeC層
とSi層の界面では価電子帯にはバンド不連続部がな
く、伝導帯にのみバンド不連続部が形成されることがわ
かる。また、本実施形態のSiGeC層14nにおける
Cの組成比は0.01であるので、SiGeC層14n
とSi層13nとは格子整合している。したがって、2
次元電子ガスが走行するチャネルが形成されるSiGe
C層14n内において、下方のSi層13nとの格子不
整合に起因する転位等の欠陥の発生を防止できる。
As shown in FIG. 4, the SiGe of this embodiment is
The band offset values of the conduction band and the valence band at the interface between the C layer (C composition ratio is 0.01) and the Si layer are as follows:
The values are 300 meV and 0 meV, respectively. At the interface between the SiGeC layer and the Si layer, there is no band discontinuity in the valence band, and a band discontinuity is formed only in the conduction band. Further, since the composition ratio of C in the SiGeC layer 14n of the present embodiment is 0.01, the SiGeC layer 14n
And the Si layer 13n are lattice-matched. Therefore, 2
SiGe in which a channel through which a three-dimensional electron gas travels is formed
In the C layer 14n, the occurrence of defects such as dislocations due to lattice mismatch with the lower Si layer 13n can be prevented.

【0049】一方、本実施形態におけるSiGeC層1
4nとSi層13n間の界面における価電子帯にはバン
ド不連続部がないため、SiGeC層14n内に正孔を
閉じ込めることができない。そこで、正孔をキャリアと
するPMOSトランジスタの場合は、SiGe層15p
とSi層17pとのヘテロ接合を利用している。SiG
e単結晶の格子定数はSi単結晶の格子定数よりも大き
く、しかも、SiGe層15pはSi層13pと格子整
合しているSiGeC層14pの上に位置するため、圧
縮歪みによるバンド構造の変化により価電子帯でのバン
ドオフセット値が大きくなっている。この場合もゲート
からの電界印加時にバンド傾斜により正孔が2次元的に
閉じ込められ(2DHG)て、キャリア蓄積層となる。
したがって、SiGe層15p内のキャリア蓄積層が正
孔が高速で走行するためのチャネルとなる。
On the other hand, the SiGeC layer 1 according to the present embodiment
Since there is no band discontinuity in the valence band at the interface between 4n and the Si layer 13n, holes cannot be confined in the SiGeC layer 14n. Therefore, in the case of a PMOS transistor using holes as carriers, the SiGe layer 15p
And a heterojunction between the Si layer 17p. SiG
The lattice constant of the e single crystal is larger than the lattice constant of the Si single crystal, and the SiGe layer 15p is located on the SiGeC layer 14p lattice-matched with the Si layer 13p. The band offset value in the valence band is large. In this case as well, holes are two-dimensionally confined (2DHG) due to band tilt when an electric field is applied from the gate, and the holes become carrier accumulation layers.
Therefore, the carrier accumulation layer in the SiGe layer 15p becomes a channel for holes to travel at high speed.

【0050】以上のように、本実施形態の構造による
と、NMOSトランジスタにおいては、SiGeC層1
4nにおける各元素Si,Ge,Cの組成比の調整によ
り、伝導帯のバンドオフセット値を2次元電子ガスを蓄
積するのに十分な値に維持しながら、SiGeC層とS
i層との間の格子整合を図ることができる。よって、S
iGeC層内における2次元電子ガスの高いキャリア移
動度を利用した動作速度の高速化を実現しながら、欠陥
密度の低減による高い信頼性を発揮することができる。
また、SiGeC層14nとSi層13n間の界面にお
ける価電子帯にはバンド不連続部がないため、SiGe
C層14n内に正孔を閉じ込めることができないが、S
iGe層15pとSi層17pとのヘテロ接合を利用す
ることで、正孔をキャリアとして利用するPMOSトラ
ンジスタのチャネルを形成することができ、高速動作を
実現することができる。
As described above, according to the structure of this embodiment, in the NMOS transistor, the SiGeC layer 1
By adjusting the composition ratio of each element Si, Ge, and C at 4n, while maintaining the band offset value of the conduction band at a value sufficient to accumulate the two-dimensional electron gas, the SiGeC layer and the SGe
Lattice matching with the i-layer can be achieved. Therefore, S
It is possible to achieve high reliability by reducing the defect density while realizing a high operation speed utilizing high carrier mobility of the two-dimensional electron gas in the iGeC layer.
Further, since there is no band discontinuity in the valence band at the interface between the SiGeC layer 14n and the Si layer 13n, the SiGe
Although holes cannot be confined in the C layer 14n, S
By utilizing the heterojunction between the iGe layer 15p and the Si layer 17p, a channel of a PMOS transistor using holes as carriers can be formed, and high-speed operation can be realized.

【0051】そして、速度の大きいNMOSトランジス
タと、SiGeを用いて価電子帯のバンド不連続部を形
成して速度の大きいPMOSトランジスタとを集積する
ことにより、高性能なHCMOSデバイスを実現するこ
とができる。
By integrating a high-speed NMOS transistor and a high-speed PMOS transistor by forming a valence band discontinuous portion using SiGe, a high-performance HCMOS device can be realized. it can.

【0052】なお、本実施形態では、Geの組成率を
8.2%、Cの組成率を1%としたが、図4から格子整
合系でバンド不連続部つまりバンドオフセット値ΔEv
がもっとも大きくなるようにするには、Cの組成比を大
きくすればよいことがわかる。このように大きなバンド
オフセット値ΔEvを設けることにより、ヘテロ界面に
閉じ込められた2次元電子ガス(2DEG)は、電子の
濃度が高くなってもヘテロ界面を乗り越えることがな
く、安定して走行することができる。特に、Cの組成比
を0.01〜0.03の範囲に調整することが好まし
い。この範囲内では、無歪み系及び引っ張り歪み系のい
ずれにおいても、2次元電子ガスを閉じこめるためのキ
ャリア蓄積層を形成するのに適正なバンドオフセット値
ΔEv(=−0.2〜−0.6えV)を得ることができ
る。
In this embodiment, the composition ratio of Ge is set to 8.2% and the composition ratio of C is set to 1%. However, FIG.
Can be maximized by increasing the composition ratio of C. By providing such a large band offset value ΔEv, the two-dimensional electron gas (2DEG) confined at the hetero interface does not cross the hetero interface even when the electron concentration becomes high, and runs stably. Can be. In particular, it is preferable to adjust the composition ratio of C in the range of 0.01 to 0.03. Within this range, a band offset value ΔEv (= −0.2 to −0.6) suitable for forming a carrier accumulation layer for confining the two-dimensional electron gas in both the strain-free system and the tensile strain system. V) can be obtained.

【0053】なお、本実施形態では、SiGe層15p
におけるGeの組成率を30%としたが、バンドオフセ
ット値がもっとも大きくなるようにGeの組成率を大き
くし、圧縮歪みを大きくしてもよい。
In this embodiment, the SiGe layer 15p
In the above, the Ge composition ratio is set to 30%, but the Ge composition ratio may be increased so as to maximize the band offset value, and the compression strain may be increased.

【0054】また、HCMOSデバイスはSi基板上に
形成することから、素子のスピードが要求されるところ
にはこのHCMOSデバイスを用い、それ以外には、通
常のSi単一組成を有する活性領域上に形成したCMO
Sデバイスを作製してもよい。このように構成すること
で、Si基板に直接作製するMOS型電界効果トランジ
スタとの集積化をも可能になる。なお、SiGeCを用
いたデバイスとしては、同一基板上にp,n型のトラン
ジスタを形成する必要はない。例えば、移動体通信機器
に用いる集積回路の場合、高速動作が要求される高周波
領域で使用される増幅器,ミキサー等は相補型回路を構
成する必要がないために、p,n型のうちの一方のみ
(例えばn型)のSiGeCを用いたMOSトランジス
タで構成し、相補型回路を構成する必要のあるデジタル
信号処理を行う部分をSi単一組成を用いたCMOSデ
バイスで構成することなどが考えられる。
Since the HCMOS device is formed on a Si substrate, the HCMOS device is used where the speed of the element is required, and the HCMOS device is otherwise formed on an active region having a normal Si composition. CMO formed
An S device may be manufactured. With this configuration, integration with a MOS field-effect transistor that is directly formed on a Si substrate is also possible. It is not necessary to form p-type and n-type transistors on the same substrate as a device using SiGeC. For example, in the case of an integrated circuit used in a mobile communication device, an amplifier, a mixer, and the like used in a high-frequency region where high-speed operation is required do not need to constitute a complementary circuit. It is conceivable to configure a MOS device using only (for example, n-type) SiGeC and a CMOS device using a single Si composition for a portion for performing digital signal processing that needs to configure a complementary circuit. .

【0055】次に、第1の実施形態のHCMOSデバイ
スの製造方法について、図5(a)〜(f)を参照しな
がら説明する。図5(a)〜(f)は、図1に示すHC
MOSデバイスの構造を実現するための製造工程の一例
を示す断面図である。
Next, a method of manufacturing the HCMOS device according to the first embodiment will be described with reference to FIGS. FIGS. 5A to 5F show the HC shown in FIG.
FIG. 10 is a cross-sectional view showing an example of a manufacturing process for realizing the structure of the MOS device.

【0056】まず、図5(a)に示す工程で、Si基板
10にpウェル11、nウェル12をイオン注入により
形成する。
First, in the step shown in FIG. 5A, a p-well 11 and an n-well 12 are formed in an Si substrate 10 by ion implantation.

【0057】次に、図5(b)に示す工程で、各ウェル
11,12上に、UHVーCVD法によりδドープ層を
含むSi層13と、SiGeC層14(Ge:8.2
%、C:1%)と、SiGe層15と、Si層17とを
それぞれ成長させる。なお、δドープ層及びスペーサー
層も形成されているが、見やすくするためにこれらの層
の図示は省略されている。
Next, in the step shown in FIG. 5B, a Si layer 13 including a δ-doped layer and a SiGeC layer 14 (Ge: 8.2) are formed on each of the wells 11 and 12 by UHV-CVD.
%, C: 1%), and a SiGe layer 15 and a Si layer 17 are respectively grown. Although a δ-doped layer and a spacer layer are also formed, these layers are not shown for easy viewing.

【0058】次に、図5(c)に示す工程で、PMOS
トランジスタ、NMOSトランジスタとを電気的に分離
するために、トレンチ分離用の溝を形成した後、この溝
をシリコン酸化膜で埋めてトレンチ分離20を形成す
る。この処理により、Si層13、SiGeC層14、
SiGe層15、Si層17が、各々NMOSトランジ
スタ側のSi層13n、SiGeC層14n、SiGe
層15n、Si層17nと、PMOSトランジスタ側の
Si層13p、SiGeC層14p、SiGe層15
p、Si層17pとに分離される。さらに、Si層17
n,17pの表面を酸化してゲート絶縁膜19n,19
pをそれぞれ形成する。
Next, in the step shown in FIG.
After forming a trench for trench isolation in order to electrically isolate the transistor and the NMOS transistor, the trench is filled with a silicon oxide film to form a trench isolation 20. By this processing, the Si layer 13, the SiGeC layer 14,
The SiGe layer 15 and the Si layer 17 are respectively composed of the Si layer 13n, the SiGeC layer 14n, and the SiGe on the NMOS transistor side.
Layer 15n, Si layer 17n, Si layer 13p, SiGeC layer 14p, and SiGe layer 15 on the PMOS transistor side.
p and the Si layer 17p. Further, the Si layer 17
The surfaces of n, 17p are oxidized to form gate insulating films 19n, 19p.
p is formed.

【0059】次に、図5(d)に示す工程で、基板の全
面上にポリシリコン膜を堆積した後、これをパターニン
グしてNMOSトランジスタ及びPMOSトランジスタ
の各ゲート絶縁膜19n,19pの上にゲート電極18
n,18pをそれぞれ形成する。その後、各ゲート電極
18n,18pをマスクとして、NMOSトランジスタ
側には、リンイオン(P+ )の注入により、ソース・ド
レイン領域16nを形成し、PMOSトランジスタ側に
は、ボロンイオン(B+ )の注入により、ソース・ドレ
イン領域16pをそれぞれ形成する。NMOSトランジ
スタのソース・ドレイン領域16nの深さは少なくとも
SiGeC層14n内のキャリア蓄積層よりも深ければ
よく、PMOSトランジスタのソース・ドレイン領域1
6pの深さは、少なくともSiGe層15p内のキャリ
ア蓄積層よりも深ければよい。これは、SiGeC層1
4n、SiGe層15p内の各キャリア蓄積層にチャネ
ルが形成されるためである。
Next, in the step shown in FIG. 5D, after a polysilicon film is deposited on the entire surface of the substrate, it is patterned and formed on the gate insulating films 19n and 19p of the NMOS transistor and the PMOS transistor. Gate electrode 18
n and 18p are formed respectively. Thereafter, using the gate electrodes 18n and 18p as masks, source / drain regions 16n are formed by implanting phosphorus ions (P +) on the NMOS transistor side, and boron ions (B +) are implanted on the PMOS transistor side. Thereby, the source / drain regions 16p are respectively formed. The depth of the source / drain region 16n of the NMOS transistor may be at least deeper than the carrier accumulation layer in the SiGeC layer 14n.
The depth of 6p may be at least deeper than the carrier accumulation layer in the SiGe layer 15p. This is the SiGeC layer 1
This is because a channel is formed in each carrier accumulation layer in the 4n, SiGe layer 15p.

【0060】次に、図5(e)に示す工程で、ゲート絶
縁膜19n,19pのうちソース・ドレイン領域16
n,16pの上方の部分に開口を形成し、図5(f)に
示す工程で、ゲート絶縁膜19n,19pの開口にソー
ス・ドレイン電極21n,21pをそれぞれ形成する。
Next, in the step shown in FIG. 5E, the source / drain regions 16 of the gate insulating films 19n and 19p are formed.
Openings are formed above the n and 16p, and in the step shown in FIG. 5F, source / drain electrodes 21n and 21p are formed in the openings of the gate insulating films 19n and 19p, respectively.

【0061】これにより、Si基板10の上にNMOS
トランジスタ、PMOSトランジスタからなるHCMO
Sデバイスが形成される。
Thus, the NMOS on the Si substrate 10
HCMO consisting of transistors and PMOS transistors
An S device is formed.

【0062】このように、本実施形態の製造方法による
と、NMOSトランジスタ、PMOSトランジスタで異
なったチャネルを形成する必要があるものの、結晶成長
はNMOSトランジスタ,PMOSトランジスタで共通
に行うことができ、簡単に製造することができる。
As described above, according to the manufacturing method of this embodiment, although it is necessary to form different channels in the NMOS transistor and the PMOS transistor, crystal growth can be performed in common by the NMOS transistor and the PMOS transistor, and Can be manufactured.

【0063】(第2の実施形態) 上述の第1の実施形態では、SiGeC層をシリコンに
格子整合させたものを用いて電界効果型トランジスタを
形成したが、本実施形態では、結晶性の劣化のない範囲
で、SiGeC層に積極的に歪みを導入し、この歪みに
よるバンド構造の変化を利用したトランジスタとするも
のである。本実施形態に係るHCMOSデバイスの構造
は、基本的には、図1に示す第1の実施形態に係るPM
OSトランジスタ,NMOSトランジスタを1つのトラ
ンジスタ内に実現した構造となっている。
(Second Embodiment) In the first embodiment described above, a field-effect transistor is formed by using a SiGeC layer lattice-matched to silicon. In such a case, a strain is positively introduced into the SiGeC layer within a range where no distortion occurs, and a transistor utilizing a change in the band structure due to the strain is obtained. The structure of the HCMOS device according to this embodiment is basically the same as that of the PMC according to the first embodiment shown in FIG.
The structure is such that the OS transistor and the NMOS transistor are realized in one transistor.

【0064】図6(a)〜(c)は、それぞれSiGe
C層に圧縮歪みを生ぜしめた場合、SiGeC層をSi
層に格子整合させた場合(歪みなし)、及びSiGeC
層に引っ張り歪みを生ぜしめた場合における結晶構造の
状態を示す図である。同図(a)に示すように、SiG
eC層の格子定数をSi層の格子定数よりも大きくする
とSiGeC層には圧縮歪みが生じ、SiGeC層にお
ける伝導帯の下端−価電子帯の上端間のバンドギャップ
値が拡大する。一方、同図(c)に示すように、SiG
eC層の格子定数をSi層の格子定数よりも小さくする
とSiGeC層には引っ張り歪が生じ、SiGeC層に
おける伝導帯の下端−価電子帯の上端間のバンドギャッ
プが縮小する。すなわち、SiGeC層の歪みによりバ
ンド構造が変化していくので、この効果を積極的に利用
することで、SiGeC層に隣接するSi層等の層のバ
ンドオフセット値を変更することができる。
FIGS. 6A to 6C respectively show SiGe
When compressive strain is generated in the C layer, the SiGeC layer is
Lattice matched to the layer (no strain) and SiGeC
FIG. 4 is a diagram showing a state of a crystal structure in a case where tensile strain is caused in a layer. As shown in FIG.
When the lattice constant of the eC layer is made larger than the lattice constant of the Si layer, compressive strain occurs in the SiGeC layer, and the band gap value between the lower end of the conduction band and the upper end of the valence band in the SiGeC layer is increased. On the other hand, as shown in FIG.
If the lattice constant of the eC layer is smaller than that of the Si layer, tensile strain occurs in the SiGeC layer, and the band gap between the lower end of the conduction band and the upper end of the valence band in the SiGeC layer is reduced. That is, since the band structure changes due to the strain of the SiGeC layer, the band offset value of a layer such as a Si layer adjacent to the SiGeC layer can be changed by positively utilizing this effect.

【0065】ここで、SiGeC層の格子定数をSi層
の格子定数からずらせた場合でも、SiGeC層の厚み
を格子緩和が起こらず歪みが蓄積される程度にすること
により、転位等の結晶欠陥の発生に起因する素子の信頼
性の低下を有効に防止することができる。
Here, even when the lattice constant of the SiGeC layer is deviated from the lattice constant of the Si layer, the thickness of the SiGeC layer is set to such an extent that lattice relaxation does not occur and strain is accumulated, thereby reducing crystal defects such as dislocations. It is possible to effectively prevent a decrease in the reliability of the element due to the occurrence.

【0066】図7(a),(b)は、本実施形態に係る
電界効果トランジスタのチャネル領域におけるバンド構
造図及び断面図である。Si基板の上にSi層13nを
成長させた後、Cの組成比を大きくしたSiGeC層1
4n(Geを10%、Cを4%)を成長させることによ
り、SiGeC層14nにおけるバンドギャップ値は大
きく、格子定数は小さくなるように設定することができ
る。そして、SiGeC層14nの厚みを格子緩和が起
こらずに歪みが蓄積される程度に小さくしておくことに
より、SiGeC層14nは引っ張り歪みを受ける。し
たがって、Cの組成比を大きくすることによるバンドギ
ャップ値の増大効果に加えて、SiGeC層14nの引
っ張り歪みにより、SiGeC層14nからSi層13
nの界面における伝導帯のバンドオフセット値が大きく
なり、2次元電子ガス(2DEG)の閉じ込め効率が向
上する。
FIGS. 7A and 7B are a band structure diagram and a cross-sectional view in the channel region of the field-effect transistor according to the present embodiment. After growing a Si layer 13n on a Si substrate, the SiGeC layer 1 with a higher C composition ratio
By growing 4n (10% of Ge and 4% of C), the band gap value of the SiGeC layer 14n can be set to be large and the lattice constant to be small. The SiGeC layer 14n is subjected to tensile strain by reducing the thickness of the SiGeC layer 14n to such an extent that strain is accumulated without causing lattice relaxation. Therefore, in addition to the effect of increasing the band gap value by increasing the composition ratio of C, the tensile strain of the SiGeC layer 14n causes the Si layer 13n to move from the Si layer 13n.
The band offset value of the conduction band at the interface of n increases, and the confinement efficiency of two-dimensional electron gas (2DEG) improves.

【0067】さらに、SiGeC層14nは格子緩和し
ていないので、上面の格子定数はSi層13nの格子定
数に一致している。したがって、SiGeC層14nの
上にSiGe層15pを成長させると、SiGe層15
pの格子定数がSi層13nの格子定数よりも大きいた
めにSiGe層15pは圧縮歪みを受ける。
Furthermore, since the SiGeC layer 14n is not lattice-relaxed, the lattice constant on the upper surface matches the lattice constant of the Si layer 13n. Therefore, when the SiGe layer 15p is grown on the SiGeC layer 14n, the SiGe layer 15p
Since the lattice constant of p is larger than the lattice constant of the Si layer 13n, the SiGe layer 15p receives compressive strain.

【0068】したがって、本実施形態に係る半導体装置
によると、SiGeC層14nに引っ張り歪み、SiG
e層15pに圧縮歪みを導入することにより、SiGe
C層14nとSi層13nとの界面における伝導帯での
バンドオフセット値を大きく、またSiGe層15pと
Si層17pとの界面における価電子帯でのバンドオフ
セット値を大きくしておき、このトランジスタをNMO
Sトランジスタとして使用する場合にはSiGeC層1
4nに形成されるチャネルを利用する一方、PMOSト
ランジスタとして使用する場合にはSiGe層15pに
形成されるチャネルを利用することで、共通のゲート電
極やソース・ドレイン領域を有しながら、チャネル位置
の異なるHCMOSデバイスを形成することができる。
Therefore, according to the semiconductor device of this embodiment, the SiGeC layer 14n has tensile strain and SiG
By introducing compressive strain into the e-layer 15p, SiGe
The band offset value at the conduction band at the interface between the C layer 14n and the Si layer 13n is increased, and the band offset value at the valence band at the interface between the SiGe layer 15p and the Si layer 17p is increased. NMO
When used as an S transistor, the SiGeC layer 1
4n, while using it as a PMOS transistor, by using the channel formed in the SiGe layer 15p, it is possible to have a common gate electrode and source / drain regions, Different HCMOS devices can be formed.

【0069】しかも、各層の厚みを適正に設定すること
で、格子不整合による転位や欠陥の導入の無い、良好な
結晶性による信頼性の高い電界効果型トランジスタを有
するHCMOSデバイスを得ることができる。
Furthermore, by properly setting the thickness of each layer, it is possible to obtain an HCMOS device having a highly reliable field effect transistor with good crystallinity without dislocations or defects due to lattice mismatch. .

【0070】なお、上述の図4の破線は、本実施形態に
おけるSiGeC層14nに0.25%の引っ張り歪み
が加わるような組成を示している。一般に、SiGeC
層におけるGeの組成比がCの組成比の8.2倍のとき
にSi層に格子整合するのであるから、Geの組成比を
Cの組成比の8.2倍よりも小さくすることでSiGe
C層14nに引っ張り歪みを導入することができる。ま
た、Cの組成比をyとしたとき、Geの組成を8.2y
−0.12とした場合、SiGeC層14nの格子定数
をSi層13nの格子定数より0.25%小さくするこ
とができる。
Note that the broken line in FIG. 4 described above indicates a composition in which 0.25% tensile strain is applied to the SiGeC layer 14n in the present embodiment. Generally, SiGeC
Since the lattice matching with the Si layer occurs when the Ge composition ratio in the layer is 8.2 times the C composition ratio, SiGe is reduced by making the Ge composition ratio smaller than 8.2 times the C composition ratio.
Tensile strain can be introduced into the C layer 14n. When the composition ratio of C is y, the composition of Ge is 8.2y.
In the case of −0.12, the lattice constant of the SiGeC layer 14n can be made 0.25% smaller than the lattice constant of the Si layer 13n.

【0071】図4に示すように、無歪み系の場合と同じ
く、SiGeC層14nとSi層13nの界面では、価
電子帯にはバンド不連続部がなく、伝導帯にのみバンド
不連続が形成されることがわかる。Cの組成率が2%以
下の場合は伝導帯のバンドオフセット値は無歪みの場合
とほとんど同じであり、Cの組成率とGeの組成率との
比が格子整合の条件を満足する値からずれても、格子整
合系とほぼ同じ素子特性を得ることができる。このこと
は、SiGeC層14nを結晶成長する際のCの組成率
とGeの組成率の制御の面から見て、条件に幅を持たせ
ることができることを意味し、SiGeC層の結晶成長
を容易にする。また、Cの組成率が2%以上の場合、無
歪みの場合と比べて、同じCの組成率においてもバンド
オフセット値を大きくとることができる。これにより、
バンドオフセット値をより大きくとる必要がある場合に
も対応することができる。
As shown in FIG. 4, at the interface between the SiGeC layer 14n and the Si layer 13n, there is no band discontinuity in the valence band and only in the conduction band at the interface between the SiGeC layer 14n and the Si layer 13n. It is understood that it is done. When the composition ratio of C is 2% or less, the band offset value of the conduction band is almost the same as in the case of no distortion. Even if it deviates, it is possible to obtain almost the same element characteristics as the lattice matching system. This means that the conditions can be varied from the viewpoint of controlling the composition ratio of C and the composition ratio of Ge when growing the SiGeC layer 14n, thereby facilitating the crystal growth of the SiGeC layer. To Further, when the composition ratio of C is 2% or more, the band offset value can be increased even at the same composition ratio of C, as compared with the case where there is no distortion. This allows
It is also possible to cope with a case where it is necessary to increase the band offset value.

【0072】ここでは、SiGeCの格子定数をSiよ
りも小さくして使用してはいるが、層の厚みは格子緩和
が起こらず歪みが蓄積される程度にしているので、転位
等の結晶欠陥により素子の信頼性が低下することはな
い。
Here, although the lattice constant of SiGeC is used smaller than that of Si, the thickness of the layer is set to such an extent that lattice relaxation does not occur and strain is accumulated. The reliability of the device does not decrease.

【0073】(第3の実施形態) 先に述べた第1の実施形態では、電界効果トランジスタ
のチャネル領域にSiGeC層をSi層に格子整合させ
たへテロ構造を形成し、ヘテロ界面におけるバンド不連
続部に電子もしくは正孔を閉じ込めて、キャリアとして
用いた。
(Third Embodiment) In the first embodiment described above, a heterostructure in which a SiGeC layer is lattice-matched to a Si layer is formed in the channel region of a field-effect transistor, and a band at a hetero interface is not formed. Electrons or holes were confined in the continuous portion and used as carriers.

【0074】本実施形態では、キャリアを閉じ込める領
域をヘテロ界面ではなくSi/SiGeC/Siもしく
は、Si/SiGe/Siの構造で量子井戸構造を形成
し、障壁層ではさまれる量子井戸( SiGeC 、Si
Ge)をチャネルとして動作するトランジスタを設け
る。
In the present embodiment, the quantum well structure is formed not in the hetero interface but in the Si / SiGeC / Si or Si / SiGe / Si structure in the region for confining carriers, and the quantum wells (SiGeC, Si
A transistor that operates using Ge) as a channel is provided.

【0075】図8は、本実施形態に係るHCMOSデバ
イスの断面図である。Si基板30上に、NMOSトラ
ンジスタとPMOSトランジスタとが形成されたCMO
Sデバイス構造である。この構造では、シリコン基板3
0上にpウェル31及びnウェル32を設けている点
と、その上にV族元素が高濃度にドーピングされたδド
ープ層を有する第1のSi層33n,33pを設けてい
る点とは、第1の実施形態における図1に示すHCMO
Sデバイスの構造と同じである。ただし、この第1のS
i層33n,33p上のPMOSトランジスタ,NMO
Sトランジスタの構造は、上記第1の実施形態の構造と
異なっている。
FIG. 8 is a sectional view of the HCMOS device according to this embodiment. CMO in which an NMOS transistor and a PMOS transistor are formed on a Si substrate 30
This is an S device structure. In this structure, the silicon substrate 3
The point in which a p-well 31 and an n-well 32 are provided on 0 and the points in which first Si layers 33n and 33p having a δ-doped layer doped with a group V element at a high concentration are provided thereon. HCMO shown in FIG. 1 in the first embodiment
It has the same structure as the S device. However, this first S
PMOS transistors on i-layers 33n and 33p, NMO
The structure of the S transistor is different from the structure of the first embodiment.

【0076】NMOSトランジスタにおいては、第1の
Si層33nの上に、第1のSi層33nに格子整合す
る組成を有するSiGeC層34nが形成されており、
さらにSiGeC層34nの上に第2のSi層35nが
積層されている。本実施形態では、第1のSi層33n
−SiGeC層34n−第2のSi層35nに亘る伝導
帯において、2つのバンド不連続部によって挟まれる量
子井戸領域(SiGeC層34n)が存在するので、こ
の量子井戸領域であるSiGeC層34nにキャリアで
ある2次元電子ガス(2DEG)を閉じこめるためのキ
ャリア蓄積層が形成される(図8の右方のバンド図参
照)。すなわち、NMOSトランジスタの動作時にはS
iGeC層34nにチャネルが形成される。なお、第2
のSi層35nの上に、膜厚の小さなSiGe層36n
と、第3のSi層37nとが順次形成されている。
In the NMOS transistor, a SiGeC layer 34n having a composition lattice-matched to the first Si layer 33n is formed on the first Si layer 33n.
Further, a second Si layer 35n is laminated on the SiGeC layer 34n. In the present embodiment, the first Si layer 33n
In the conduction band extending from the SiGeC layer 34n to the second Si layer 35n, there is a quantum well region (SiGeC layer 34n) sandwiched between two band discontinuities. The carrier accumulation layer for confining the two-dimensional electron gas (2DEG) is formed (see the band diagram on the right side of FIG. 8). That is, when the NMOS transistor operates, S
A channel is formed in the iGeC layer 34n. The second
36n having a small film thickness on the Si layer 35n
And a third Si layer 37n are sequentially formed.

【0077】この構造により、上記第1の実施形態と同
様に、Si層に比べて電子の移動度の大きいSiGeC
層34nにキャリアの移動のためのチャネルが形成され
るので、動作速度の大きいNMOSトランジスタが得ら
れる。加えて、量子井戸層となるSiGeC層34nの
膜厚が小さいため、キャリアの閉じ込め効率が上記第1
の実施形態における構造よりも向上し、混晶比の小さな
系で実現できる。そのため、混晶化に伴う結晶構造の規
則性の悪化に起因するキャリアの散乱などのキャリアと
なる電子の移動度を劣化させる要因を抑制できる。
With this structure, as in the first embodiment, SiGeC having a higher electron mobility than the Si layer is used.
Since a channel for moving carriers is formed in the layer 34n, an NMOS transistor with a high operation speed can be obtained. In addition, since the thickness of the SiGeC layer 34n serving as the quantum well layer is small, the carrier confinement efficiency is reduced to the first level.
It can be realized with a system having a smaller mixed crystal ratio than the structure of the embodiment. Therefore, it is possible to suppress factors that deteriorate the mobility of electrons serving as carriers, such as scattering of carriers due to deterioration of the regularity of the crystal structure due to the mixed crystal.

【0078】PMOSトランジスタにおいても、第1の
Si層33pの上に、第1のSi層33pに格子整合す
る組成を有するSiGeC層34pと、第2のSi層3
5pと、膜厚の小さいSiGe層36pと、第3のSi
層37pとが順次形成されている点は上記NMOSトラ
ンジスタの構造と同じである。ただし、PMOSトラン
ジスタの場合は、第2のSi層35p−SiGe層36
p−第3のSi層37pに亘る価電子帯において、2つ
のバンド不連続部で挟まれる量子井戸領域(SiGe層
36p)が存在し、この量子井戸領域にキャリアである
正孔を2次元的に閉じこめるためのキャリア蓄積層が形
成される。すなわち、PMOSトランジスタの動作時に
は、SiGe層36pにチャネルが形成される。SiG
e層36pもSi層に比べて正孔の移動度が大きいの
で、このPMOSトランジスタの動作速度も大きくな
る。
Also in the PMOS transistor, on the first Si layer 33p, a SiGeC layer 34p having a composition lattice-matched to the first Si layer 33p, and a second Si layer 3
5p, the SiGe layer 36p having a small thickness, and the third Si
The point that the layer 37p is sequentially formed is the same as the structure of the NMOS transistor. However, in the case of a PMOS transistor, the second Si layer 35p-SiGe layer 36
In the valence band extending over the p-third Si layer 37p, there is a quantum well region (SiGe layer 36p) sandwiched between two band discontinuities, and holes serving as carriers are two-dimensionally formed in the quantum well region. A carrier accumulation layer for confining the substrate is formed. That is, during the operation of the PMOS transistor, a channel is formed in the SiGe layer 36p. SiG
The e-layer 36p also has a higher hole mobility than the Si layer, so that the operation speed of the PMOS transistor also increases.

【0079】さらに、NMOSトランジスタ,PMOS
トランジスタにおいて、基板の上には、シリコン酸化膜
からなるゲート絶縁膜39n,39pが形成され、ゲー
ト絶縁膜39n,39pの上にはゲート電極38n,3
8pが形成されている。ゲート電極38n,38pの両
側にはソース・ドレイン層42n,42pが形成され、
ソース・ドレイン領域42n,42pの上にはソース・
ドレイン電極41n,41pがコンタクトしている。な
お、いうまでもないが、NMOSトランジスタ,PMO
Sトランジスタにおいて、量子井戸領域であるSiGe
C層34n,SiGe層36pにおける電子,正孔の走
行はゲート電極38n,38pに印加される電圧により
それぞれ制御されている。
Further, an NMOS transistor and a PMOS
In the transistor, gate insulating films 39n and 39p made of a silicon oxide film are formed on a substrate, and gate electrodes 38n and 3p are formed on the gate insulating films 39n and 39p.
8p is formed. Source / drain layers 42n and 42p are formed on both sides of the gate electrodes 38n and 38p, respectively.
Source / drain regions 42n and 42p
The drain electrodes 41n and 41p are in contact. Needless to say, NMOS transistors, PMOs
In the S transistor, SiGe which is a quantum well region is used.
The traveling of electrons and holes in the C layer 34n and the SiGe layer 36p is controlled by voltages applied to the gate electrodes 38n and 38p, respectively.

【0080】また、NMOSトランジスタとPMOSト
ランジスタとの間は、分離用溝にシリコン酸化膜を埋め
込んでなるトレンチ分離40が形成されており、このト
レンチ分離40によって、NMOSトランジスタ,PM
OSトランジスタは、互いに電気的に分離されている。
A trench isolation 40 is formed between the NMOS transistor and the PMOS transistor by embedding a silicon oxide film in a trench for isolation.
The OS transistors are electrically isolated from each other.

【0081】本実施形態のHCMOSデバイスによる
と、第1の実施形態と同様に、NMOSトランジスタに
おいては、Si層に格子整合するとともに量子井戸領域
となるSiGeC層34nが形成されており、このSi
GeC層34nに電子が走行するためのチャネルが形成
される。また、PMOSトランジスタにおいても、量子
井戸領域となるSiGe層36pが形成されており、こ
のSiGe層36pに正孔が走行するためのチャネルが
形成される。したがって、キャリア閉じこめ効率の高い
量子井戸構造を利用したスイッチング速度の大きいNM
OSトランジスタとPMOSトランジスタとを集積する
ことにより、高性能なHCMOSを実現することができ
る。
According to the HCMOS device of the present embodiment, similarly to the first embodiment, in the NMOS transistor, the SiGeC layer 34n which is lattice-matched to the Si layer and serves as a quantum well region is formed.
A channel for electrons to travel is formed in the GeC layer 34n. Also in the PMOS transistor, a SiGe layer 36p serving as a quantum well region is formed, and a channel for holes to travel is formed in the SiGe layer 36p. Therefore, an NM having a high switching speed utilizing a quantum well structure having a high carrier confinement efficiency
By integrating the OS transistor and the PMOS transistor, a high-performance HCMOS can be realized.

【0082】ただし、本実施形態において、素子のスピ
ードが要求される回路にこのHCMOSデバイスを用
い、それ以外の回路には、通常のSi基板上に形成した
CMOSデバイスを作製するようにしてもよく、Si基
板上に直接形成したMOS型電界効果トランジスタとの
集積をも可能である。
However, in this embodiment, the HCMOS device may be used for a circuit requiring element speed, and a CMOS device formed on a normal Si substrate may be manufactured for other circuits. Also, integration with a MOS field effect transistor formed directly on a Si substrate is possible.

【0083】なお、必ずしも、NMOSトランジスタ及
びPMOSトランジスタのチャネルの双方が量子井戸領
域となっていなくてもよい。
Note that both the channels of the NMOS transistor and the PMOS transistor do not necessarily have to be quantum well regions.

【0084】次に、第3の実施形態に係るHCMOSデ
バイスの製造方法について、図9(a)〜(f)を参照
しながら説明する。図9(a)〜(f)は、図8に示す
HCMOSデバイスの構造を実現するための製造工程の
一例を示す断面図である。
Next, a method of manufacturing the HCMOS device according to the third embodiment will be described with reference to FIGS. 9A to 9F are cross-sectional views showing an example of a manufacturing process for realizing the structure of the HCMOS device shown in FIG.

【0085】まず、製造工程の概略を説明すると、Si
GeC層34,第2のSi層35及びSiGe層36を
成長させる際に、SiGeC層34及びSiGe層36
の膜厚を、量子井戸構造となるように10nm以下、例
えば3nmとしている。その他の部分は、図5(a)〜
(f)に示す工程とほぼ同じ工程で形成される。
First, the outline of the manufacturing process will be described.
When growing the GeC layer 34, the second Si layer 35, and the SiGe layer 36, the SiGeC layer 34 and the SiGe layer 36
Is 10 nm or less, for example, 3 nm so as to form a quantum well structure. Other parts are shown in FIGS.
It is formed in substantially the same process as the process shown in FIG.

【0086】まず、図9(a)に示す工程で、Si基板
30にpウェル31、nウェル32をイオン注入により
形成する。
First, in the step shown in FIG. 9A, a p-well 31 and an n-well 32 are formed in a Si substrate 30 by ion implantation.

【0087】そして、図9(b)に示す工程で、pウェ
ル31,nウェル32上に、UHVーCVD法によりδ
ドープ層を含む第1のSi層33と、SiGeC層34
(Ge:36%、C:4%)と、第2のSi層35と、
SiGe層36と、第3のSi層37とを順次成長させ
ていく。
Then, in the step shown in FIG. 9B, δ is formed on the p-well 31 and the n-well 32 by the UHV-CVD method.
A first Si layer 33 including a doped layer, and a SiGeC layer 34
(Ge: 36%, C: 4%), the second Si layer 35,
The SiGe layer 36 and the third Si layer 37 are sequentially grown.

【0088】次に、図9(c)に示す工程で、PMOS
トランジスタ、NMOSトランジスタとを電気的に分離
するために、トレンチ分離用溝を形成した後、この溝を
シリコン酸化膜で埋めてトレンチ分離40を形成する。
この処理により、第1のSi層33、SiGeC層3
4、第2のSi層35、SiGe層36、第3のSi層
37及びゲート絶縁膜39が、各々NMOSトランジス
タ側の第1のSi層33n、SiGeC層34n、第2
のSi層35n、SiGe層36n、第3のSi層37
nと、PMOSトランジスタ側の第1のSi層33p、
SiGeC層34p、第2のSi層35p、SiGe層
36p、第3のSi層37pとに分離される。その後、
第3のSi層37n,37pの表面を酸化して、ゲート
絶縁膜39n,39pを形成する。
Next, in the step shown in FIG.
In order to electrically isolate the transistor and the NMOS transistor, a trench isolation trench is formed, and then the trench is filled with a silicon oxide film to form a trench isolation 40.
By this processing, the first Si layer 33, the SiGeC layer 3
4. The second Si layer 35, the SiGe layer 36, the third Si layer 37, and the gate insulating film 39 are formed of the first Si layer 33n, the SiGeC layer 34n, and the second
Si layer 35n, SiGe layer 36n, third Si layer 37
n, the first Si layer 33p on the PMOS transistor side,
It is separated into a SiGeC layer 34p, a second Si layer 35p, a SiGe layer 36p, and a third Si layer 37p. afterwards,
The surfaces of the third Si layers 37n and 37p are oxidized to form gate insulating films 39n and 39p.

【0089】この後、図9(d)に示す工程で、ゲート
電極38ん、38pを形成した後、NMOSトランジス
タ側には、リンイオン(P+ )の注入により、ソース・
ドレイン領域42nを形成し、PMOSトランジスタ側
には、ボロンイオン(B+ )の注入により、ソース・ド
レイン領域42pを形成する。NMOSトランジスタの
ソース・ドレイン領域42nの深さは少なくともSiG
eC層34nよりも深ければよく、PMOSトランジス
タのソース・ドレイン領域42pの深さは、少なくとも
SiGe層36pよりも深ければよい。これは、SiG
eC層34n、SiGe層36p内にチャネルが形成さ
れるためである。
Thereafter, in the step shown in FIG. 9D, after forming the gate electrodes 38 and 38p, the source and the source electrodes are implanted into the NMOS transistor side by implanting phosphorus ions (P +).
A drain region 42n is formed, and a source / drain region 42p is formed on the PMOS transistor side by implanting boron ions (B +). The depth of the source / drain region 42n of the NMOS transistor is at least SiG
It is sufficient that the depth is deeper than the eC layer 34n, and the depth of the source / drain region 42p of the PMOS transistor is at least deeper than the SiGe layer 36p. This is SiG
This is because a channel is formed in the eC layer 34n and the SiGe layer 36p.

【0090】この後、図9(e)に示す工程で、ソース
・ドレイン領域42n、42pの上方部分のゲート絶縁
膜39n,39pに開口を形成し、図9(f)に示す工
程で、その開口に、ソース・ドレイン電極41n,41
pをそれぞれ形成する。
Thereafter, in the step shown in FIG. 9E, openings are formed in the gate insulating films 39n and 39p above the source / drain regions 42n and 42p, and in the step shown in FIG. The source / drain electrodes 41n and 41 are formed in the openings.
p is formed.

【0091】以上の工程により、第3の実施形態に係る
NMOSトランジスタ、PMOSトランジスタからなる
HCMOSデバイスの構造が実現する。
Through the above steps, the structure of the HCMOS device including the NMOS transistor and the PMOS transistor according to the third embodiment is realized.

【0092】本実施形態の製造方法によると、NMOS
トランジスタのチャネルをヘテロ接合を利用した量子井
戸構造のSiGeC層34nとし、PMOSトランジス
タのチャネルをヘテロ接合を利用した量子井戸構造のS
iGe層36pとするHCMOSデバイスが容易に形成
される。しかも、本実施形態の製造方法によると、NM
OSトランジスタ、PMOSトランジスタで異なったチ
ャネルを形成する必要があるものの、結晶成長はNMO
Sトランジスタ,PMOSトランジスタで共通に行うこ
とができ、簡単に製造することができる。
According to the manufacturing method of this embodiment, the NMOS
The channel of the transistor is a SiGeC layer 34n of a quantum well structure using a heterojunction, and the channel of the PMOS transistor is an SGe of a quantum well structure using a heterojunction.
An HCMOS device having the iGe layer 36p is easily formed. Moreover, according to the manufacturing method of the present embodiment, NM
Although the OS transistor and the PMOS transistor need to form different channels, the crystal growth is NMO.
It can be commonly used for the S transistor and the PMOS transistor, and can be easily manufactured.

【0093】(第4の実施の形態) 図10は、第4の実施形態に係る電界効果トランジスタ
の構造を示す断面図である。本実施形態は、ヘテロ電界
効果トランジスタに適したソース・ドレインコンタクト
を提供する構造に関するものである。
(Fourth Embodiment) FIG. 10 is a sectional view showing the structure of a field-effect transistor according to a fourth embodiment. This embodiment relates to a structure for providing a source / drain contact suitable for a hetero field effect transistor.

【0094】同図に示されるように、Si層からなるウ
ェル51の上には、SiGeバッファ層52と、δドー
プ層53と、スペーサー層54と、n−チャネル層67
と、i−Si層55と、i−Si1-x Gex 層56と、
i−Si層57と、ゲート絶縁膜58とが形成されてい
る。そして、ゲート絶縁膜58の上にゲート電極65が
形成され、i−Si1-x Gex 層56のうちゲート電極
65の両側方に位置する領域の上に、ソース・ドレイン
コンタクトW層61とAlソース・ドレイン電極63と
が順次形成されている。また、ゲート電極65の両側に
おいて、SiGeバッファ層52の一部,δドープ層5
3,スペーサー層54,n−チャネル層67,i−Si
層55,i−Si1-x Gex 層56及びi−Si層57
に亘る領域に、ソース・ドレイン領域59が形成されて
いる。さらに、ゲート電極65とAlソース・ドレイン
電極63との間は、第1層目の絶縁膜66によって埋め
られている。
As shown in the figure, a SiGe buffer layer 52, a δ-doped layer 53, a spacer layer 54, and an n-channel layer 67 are formed on a well 51 made of a Si layer.
When, a i-Si layer 55, the i-Si 1-x Ge x layer 56,
An i-Si layer 57 and a gate insulating film 58 are formed. A gate electrode 65 is formed on the gate insulating film 58, on a region located on both sides of the gate electrode 65 of the i-Si 1-x Ge x layer 56, the source-drain contact W layers 61 Al source / drain electrodes 63 are sequentially formed. Further, on both sides of the gate electrode 65, a part of the SiGe buffer layer 52, the δ-doped layer 5
3, spacer layer 54, n-channel layer 67, i-Si
Layer 55, i-Si 1-x Ge x layer 56 and the i-Si layer 57
The source / drain region 59 is formed in the region extending over the region. Further, the space between the gate electrode 65 and the Al source / drain electrode 63 is filled with a first-layer insulating film 66.

【0095】ここで、上記電界効果トランジスタの各部
の構造について説明する。
Here, the structure of each part of the field effect transistor will be described.

【0096】まず、SiGeバッファ層52内における
Geの組成率は、上方に向かうにしたがって大きくなっ
ている。このSiGeバッファ層52は、SiGe混晶
を格子緩和させるのに十分な膜厚で形成することによ
り、Siよりも大きな格子定数を有しており、その上に
歪み効果を利用したn−チャネルの形成が可能になされ
ている。なお、このような格子緩和させたSiGeバッ
ファ層を用いずに、Si基板に格子整合させた状態でS
i層とSiGe層のヘテロ接合を形成した場合、価電子
帯には段差の大きい大きな不連続部が現れるが、伝導帯
には不連続部はほとんど現れないため、2次元電子ガス
を閉じこめてn−チャネルを形成することは困難であ
る。
First, the composition ratio of Ge in the SiGe buffer layer 52 increases as going upward. The SiGe buffer layer 52 has a lattice constant larger than that of Si by forming the SiGe mixed crystal with a film thickness sufficient to relax the lattice of the SiGe mixed crystal. The formation is made possible. It should be noted that, without using such a lattice-relaxed SiGe buffer layer, S
When a heterojunction between the i layer and the SiGe layer is formed, a large discontinuous portion having a large step appears in the valence band, but almost no discontinuous portion appears in the conduction band. -It is difficult to form channels.

【0097】ここで、SiGeバッファ層52中でのG
eの組成率は、例えば0%〜30%まで連続的にもしく
は薄い層ごとに段階的に変化している。この時、各層で
格子緩和を発生させ、バッファ層の最上面で基板面内の
格子定数がバルクのSi0.7Ge0.3 と同一になるよう
にする。組成率を縦方向に変化させるのは、格子緩和に
伴う転位等の結晶欠陥がその上のチャネルに与える影響
を小さくするためである。なお、SiGeバッファ層5
2の全体の膜厚は大体1μm程度必要である。
Here, G in the SiGe buffer layer 52
The composition ratio of e changes, for example, continuously from 0% to 30% or stepwise for each thin layer. At this time, lattice relaxation is caused in each layer so that the lattice constant in the substrate surface at the uppermost surface of the buffer layer is the same as that of bulk Si 0.7 Ge 0.3 . The reason why the composition ratio is changed in the vertical direction is to reduce the influence of crystal defects such as dislocations caused by lattice relaxation on the channel thereover. Note that the SiGe buffer layer 5
The overall film thickness of No. 2 needs to be approximately 1 μm.

【0098】このSiGeバッファ層52上に不純物を
加えないSi0.7 Ge0.3 からなるスペーサー層54を
配置する。このスペーサー層54とその上のSi層55
とのヘテロ界面に存在する伝導帯の不連続部にキャリア
蓄積層を形成し、このキャリア蓄積層を2次元的に電子
を閉じ込めるn−チャネル67とする。
On this SiGe buffer layer 52, a spacer layer 54 of Si 0.7 Ge 0.3 to which no impurity is added is arranged. This spacer layer 54 and the Si layer 55 thereon
A carrier accumulation layer is formed at a discontinuous portion of the conduction band existing at the hetero interface with the semiconductor layer, and this carrier accumulation layer is an n-channel 67 for two-dimensionally confining electrons.

【0099】δドープ層53は、n−チャネル67にキ
ャリアである電子を供給するために、PやAsといった
V族の元素を高濃度にドープした層である。δドープ層
53上のスペーサー層54は、不純物をドープしないS
0.7 Ge0.3 から構成され、n−チャネル67のキャ
リア電子とδドープ層53のイオンを空間的に分離する
ことにより、キャリア電子のイオンによる散乱を低減
し、移動度を向上させる役割を持つ。このスペーサー層
54の膜厚は、厚いほどイオン化した不純物によるキャ
リアの散乱効果を低減することができるが、逆にキャリ
ア密度が減少してしまうので、3nm程度の厚みにする
ことが好ましい。
The δ-doped layer 53 is a layer in which a group V element such as P or As is heavily doped to supply electrons as carriers to the n-channel 67. The spacer layer 54 on the δ-doped layer 53 is made of
It is composed of i 0.7 Ge 0.3 and has a role of spatially separating carrier electrons of the n-channel 67 and ions of the δ-doped layer 53, thereby reducing scattering of carrier electrons by ions and improving mobility. As the thickness of the spacer layer 54 is larger, the effect of scattering carriers due to ionized impurities can be reduced. However, the carrier density is reduced, so that the thickness is preferably about 3 nm.

【0100】i−Si1-x Gex 層56とi−Si層5
7は、ヘテロ界面に価電子帯に段差を形成し、p−チャ
ネル68を形成するために使用される。Xは0.7前後
に設定することが好ましい。
[0100] i-Si 1-x Ge x layer 56 and the i-Si layer 5
7 forms a step in the valence band at the heterointerface and is used to form a p-channel 68. X is preferably set to about 0.7.

【0101】ゲート絶縁膜58は、ゲート電極65とそ
の下の半導体層との間を絶縁することにより、ゲートリ
ーク電流を低減させ、素子の低消費電力動作を可能にす
る。なお、SiGe層56を酸化して形成される酸化膜
は水溶性で不安定な膜となるため、SiGe系電界効果
トランジスタにおいてもゲート絶縁膜としてシリコン酸
化膜を用いることが好ましい。従って、Si系ヘテロM
OSデバイスにおいては、ゲート絶縁膜の直下の半導体
層はSi層であることが好ましい。
The gate insulating film 58 insulates between the gate electrode 65 and the semiconductor layer therebelow to reduce a gate leak current and enable low power consumption operation of the device. Since an oxide film formed by oxidizing the SiGe layer 56 is a water-soluble and unstable film, it is preferable to use a silicon oxide film as a gate insulating film also in a SiGe-based field-effect transistor. Therefore, Si-based hetero M
In an OS device, the semiconductor layer immediately below the gate insulating film is preferably a Si layer.

【0102】すなわち、本実施形態に係る電界効果トラ
ンジスタは、上記の積層膜からなるチャネル領域と、図
10の破線で示されるソース・ドレイン領域59と、ト
ランジスタの動作のための電流の導入・取り出しのため
のAlソース・ドレイン電極63と、電流を制御するた
めの電圧を印加するためのゲート電極65とにより構成
されている。そして、この電界効果トランジスタをn−
チャネル電界効果型トランジスタとして使用する場合に
はn−チャネル67を形成させるようにゲート電極65
に電圧を印加し、p−チャネル電界効果型トランジスタ
として使用する場合にはp−チャネル68を形成させる
ようにゲート電極65に電圧を印加する。
That is, in the field-effect transistor according to the present embodiment, the channel region composed of the above-mentioned laminated film, the source / drain region 59 shown by the broken line in FIG. And a gate electrode 65 for applying a voltage for controlling the current. Then, this field effect transistor is n-
When used as a channel field effect transistor, the gate electrode 65 is formed so that an n-channel 67 is formed.
When a p-channel field effect transistor is used, a voltage is applied to the gate electrode 65 so that a p-channel 68 is formed.

【0103】本実施形態に係る発明の特徴は、Si
1-x-y Gex y 層(0≦x≦1,0≦y≦1)を含む
第1の半導体層と、上記第1の半導体層とは異なるバン
ドギャップを有する第2の半導体層と、上記第1,第2
の半導体層の間の界面付近の領域に形成されたキャリア
蓄積層とを有するチャネル領域と、第3の半導体層と、
該第3の半導体層よりも大きいバンドギャップを有する
第4の半導体層とを有するソース・ドレイン領域と、上
記第3の半導体層の直上に形成された低抵抗の導体膜か
らなるソース・ドレインコンタクト層とを備えている点
である。
The feature of the invention according to the present embodiment is that
A first semiconductor layer containing 1-xy Ge x C y layer (0 ≦ x ≦ 1,0 ≦ y ≦ 1), and a second semiconductor layer having a different band gap from the first semiconductor layer, The above first and second
A channel region having a carrier storage layer formed in a region near an interface between the semiconductor layers, a third semiconductor layer,
A source / drain region having a fourth semiconductor layer having a band gap larger than that of the third semiconductor layer, and a source / drain contact comprising a low-resistance conductor film formed immediately above the third semiconductor layer And a layer.

【0104】そして、本実施形態の電界効果トランジス
タをn−チャネル電界効果型トランジスタとして使用す
る場合には、i−Si層55はSi1-x-y Gex y
(0≦x≦1,0≦y≦1)を含む第1の半導体層であ
り(x=y=0)、SiGeバッファ層52は第2の半
導体層であり、i−Si1-x Gex 層56は第3の半導
体層であり、i−Si層57はi−Si1-x Gex 層5
6よりもバンドギャップの大きい第4の半導体層であっ
て、第3の半導体層であるi−Si1-x Gex層56の
直上にソース・ドレインコンタクトW層61が形成され
ている。
When the field-effect transistor of this embodiment is used as an n-channel field-effect transistor, the i-Si layer 55 is formed of a Si 1-xy Ge x C y layer (0 ≦ x ≦ 1,0 ≦ y ≦ 1) (x = y = 0), the SiGe buffer layer 52 is a second semiconductor layer, and the i-Si 1-x Ge x layer 56 is a third semiconductor layer. I-Si layer 57 is an i-Si 1-x Ge x layer 5
6 a fourth semiconductor layer greater in band gap than the source-drain contact W layers 61 directly above the third i-Si 1-x Ge x layer 56 is a semiconductor layer is formed.

【0105】一方、本実施形態の電界効果トランジスタ
をp−チャネル電界効果型トランジスタとして使用する
場合には、i−Si1-x Gex 層56はSi1-x-y Ge
x y 層(0≦x≦1,0≦y≦1)を含む第1の半導
体層である(y=0)とともに第3の半導体層であり、
i−Si層57は第2の半導体層であるとともに第3の
半導体層よりもバンドギャップの大きい第4の半導体層
であって、第3の半導体層であるi−Si1-x Gex
56の直上にソース・ドレインコンタクトW層61が形
成されている。
On the other hand, when the field-effect transistor of this embodiment is used as a p-channel field-effect transistor, the i-Si 1-x Ge x layer 56 is made of Si 1-xy Ge
a first semiconductor layer including x C y layer (0 ≦ x ≦ 1,0 ≦ y ≦ 1) with (y = 0) is the third semiconductor layer,
The i-Si layer 57 is a second semiconductor layer and a fourth semiconductor layer having a larger band gap than the third semiconductor layer. The i-Si layer 57 is an i-Si 1-x Ge x layer which is a third semiconductor layer. A source / drain contact W layer 61 is formed immediately above 56.

【0106】以上のように、本実施形態では、Alソー
ス・ドレイン電極63とのコンタクトを行う基板側の領
域を、チャネル形成のための各半導体層のうちバンドギ
ャップの小さい層に設けている。この実施形態の場合、
p−チャネル形成用のSi層57とi−Si1-x Gex
層 56のヘテロ界面のうち、バンドギャップの小さい
i−Si1-x Gex 層56の直上にソース・ドレインコ
ンタクトW層61を設ける構造としている。これによ
り、最上層の半導体層であるi−Si層57の直上にコ
ンタクトを設けるよりもコンタクト抵抗が小さくなり、
素子の低消費電力と高速動作とが可能になる。
As described above, in this embodiment, the region on the substrate side for making contact with the Al source / drain electrode 63 is provided in a layer having a small band gap among the semiconductor layers for channel formation. In this embodiment,
Si layer 57 for forming p-channel and i-Si 1-x Ge x
Of the hetero interface of layer 56, it has a structure providing a source-drain contact W layers 61 directly above the small i-Si 1-x Ge x layer 56 bandgap. As a result, the contact resistance becomes smaller than when a contact is provided immediately above the i-Si layer 57 which is the uppermost semiconductor layer,
Low power consumption and high-speed operation of the device are made possible.

【0107】なお、Si層上のSi0.7 Ge0.3 層の上
にWを成長させた後、金属(この場合Al)を堆積させ
ると、非常に抵抗の低いコンタクトを得ることができ
る。このSiGe膜を利用したコンタクトは、従来のC
MOSデバイスで一般的に低抵抗コンタクトとして利用
されているシリサイド技術を用いた低抵抗コンタクトよ
りも1桁抵抗値が低いコンタクトが得られる(IEEE Ele
ctron Device Letters誌vol.17, No.7,1996 pp36
0)。
It is to be noted that a contact having a very low resistance can be obtained by depositing a metal (in this case, Al) after growing W on the Si 0.7 Ge 0.3 layer on the Si layer. The contact using this SiGe film is a conventional C
A contact that has an order of magnitude lower resistance than a low-resistance contact using silicide technology commonly used as a low-resistance contact in MOS devices can be obtained (IEEE Ele)
ctron Device Letters vol.17, No.7, 1996 pp36
0).

【0108】この論文では、SiGe層はソース・ドレ
イン電極コンタクト形成のためだけに成長させられてい
るが、本実施形態のように、チャネル形成用のSiGe
層にコンタクトをとる構造をとれば、後述するトランジ
スタ製造方法で明らかにするように、新たにSiGe結
晶を成長させる必要がなくなり生産性が向上する。
In this paper, the SiGe layer is grown only for forming the source / drain electrode contacts. However, as in this embodiment, the SiGe layer for forming the channel is used.
If a structure in which a layer is contacted is used, it is not necessary to grow a new SiGe crystal as will be described later in a transistor manufacturing method, and the productivity is improved.

【0109】ただし、本実施形態において、デバイスの
スピードが要求されるところにこのHCMOSデバイス
を用い、それ以外には、通常のSi基板上に形成したC
MOSデバイスを作製するようにしてもよく、Si基板
上に直接形成したMOS型電界効果トランジスタとの集
積をも可能である。
However, in this embodiment, the HCMOS device is used where the device speed is required, and otherwise, the C CMOS formed on a normal Si substrate is used.
A MOS device may be manufactured, and integration with a MOS type field effect transistor formed directly on a Si substrate is also possible.

【0110】つぎに、本実施形態に係る電界効果トラン
ジスタの製造方法について説明する。図11(a)〜
(e)及び図12(a)〜(e)は、図10に示す電界
効果トランジスタの構造を実現するための製造工程の一
例を示す断面図である。
Next, a method for manufacturing the field-effect transistor according to this embodiment will be described. FIG.
12 (e) and FIGS. 12 (a) to 12 (e) are cross-sectional views showing an example of a manufacturing process for realizing the structure of the field-effect transistor shown in FIG.

【0111】まず、図11(a)に示す工程で、チャネ
ル形成のエピタキシャル成長に先立ち、Si基板50に
イオン注入を行い、NMOSトランジスタ、PMOSト
ランジスタの下地となるpウェル51n,及びnウェル
51pを形成する。
First, in the step shown in FIG. 11A, prior to the epitaxial growth for channel formation, ions are implanted into the Si substrate 50 to form p-wells 51n and n-wells 51p which are the bases of the NMOS and PMOS transistors. I do.

【0112】次に、図11(b)に示す工程で、基板上
にエピタキシャル成長を行う前に、基板にRCA洗浄法
等を利用した洗浄を施して、表面の不純物を除去する。
その後、表面の酸化膜を除去し、基板をエピタキシャル
成長装置に挿入し、真空状態で加熱を行って清浄な表面
を得る。そして、この清浄な表面上に、チャネル領域を
形成するための半導体層のエピタキシャル成長を行う。
この半導体層には、SiGeバッファ層52、δドープ
層53、スペーサー層54、n−チャネル層67、i−
Si層55、i−Si1-x Gex 層56、p−チャネル
層68、i−Si層57等が含まれる。ただし、見やす
くするために、δドープ層53,スペーサー層54,n
−チャネル層67及びp−チャネル層68の図示は省略
する。以下、この半導体層内の各層の形成手順を説明す
る。
Next, in the step shown in FIG. 11B, before epitaxial growth is performed on the substrate, the substrate is subjected to cleaning using an RCA cleaning method or the like to remove impurities on the surface.
Thereafter, the oxide film on the surface is removed, the substrate is inserted into an epitaxial growth apparatus, and heating is performed in a vacuum state to obtain a clean surface. Then, epitaxial growth of a semiconductor layer for forming a channel region is performed on the clean surface.
This semiconductor layer includes a SiGe buffer layer 52, a δ-doped layer 53, a spacer layer 54, an n-channel layer 67, an i-
Si layer 55, i-Si 1-x Ge x layer 56, include p- channel layer 68, i-Si layer 57 and the like. However, in order to make it easier to see, the δ-doped layer 53, the spacer layer 54, n
The illustration of the -channel layer 67 and the p-channel layer 68 is omitted. Hereinafter, a procedure for forming each layer in the semiconductor layer will be described.

【0113】半導体層の成長方法については、固体ソー
スを用いるMBE法や気体ソースを利用するUHV−C
VD法などが利用できる。UHV−CVD法の場合、装
置内の雰囲気をまず超高真空(10-10 Torr程度)に
し、結晶成長に必要なソースを真空容器内に導入してか
ら、10-5〜10-6Torr程度の真空度に到達した状態で
結晶成長を行う。
The semiconductor layer can be grown by MBE using a solid source or UHV-C using a gas source.
A VD method or the like can be used. In the case of the UHV-CVD method, the atmosphere in the apparatus is first set to an ultra-high vacuum (about 10 -10 Torr), a source necessary for crystal growth is introduced into a vacuum vessel, and then about 10 -5 to 10 -6 Torr. The crystal is grown in a state where the degree of vacuum has been reached.

【0114】そこで、本実施形態においても、上述の処
理により基板に清浄な表面を生成した後、真空容器内の
真空度が十分高くなった時点で基板温度を500〜70
0℃程度に設定し、各半導体結晶層の成長を行う。な
お、基板温度を変化させると、単一の半導体結晶層内で
組成比が変化するなど結晶の質に影響を与えるために、
基本的には単一層を成長させている間は基板温度を変化
させない。また、800℃以上といった高温では、Ge
とSiが相互拡散してヘテロ界面の急峻性が損なわれた
り、歪み緩和が行われチャネル特性が悪化するなど、好
ましくないことが起こるため、成長温度は上記のように
700℃以下を選択しておく。
Therefore, also in this embodiment, after a clean surface is formed on the substrate by the above-described processing, when the degree of vacuum in the vacuum vessel becomes sufficiently high, the substrate temperature is raised to 500-70.
The temperature is set at about 0 ° C., and each semiconductor crystal layer is grown. Note that changing the substrate temperature affects the crystal quality such as a change in the composition ratio within a single semiconductor crystal layer.
Basically, the substrate temperature is not changed while growing a single layer. At a high temperature such as 800 ° C. or more, Ge
And Si are interdiffused, thereby deteriorating the steepness of the hetero interface or degrading the strain and deteriorating the channel characteristics. Therefore, the growth temperature is set to 700 ° C. or less as described above. deep.

【0115】結晶成長は、超高真空状態にした真空容器
内に、結晶成長に必要なソースガスを導入することで行
う。結晶成長に使用するソースガスとしては、Si層の
成長用にはジシランを使用している。SiGe層の成長
には、ジシラン等のSi層を成長させるためのソースガ
スに加え、ゲルマンをGeのソースガスとして使用す
る。この時、各ソースガスの分圧比の調整により、Si
Ge層内のSiとGeの組成比を制御することができ
る。ガス流量は、真空度が10-5〜10-6Torr程度にな
るように調整する。
The crystal growth is performed by introducing a source gas necessary for crystal growth into a vacuum vessel in an ultra-high vacuum state. As a source gas used for crystal growth, disilane is used for growing a Si layer. In growing the SiGe layer, germane is used as a Ge source gas in addition to a source gas for growing a Si layer such as disilane. At this time, by adjusting the partial pressure ratio of each source gas, Si
The composition ratio of Si and Ge in the Ge layer can be controlled. The gas flow rate is adjusted so that the degree of vacuum is about 10 -5 to 10 -6 Torr.

【0116】まず、組成比を段階的に変化させかつ格子
緩和された多数のSiGe層を積層してSiGeバッフ
ァ層52を形成する。このとき、組成比を段階的に変化
させるために、上述のように、Siのソースガスの分圧
とGeのソースガスの分圧の比を段階的に変化させる。
First, the SiGe buffer layer 52 is formed by stacking a number of SiGe layers whose composition ratios are changed stepwise and whose lattice is relaxed. At this time, in order to change the composition ratio stepwise, as described above, the ratio between the partial pressure of the Si source gas and the partial pressure of the Ge source gas is changed stepwise.

【0117】次に、δドープ層53の形成には、アルシ
ンもしくはフォスフィンといったドーパントガスを、ジ
シランおよびゲルマンとともに真空容器内に導入する。
Next, to form the δ-doped layer 53, a dopant gas such as arsine or phosphine is introduced into a vacuum vessel together with disilane and germane.

【0118】ここで、δドープ層53に導入した不純物
がスペーサー層54に混じると、トランジスタ特性が劣
化するために、ドーパントガスを真空容器内に導入した
後は、一度ソースガスの供給をとめ、真空度が十分向上
した後にスペーサー層54を成長させるためのガスを導
入し、スペーサー層54を成長させる。スペーサー層5
4の組成は均一にSi0.7 Ge0.3 とし、ジシランとゲ
ルマンの流量を固定して成長を行う。
Here, if the impurities introduced into the δ-doped layer 53 are mixed with the spacer layer 54, the transistor characteristics are deteriorated. After the degree of vacuum is sufficiently improved, a gas for growing the spacer layer 54 is introduced to grow the spacer layer 54. Spacer layer 5
The composition of No. 4 is uniformly made of Si 0.7 Ge 0.3 and the growth is performed while fixing the flow rates of disilane and germane.

【0119】スペーサー層54の成長後、ソースガスの
供給を一旦停止し、真空度が向上してからジシランのみ
を成長室に導入し、不純物をドープしないi−Si層5
5を成長させる。
After the growth of the spacer layer 54, the supply of the source gas is temporarily stopped, and after the degree of vacuum is improved, only disilane is introduced into the growth chamber, and the i-Si layer 5 not doped with impurities is doped.
Grow 5.

【0120】i−Si層55の成長後、再びジシランと
ゲルマンを成長室に導入し、i−Si1-x Gex 層56
を成長させる。Geの組成比は70%とする。i−Si
1-xGex 層56の成長後、ソースガスの供給を一旦停
止した後、真空度が向上してからジシランのみを成長室
に導入し、i−Si層57を成長させる。
After the growth of the i-Si layer 55, disilane and germane are introduced again into the growth chamber, and the i-Si 1-x Ge x layer 56 is formed.
Grow. The composition ratio of Ge is 70%. i-Si
After the growth of 1-x Ge x layer 56, after stopping once the supply of the source gas, only disilane from the improved vacuum was introduced into the growth chamber, growing a i-Si layer 57.

【0121】以上の処理により、チャネル領域を構成す
る半導体層のエピタキシャル成長工程は終了する。
By the above processing, the step of epitaxially growing the semiconductor layer forming the channel region is completed.

【0122】次に、図11(c)に示す工程では、基板
をUHV−CVD装置から取り出して熱酸化炉内に導入
し、最上層のi−Si層57の表面を酸化してシリコン
酸化膜からなるゲート絶縁膜58を形成する。
Next, in the step shown in FIG. 11C, the substrate is taken out of the UHV-CVD apparatus, introduced into a thermal oxidation furnace, and the surface of the uppermost i-Si layer 57 is oxidized to form a silicon oxide film. A gate insulating film 58 is formed.

【0123】次に、図11(d)に示す工程で、ゲート
絶縁膜58上にゲート電極65を形成する。このゲート
電極の形成法は従来のCMOSデバイス工程と同様であ
る。すなわち、ポリシリコン膜を堆積し、不純物をイオ
ン注入した後ドライエッチによりポリシリコン膜をパタ
ーニングして、ゲート電極65n,65pを形成する。
不純物イオンとしてはフッ化ボロンイオン(BF2+)を
使用することができる。このゲート電極用のポリシリコ
ン膜が堆積された段階では、ソース・ドレイン領域は形
成されていない。
Next, a gate electrode 65 is formed on the gate insulating film 58 in the step shown in FIG. The method of forming the gate electrode is the same as the conventional CMOS device process. That is, a polysilicon film is deposited, impurities are ion-implanted, and then the polysilicon film is patterned by dry etching to form gate electrodes 65n and 65p.
As the impurity ions, boron fluoride ions (BF2 +) can be used. At the stage when the polysilicon film for the gate electrode is deposited, no source / drain regions are formed.

【0124】次に、図11(e)に示す工程で、ゲート
電極65n,65pをマスクとして、ドーパントとなる
不純物イオンを基板内に注入して、ソース・ドレイン領
域59n,59pを形成した後、コンタクトを取るため
に基板上に露出している酸化膜を除去するためのエッチ
ングをおこなう。なお、イオン注入の際には、イオンの
加速電圧を、不純物分布のピークがソース・ドレイン電
極のコンタクトを設ける層にあるように選択する。注入
する不純物イオンとしては、NMOSトランジスタ領域
にはn型不純物である砒素イオン(As+ )もしくは燐
イオン(P+ )を、PMOSトランジスタ領域にはp型
不純物であるボロンイオン(B+ )を使用する。したが
って、NMOSトランジスタのソース・ドレイン領域5
9nを形成するためのイオン注入と、PMOSトランジ
スタのソース・ドレイン領域59pを形成するためのイ
オン注入とは、それぞれ別個のマスクを用いて行う必要
がある。
Next, in the step shown in FIG. 11E, using the gate electrodes 65n and 65p as a mask, impurity ions serving as dopants are implanted into the substrate to form source / drain regions 59n and 59p. In order to make contact, etching is performed to remove the oxide film exposed on the substrate. At the time of ion implantation, the acceleration voltage of the ions is selected so that the peak of the impurity distribution is in the layer where the source / drain electrode contacts are provided. As impurity ions to be implanted, arsenic ions (As +) or phosphorus ions (P +), which are n-type impurities, are used in the NMOS transistor region, and boron ions (B +), which are p-type impurities, are used in the PMOS transistor region. I do. Therefore, the source / drain region 5 of the NMOS transistor
The ion implantation for forming 9n and the ion implantation for forming the source / drain region 59p of the PMOS transistor need to be performed using separate masks.

【0125】なお、イオン注入直後は、不純物の活性化
のためのアニールを行う。ただし、アニール熱処理によ
り、ヘテロ界面でのSiとGeの相互拡散や、Si/S
iGe系に存在する歪みの緩和過程における結晶欠陥の
発生がないよう、1000℃程度で短時間(30秒)の
RTA(ラピッドサーマルアニーリング)を行うことが
好ましい。
Immediately after ion implantation, annealing for activating impurities is performed. However, due to the annealing heat treatment, the interdiffusion of Si and Ge at the hetero interface and the Si / S
It is preferable to perform RTA (rapid thermal annealing) at a temperature of about 1000 ° C. for a short time (30 seconds) so as to prevent generation of crystal defects in the process of relaxing strain existing in the iGe system.

【0126】次に、図12(a)に示す工程で、基板上
に再度フォトレジストマスク(図示せず)を形成し、ド
ライエッチングによりNMOSトランジスタ形成領域−
PMOSトランジスタ形成領域間の領域を少なくともチ
ャネル領域よりも深く掘り込んで、素子分離用溝71を
形成する。
Next, in a step shown in FIG. 12A, a photoresist mask (not shown) is formed again on the substrate, and an NMOS transistor forming region is formed by dry etching.
A region between the PMOS transistor formation regions is dug deeper than at least the channel region to form a trench 71 for element isolation.

【0127】次に、図12(b)に示す工程で、溝71
を含む基板の全面上に第1層目の絶縁膜72を堆積す
る。絶縁膜を構成する材料としては高温プロセスを避け
るために、500℃以下で成膜できるプラズマCVD法
によるTEOS膜などを使用することが好ましい。この
とき、溝71に埋め込まれた絶縁膜によりトレンチ分離
73が構成される。
Next, in the step shown in FIG.
A first-layer insulating film 72 is deposited over the entire surface of the substrate including. In order to avoid a high-temperature process, it is preferable to use a TEOS film formed by a plasma CVD method at 500 ° C. or lower as a material for forming the insulating film. At this time, a trench isolation 73 is formed by the insulating film embedded in the groove 71.

【0128】次に、本実施形態の特徴であるソース・ド
レインコンタクトを以下の手順により形成する。ただ
し、図10に示す構造を実現するための工程は、以下の
手順に限定されるものではない。
Next, a source / drain contact which is a feature of this embodiment is formed by the following procedure. However, the steps for realizing the structure shown in FIG. 10 are not limited to the following procedures.

【0129】本実施形態の効果を最大限発揮するために
は、最終的にコンタクトの下地となる極めて薄い特定の
半導体層が存在している必要がある。そのために、本実
施形態では、下地となる特定の半導体層としてi−Si
1-x Gex 層56n,56pを選択し、i−Si1-x
x 層56n,56pが露出するまでエッチングを行
う。このi−Si1-x Gex 層56n,56pを露出さ
せる際にはウェットエッチングによる選択性の高いエッ
チングを用いることが好ましい。ただし、ウェットエッ
チングは異方性に乏しく、微細加工に適していないた
め、まず、ドライエッチングにより、第1層目の絶縁膜
72のうちソース・ドレイン電極を形成しようとする領
域を選択的に除去してコンタクトホールを形成し、ゲー
ト絶縁膜58n,58pを露出させた後、ウェットエッ
チングを行うことが望ましい。このような処理の例とし
ては、例えば以下の処理がある。
In order to maximize the effects of the present embodiment, it is necessary that an extremely thin specific semiconductor layer finally serving as a base of the contact exists. For this purpose, in the present embodiment, i-Si
1-x Ge x layer 56n, select 56p, i-Si 1-x G
e x layer 56n, etched until 56p are exposed. The i-Si 1-x Ge x layer 56n, it is preferable to use a high selectivity by wet etching etching when exposing the 56p. However, since wet etching has poor anisotropy and is not suitable for fine processing, first, a region where a source / drain electrode is to be formed in the first insulating film 72 is selectively removed by dry etching. It is preferable to perform wet etching after forming contact holes and exposing the gate insulating films 58n and 58p. Examples of such processing include, for example, the following processing.

【0130】まず、最上層の酸化膜(ゲート絶縁膜58
n,58p)の除去には、よく知られているようにフッ
酸系の溶液を使用する。そして、i−Si層57n,5
7pが露出すると、フッ酸はシリコンをほとんど除去し
ないので、エッチング液をi−Si層57を除去できる
エッチング液に変更する。ここで、本実施形態では、i
−Si層57n,57pの下のi−Si1-x Gex 層5
6n,56pにコンタクトを形成するので、i−Si
1-x Gex 層56n,56pをあまりエッチせず、i−
Si層57n,57pを選択的にエッチできるエッチン
グ液(エッチャント)を選択する。そして、このエッチ
ャントを使用し、i−Si層57n,57pを除去し、
i−Si1-x Gex 層56n,56pを露出させる。こ
のとき、i−Si1-x Gex 層56n,56pの一部が
オーバーエッチングにより除去されてもよい。先述した
ように、このi−Si1-x Gex 層56n,56pは、
NMOSトランジスタのチャネル領域にn−チャネルを
形成させるためにエピタキシャル成長させたものであ
る。従って、本実施形態を用いれば、SiGe層を用い
た低抵抗コンタクトを形成するために新たにi−Si
1-x Gex 層56n,56pを成長させるための工程が
不要となる。
First, the uppermost oxide film (gate insulating film 58)
For removal of n, 58p), a hydrofluoric acid-based solution is used as is well known. Then, the i-Si layers 57n, 5
When 7p is exposed, since hydrofluoric acid hardly removes silicon, the etchant is changed to an etchant that can remove the i-Si layer 57. Here, in the present embodiment, i
I-Si 1-x Ge x layer 5 under Si layers 57 n and 57 p
6n, 56p, so that i-Si
1-x Ge x layer 56n, without much etch 56p, i-
An etchant (etchant) capable of selectively etching the Si layers 57n and 57p is selected. Then, using this etchant, the i-Si layers 57n and 57p are removed,
i-Si 1-x Ge x layer 56n, thereby exposing the 56p. In this case, i-Si 1-x Ge x layer 56n, a part of the 56p may be removed by overetching. As mentioned earlier, the i-Si 1-x Ge x layer 56n, 56p is
This is epitaxially grown to form an n-channel in the channel region of the NMOS transistor. Therefore, according to the present embodiment, in order to form a low-resistance contact using a SiGe layer, a new i-Si
1-x Ge x layer 56n, the process for growing the 56p is unnecessary.

【0131】次に、コンタクトを形成するために、この
露出したi−Si1-x Gex 層56n,56pの上に低
抵抗の金属膜を堆積させる。この金属膜を構成する金属
材料としては、先述のようにタングステン(W)を使用
すると非常に抵抗値の低いコンタクトを形成することが
できる。そこで、本実施形態では、LPCVD法によ
り、WF6 を水素で希釈したガスをソースガスとして用
い、温度条件を400℃として、i−Si1-x Gex
56n,56p上にソース・ドレインコンタクトW層6
1n,61pを選択成長させている。
[0131] Next, in order to form the contact, the exposed i-Si 1-x Ge x layer 56n, depositing a low-resistance metal film on 56p. If tungsten (W) is used as a metal material for forming the metal film as described above, a contact having a very low resistance value can be formed. Therefore, in the present embodiment, a gas obtained by diluting WF6 with hydrogen by LPCVD is used as a source gas, the temperature condition is set to 400 ° C., and the source / drain contacts W are formed on the i-Si 1-x Ge x layers 56n and 56p. Layer 6
1n and 61p are selectively grown.

【0132】次に、図12(e)に示す工程で、スパッ
タリングを行って、基板の全面上にAl合金膜を堆積し
た後、パターニングして、Alソース・ドレイン電極6
3n,63pを形成する。以上の工程で、ソース・ドレ
イン領域上に低抵抗のコンタクトを形成することができ
る。
Next, in the step shown in FIG. 12E, sputtering is performed to deposit an Al alloy film on the entire surface of the substrate, followed by patterning to form an Al source / drain electrode 6.
3n and 63p are formed. Through the above steps, a low-resistance contact can be formed on the source / drain region.

【0133】先述のように、Si系ヘテロMOSデバイ
スにおいては、ゲート絶縁膜としてシリコン酸化膜を使
用する関係上、半導体最上層はバンドギャップの大きい
Si層であることが好ましいため、本実施形態のような
半導体層を除去した後コンタクト金属層を形成する技術
は、Si系ヘテロMOSデバイスの形成に特に適した技
術である。
As described above, in the Si-based hetero MOS device, since the silicon oxide film is used as the gate insulating film, the semiconductor uppermost layer is preferably a Si layer having a large band gap. The technique of forming a contact metal layer after removing such a semiconductor layer is a technique particularly suitable for forming a Si-based hetero MOS device.

【0134】(第5の実施形態) 上記実施形態では、SiとSiGeとからなるヘテロ接
合体を利用したチャネル構造を代表例として取り上げた
が、HCMOSデバイスのソース・ドレイン領域に低抵
抗のコンタクトを形成する発明は、かかる実施形態に限
定されるものではなく、Siとこの実施形態のSiGe
との積層構造以外の構成をもつヘテロエピタキシャル積
層膜によるチャネル、例えばSiとSi1-x-y Gex
y (0≦x≦1,0≦y≦1)混晶半導体との間にチャ
ネル形成したものでもかまわない。ヘテロ界面によるチ
ャネル形成には、必ずバンドギャップの異なる2種類の
半導体の接合が必要となるため、このような低抵抗のコ
ンタクト層の形成が有効となる。
(Fifth Embodiment) In the above embodiment, a channel structure using a heterojunction made of Si and SiGe is taken as a representative example. However, a low-resistance contact is provided in the source / drain region of the HCMOS device. The invention to be formed is not limited to such an embodiment, and Si and SiGe of this embodiment are formed.
Of a heteroepitaxial layered film having a configuration other than the layered structure of, for example, Si and Si 1-xy Ge x C
A channel may be formed between y (0 ≦ x ≦ 1, 0 ≦ y ≦ 1) and a mixed crystal semiconductor. Since the formation of a channel by a hetero interface always requires the junction of two types of semiconductors having different band gaps, the formation of such a low-resistance contact layer is effective.

【0135】図13は、図1に示す構造に低抵抗のコン
タクト金属層を形成した第5の実施形態に係るHCMO
Sデバイスの断面図である。
FIG. 13 shows an HCMO according to a fifth embodiment in which a low-resistance contact metal layer is formed on the structure shown in FIG.
It is sectional drawing of an S device.

【0136】同図に示すように、本実施形態に係るHC
MOSデバイスにおいては、SiGe層15n,15p
の上に、ソース・ドレインコンタクトW層25n,25
pが形成されている。
As shown in the figure, the HC according to this embodiment is
In a MOS device, the SiGe layers 15n, 15p
On the source / drain contact W layers 25n, 25
p is formed.

【0137】本実施形態に係る発明の特徴は、上記第1
の実施形態の特徴に加え、上記第4の実施形態と同様
に、Si1-x-y Gex y 層(0≦x≦1,0≦y≦
1)を含む第1の半導体層と、上記第1の半導体層とは
異なるバンドギャップを有する第2の半導体層と、上記
第1,第2の半導体層の間の界面付近の領域に形成され
たキャリア蓄積層とを有するチャネル領域と、第3の半
導体層と、該第3の半導体層よりも大きいバンドギャッ
プを有する第4の半導体層とを有するソース・ドレイン
領域と、上記第3の半導体層の直上に形成された低抵抗
の導体膜からなるソース・ドレインコンタクト層とを備
えている点である。
The features of the present invention according to the present embodiment are as follows.
In addition to the features of the embodiment, as in the fourth embodiment, Si 1-xy Ge x C y layer (0 ≦ x ≦ 1,0 ≦ y ≦
1) a first semiconductor layer, a second semiconductor layer having a band gap different from that of the first semiconductor layer, and a region formed near an interface between the first and second semiconductor layers. A source / drain region having a channel region having a carrier accumulation layer, a third semiconductor layer, and a fourth semiconductor layer having a band gap larger than that of the third semiconductor layer; And a source / drain contact layer formed of a low-resistance conductor film formed immediately above the layer.

【0138】そして、本実施形態のNMOSトランジス
タにおいては、SiGeC層14nはSi1-x-y Gex
y 層(0≦x≦1,0≦y≦1)を含む第1の半導体
層であり、Si層13nは第2の半導体層であり、Si
Ge層15nは第3の半導体層であり、Si層17nは
SiGe層15nよりもバンドギャップの大きい第4の
半導体層であって、第3の半導体層であるSiGe層1
5nの直上にソース・ドレインコンタクトW層25nが
形成されている。
In the NMOS transistor of this embodiment, the SiGeC layer 14n is formed of Si 1-xy Ge x
The first semiconductor layer includes a C y layer (0 ≦ x ≦ 1, 0 ≦ y ≦ 1), the Si layer 13n is a second semiconductor layer,
The Ge layer 15n is a third semiconductor layer, the Si layer 17n is a fourth semiconductor layer having a larger band gap than the SiGe layer 15n, and the SiGe layer 1 is a third semiconductor layer.
A source / drain contact W layer 25n is formed immediately above 5n.

【0139】一方、本実施形態のPMOSトランジスタ
においては、SiGe層15pはSi1-x-y Gex y
層(0≦x≦1,0≦y≦1)を含む第1の半導体層で
ある(y=0)とともに第3の半導体層であり、Si層
17pは第2の半導体層であるとともに第3の半導体層
よりもバンドギャップの大きい第4の半導体層であっ
て、第3の半導体層であるSiGe層15pの直上にソ
ース・ドレインコンタクトW層25pが形成されてい
る。
[0139] On the other hand, in the PMOS transistor of the present embodiment, SiGe layer 15p is Si 1-xy Ge x C y
The first semiconductor layer (y = 0) including the layers (0 ≦ x ≦ 1, 0 ≦ y ≦ 1) is the third semiconductor layer, and the Si layer 17p is the second semiconductor layer. A source / drain contact W layer 25p is formed on the fourth semiconductor layer having a larger band gap than the third semiconductor layer, and directly above the SiGe layer 15p which is the third semiconductor layer.

【0140】以上のように、本実施形態では、Alソー
ス・ドレイン電極21n,21pとのコンタクトを行う
基板側の領域(ソース・ドレインコンタクトW層25
n,25p)を、チャネル形成のための各半導体層のう
ちバンドギャップの小さい層の直上に設けているので、
最上層の半導体層であるSi層17n,17pの直上に
コンタクトを設けるよりもコンタクト抵抗が小さくな
り、素子の低消費電力と高速動作とが可能になる。
As described above, in the present embodiment, the region on the substrate side (the source / drain contact W layer 25) which makes contact with the Al source / drain electrodes 21n and 21p.
n, 25p) is provided immediately above a layer having a small band gap in each semiconductor layer for forming a channel.
The contact resistance is smaller than when a contact is provided directly above the Si layers 17n and 17p, which are the uppermost semiconductor layers, and low power consumption and high-speed operation of the element can be achieved.

【0141】特に、SiGe層15n,15pに接触す
るように、タングステン(W)からなるソース・ドレイ
ンコンタクトW層25n,25pを設けているので、非
常に低いコンタクト抵抗が得られる。
In particular, since the source / drain contact W layers 25n and 25p made of tungsten (W) are provided so as to be in contact with the SiGe layers 15n and 15p, a very low contact resistance can be obtained.

【0142】すなわち、本実施形態では、上記第1の実
施形態の効果を発揮しながら、コンタクト抵抗の低減を
図ることができる。
That is, in the present embodiment, it is possible to reduce the contact resistance while exhibiting the effect of the first embodiment.

【0143】(第6の実施形態) 図14は、図8に示す構造に低抵抗のコンタクト金属層
を形成した第6の実施形態に係るHCMOSデバイスの
断面図である。
Sixth Embodiment FIG. 14 is a sectional view of an HCMOS device according to a sixth embodiment in which a low-resistance contact metal layer is formed on the structure shown in FIG.

【0144】同図に示すように、本実施形態に係るHC
MOSデバイスにおいては、量子井戸領域となっている
SiGe層36n,36pの上に、ソース・ドレインコ
ンタクトW層45n,45pが形成されている。
As shown in the figure, the HC according to this embodiment is
In a MOS device, source / drain contact W layers 45n and 45p are formed on SiGe layers 36n and 36p serving as quantum well regions.

【0145】本実施形態に係る発明の特徴は、上記第3
の実施形態の特徴に加え、上記第4の実施形態と同様
に、Si1-x-y Gex y 層(0≦x≦1,0≦y≦
1)を含む第1の半導体層と、上記第1の半導体層とは
異なるバンドギャップを有する第2の半導体層と、上記
第1,第2の半導体層の間の界面付近の領域に形成され
たキャリア蓄積層とを有するチャネル領域と、第3の半
導体層と、該第3の半導体層よりも大きいバンドギャッ
プを有する第4の半導体層とを有するソース・ドレイン
領域と、上記第3の半導体層の直上に形成された低抵抗
の導体膜からなるソース・ドレインコンタクト層とを備
えている点である。
The feature of the invention according to the present embodiment is that
In addition to the features of the embodiment, as in the fourth embodiment, Si 1-xy Ge x C y layer (0 ≦ x ≦ 1,0 ≦ y ≦
1) a first semiconductor layer, a second semiconductor layer having a band gap different from that of the first semiconductor layer, and a region formed near an interface between the first and second semiconductor layers. A source / drain region having a channel region having a carrier accumulation layer, a third semiconductor layer, and a fourth semiconductor layer having a larger band gap than the third semiconductor layer; And a source / drain contact layer formed of a low-resistance conductor film formed immediately above the layer.

【0146】そして、本実施形態のNMOSトランジス
タにおいては、量子井戸領域であるSiGeC層34n
はSi1-x-y Gex y 層(0≦x≦1,0≦y≦1)
を含む第1の半導体層であり、第1のSi層33nは第
2の半導体層であり、量子井戸領域であるSiGe層3
6nは第3の半導体層であり、第3のSi層37nはS
iGe層36nよりもバンドギャップの大きい第4の半
導体層であって、第3の半導体層であるSiGe層36
nの直上にソース・ドレインコンタクトW層45nが形
成されている。
In the NMOS transistor of this embodiment, the SiGeC layer 34n serving as the quantum well region
Is a Si 1-xy Ge x C y layer (0 ≦ x ≦ 1, 0 ≦ y ≦ 1)
The first Si layer 33n is a second semiconductor layer, and the SiGe layer 3 is a quantum well region.
6n is a third semiconductor layer, and the third Si layer 37n is
a fourth semiconductor layer having a larger band gap than the iGe layer 36n,
A source / drain contact W layer 45n is formed immediately above n.

【0147】一方、本実施形態のPMOSトランジスタ
においては、SiGe層36pはSi1-x-y Gex y
層(0≦x≦1,0≦y≦1)を含む第1の半導体層で
ある(y=0)とともに第3の半導体層であり、第3の
Si層37pは第2の半導体層であるとともに第3の半
導体層よりもバンドギャップの大きい第4の半導体層で
あって、第3の半導体層であるSiGe層36pの直上
にソース・ドレインコンタクトW層45pが形成されて
いる。
[0147] On the other hand, in the PMOS transistor of the present embodiment, SiGe layer 36p is Si 1-xy Ge x C y
The first semiconductor layer (y = 0) including the layers (0 ≦ x ≦ 1, 0 ≦ y ≦ 1) is the third semiconductor layer, and the third Si layer 37p is the second semiconductor layer. In addition, a source / drain contact W layer 45p is formed on the fourth semiconductor layer having a larger band gap than the third semiconductor layer and directly on the SiGe layer 36p as the third semiconductor layer.

【0148】以上のように、本実施形態では、Alソー
ス・ドレイン電極41n,41pとのコンタクトを行う
基板側の領域(ソース・ドレインコンタクトW層45
n,45p)を、チャネル形成のための各半導体層のう
ちバンドギャップの小さい層の直上に設けているので、
最上層の半導体層であるSi層37n,37pの直上に
コンタクトを設けるよりもコンタクト抵抗が小さくな
り、デバイスの低消費電力と高速動作とが可能になる。
As described above, in the present embodiment, the region on the substrate side (the source / drain contact W layer 45) which makes contact with the Al source / drain electrodes 41n and 41p.
n, 45p) is provided immediately above a layer having a small band gap in each semiconductor layer for forming a channel.
The contact resistance is lower than when a contact is provided directly above the Si layers 37n and 37p, which are the uppermost semiconductor layers, and low power consumption and high-speed operation of the device can be achieved.

【0149】特に、SiGe層36n,36pに接触す
るように、タングステン(W)からなるソース・ドレイ
ンコンタクトW層45n,45pを設けているので、非
常に低いコンタクト抵抗が得られる。
Particularly, since the source / drain contact W layers 45n and 45p made of tungsten (W) are provided so as to be in contact with the SiGe layers 36n and 36p, a very low contact resistance can be obtained.

【0150】すなわち、本実施形態では、上記第3の実
施形態の効果を発揮しながら、コンタクト抵抗の低減を
図ることができる。
That is, in the present embodiment, it is possible to reduce the contact resistance while exhibiting the effect of the third embodiment.

【0151】(その他の変形形態) 上記第1〜第6の実施形態では、ゲート電極の下にゲー
ト絶縁膜を設けたMOS型電界効果トランジスタについ
て説明したが、本発明はかかる実施形態に限定されるも
のではない。特に、最上層に絶縁膜があるヘテロMOS
構造ではなく、ヘテロ界面を用いる電界効果トランジス
タならば、絶縁膜を用いないショットキー接合を用いる
デバイスでも実施可能であり、抵抗の低減効果を得るこ
とが可能になり、デバイスの低消費電力高速動作上有利
となる。
(Other Modifications) In the first to sixth embodiments, the description has been given of the MOS field-effect transistor in which the gate insulating film is provided below the gate electrode. However, the present invention is limited to such an embodiment. Not something. In particular, hetero MOS with an insulating film on the top layer
If the field effect transistor uses a heterointerface instead of a structure, the device can be implemented even with a device using a Schottky junction without using an insulating film, and it is possible to obtain an effect of reducing resistance, thereby achieving low power consumption and high speed operation of the device. It is more advantageous.

【0152】上記第1〜第6の実施形態では、δドープ
層を形成したが、本発明はかかる実施形態に限定される
ものではなく、δドープ層を設けなくても本発明の効果
を発揮することは可能である。また、δドープ層を形成
する場合でも、スペーサー層は必ずしも必要でない。
In the first to sixth embodiments, the δ-doped layer is formed. However, the present invention is not limited to such an embodiment, and the effects of the present invention can be exhibited without providing the δ-doped layer. It is possible to do. Further, even when the δ-doped layer is formed, the spacer layer is not always necessary.

【0153】上記第1,第2,第3,第5,第6の実施
形態におけるSiGe層に変えて、Cを微量添加したS
iGeC層を設けてもよい。
Instead of the SiGe layers in the first, second, third, fifth, and sixth embodiments, S containing a small amount of C was added.
An iGeC layer may be provided.

【0154】また、上記第1,第2,第3,第5,第6
の実施形態においては、SiGeC層とSiGe層との
上下関係を逆にしてもよいものとする。その場合、第
5,第6の実施形態では、ソース・ドレイン領域におけ
るSiGeC層の直上にソース・ドレインコンタクトW
層を形成すればよい。
In addition, the first, second, third, fifth, sixth
In the embodiment, the vertical relationship between the SiGeC layer and the SiGe layer may be reversed. In that case, in the fifth and sixth embodiments, the source / drain contact W is located directly above the SiGeC layer in the source / drain region.
A layer may be formed.

【0155】[0155]

【発明の効果】本発明の第1の半導体装置によれば、電
界効果トランジスタを有する半導体装置において、Si
層とCの組成比yが0.01〜0.03であるSi
1-x-y Gex y 層とを設け、Si1-x-y Gex y
内に形成されるキャリア蓄積層をチャネルとして利用す
るようにしたので、動作速度が大きく、かつ信頼性の高
い電界効果型トランジスタを有する半導体装置の提供を
図ることができる。
According to the first semiconductor device of the present invention, in a semiconductor device having a field-effect transistor, Si
Si in which the composition ratio y of the layer and C is 0.01 to 0.03
And 1-xy Ge x C y layer is provided, since the carrier storage layer formed on Si 1-xy Ge x C y layer to be utilized as a channel, a large operating speed, and reliable field A semiconductor device having an effect transistor can be provided.

【0156】本発明の第2の半導体装置によれば、Si
1-x-y Gex y 層を含む第1の半導体層−第2の半導
体層間にチャネルとなるバンド不連続部を形成させた電
界効果トランジスタを有する半導体装置において、ソー
ス・ドレイン領域を第3の半導体層及び第3の半導体層
よりもバンドギャップの大きい第4の半導体層により構
成し、この第3の半導体層の直上に低抵抗の導体膜から
なるソース・ドレインコンタクト層とを設けたので、ヘ
テロ接合を利用した動作速度の高いかつソース・ドレイ
ンコンタクト抵抗の小さい半導体装置の提供を図ること
ができる。
According to the second semiconductor device of the present invention, Si
A first semiconductor layer containing 1-xy Ge x C y layer - in a semiconductor device having a field effect transistor to form a band discontinuous portion serving as the channel to the second semiconductor layers, the source and drain regions of the third Since the semiconductor layer is composed of the semiconductor layer and the fourth semiconductor layer having a larger band gap than the third semiconductor layer, and the source / drain contact layer made of a low-resistance conductor film is provided immediately above the third semiconductor layer, A semiconductor device using a heterojunction and having a high operation speed and low source / drain contact resistance can be provided.

【0157】この半導体装置の構造は、本発明の半導体
装置の製造方法により容易に実現することができる。
The structure of the semiconductor device can be easily realized by the method of manufacturing a semiconductor device according to the present invention.

【図面の簡単な説明】[Brief description of the drawings]

【図1】第1の実施形態に係るSiGeC系HCMOS
デバイスの構造を示す断面図である。
FIG. 1 shows a SiGeC-based HCMOS according to a first embodiment.
It is sectional drawing which shows the structure of a device.

【図2】HCMOSデバイス内のSiGeC層の格子歪
みのGe組成率及びC組成率に対する依存性を示す図で
ある。
FIG. 2 is a diagram showing the dependence of the lattice distortion of a SiGeC layer in an HCMOS device on the Ge composition ratio and the C composition ratio.

【図3】SiGeC系HCMOSデバイスのSiGeC
層とSi層との間において格子整合あるいは引っ張り歪
みを生じるSi,Ge,Cの組成率との関係を示す図で
ある。
FIG. 3 shows SiGeC of a SiGeC-based HCMOS device.
FIG. 4 is a diagram showing a relationship between the composition ratio of Si, Ge, and C that causes lattice matching or tensile strain between a layer and a Si layer.

【図4】SiGeC層のC組成比とエネルギーギャップ
値との関係を示す図である。
FIG. 4 is a diagram showing a relationship between a C composition ratio of an SiGeC layer and an energy gap value.

【図5】第1の実施形態に係る半導体装置の製造工程を
示す断面図である。
FIG. 5 is a cross-sectional view illustrating a manufacturing step of the semiconductor device according to the first embodiment.

【図6】第2の実施形態におけるSiGeC層の組成と
格子不整合による歪みとの関係を示す図である。
FIG. 6 is a diagram showing the relationship between the composition of a SiGeC layer and strain due to lattice mismatch in the second embodiment.

【図7】第2の実施形態に係る格子整合系SiGeC−
HCMOSデバイスのバンドーラインナップを示す図で
ある。
FIG. 7 shows a lattice-matched SiGeC— according to the second embodiment.
It is a figure which shows the band lineup of HCMOS device.

【図8】第3の実施形態に係る量子井戸構造のチャネル
を有するHCMOSデバイスの構造を示す断面図であ
る。
FIG. 8 is a cross-sectional view illustrating a structure of an HCMOS device having a channel having a quantum well structure according to a third embodiment.

【図9】第3の実施形態に係る半導体装置の製造工程を
示す断面図である。
FIG. 9 is a sectional view illustrating a manufacturing process of the semiconductor device according to the third embodiment;

【図10】第4の実施形態に係るHCMOSデバイスの
構造を示す断面図である。
FIG. 10 is a sectional view showing a structure of an HCMOS device according to a fourth embodiment.

【図11】第4の実施形態に係るHCMOSデバイスの
製造工程のうちの前半部分を示す断面図である。
FIG. 11 is a cross-sectional view showing a first half of a manufacturing process of an HCMOS device according to a fourth embodiment.

【図12】第4の実施形態に係るHCMOSデバイスの
製造工程のうちの後半部分を示す断面図である。
FIG. 12 is a cross-sectional view showing the latter half of the manufacturing process of the HCMOS device according to the fourth embodiment.

【図13】第5の実施形態に係るHCMOSデバイスの
構造を示す断面図である。
FIG. 13 is a sectional view showing a structure of an HCMOS device according to a fifth embodiment.

【図14】第6の実施形態に係るHCMOSデバイスの
構造を示す断面図である。
FIG. 14 is a cross-sectional view illustrating a structure of an HCMOS device according to a sixth embodiment.

【図15】従来のHCMOSデバイスの構造を示す断面
図である。
FIG. 15 is a cross-sectional view showing a structure of a conventional HCMOS device.

【図16】従来のHCMOSデバイスのヘテロ界面に導
入される格子不整合歪みによる転位等の欠陥を示す図で
ある。
FIG. 16 is a diagram showing defects such as dislocations due to lattice mismatch distortion introduced into a hetero interface of a conventional HCMOS device.

【符号の説明】[Explanation of symbols]

10 Si基板 11 pウェル 12 nウェル 13 Si層 14 SiGeC層 15 SiGe層 16 ソース・ドレイン領域 17 Si層 18 ゲート電極 19 ゲート絶縁膜 21 ソース・ドレイン電極 25 ソース・ドレインコンタクトW層 30 Si基板 31 pウェル 32 nウェル 33 第1のSi層 34 SiGeC層 35 第2のSi層 36 SiGe層 37 第3のSi層 38 ゲート電極 39 ゲート絶縁膜 41 ソース・ドレイン電極 42 ソース・ドレイン領域 45 ソース・ドレインコンタクトW層 50 Si基板 51n p−ウェル 51p n−ウェル 52 SiGeバッファ層 53 δドープ層 54 スペーサー層 55 i−Si層 56 i−Si1-xGex層 57 i−Si層 58 ゲート絶縁膜 59 ソース・ドレイン領域 61 ソース・ドレインコンタクトW層 63 Alソース・ドレイン電極 65 ゲート電極 66 第1層目の絶縁膜 67 n−チャネル 68 p−チャネル Reference Signs List 10 Si substrate 11 p well 12 n well 13 Si layer 14 SiGeC layer 15 SiGe layer 16 source / drain region 17 Si layer 18 gate electrode 19 gate insulating film 21 source / drain electrode 25 source / drain contact W layer 30 Si substrate 31 p Well 32 n-well 33 first Si layer 34 SiGeC layer 35 second Si layer 36 SiGe layer 37 third Si layer 38 gate electrode 39 gate insulating film 41 source / drain electrode 42 source / drain region 45 source / drain contact W layer 50 Si substrate 51 n p-well 51 pn-well 52 SiGe buffer layer 53 δ-doped layer 54 spacer layer 55 i-Si layer 56 i-Si1-xGex layer 57 i-Si layer 58 gate insulating film 59 source / drain region 61 Source Drain contact W layer 63 Al source / drain electrode 65 Gate electrode 66 First insulating film 67 n-channel 68 p-channel

───────────────────────────────────────────────────── フロントページの続き (72)発明者 上野山 雄 大阪府門真市大字門真1006番地 松下電 器産業株式会社内 (72)発明者 熊渕 康仁 大阪府門真市大字門真1006番地 松下電 器産業株式会社内 (56)参考文献 特開 平6−140435(JP,A) 特開 平5−114708(JP,A) 特開 平5−218096(JP,A) International Ele ctron Devices Meet ing.Technical Dige st 1996,P261−264 (58)調査した分野(Int.Cl.7,DB名) H01L 27/092 H01L 21/8238 H01L 29/78 H01L 21/336 ──────────────────────────────────────────────────の Continued on the front page (72) Inventor Yu Uenoyama 1006 Kazuma Kadoma, Osaka Prefecture Inside Matsushita Electric Industrial Co., Ltd. In-company (56) References JP-A-6-140435 (JP, A) JP-A-5-114708 (JP, A) JP-A-5-218096 (JP, A) International Electron Devices Meeting. Technical Digest 1996, P261-264 (58) Field surveyed (Int.Cl. 7 , DB name) H01L 27/092 H01L 21/8238 H01L 29/78 H01L 21/336

Claims (8)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 半導体基板の一部に形成され、ゲート電
極とソース・ドレイン領域と該ソース・ドレイン領域間
のチャネル領域とを有する電界効果トランジスタを備え
ている半導体装置であって、 上記チャネル領域には、 Si層と、 上記Si層に接して形成され、Cの組成比yが0.01
〜0.03であるSi1-x-y Gex y 層(0≦x≦
1,0<y≦1)とが設けられていて、 Si1-x-y Gex y 層内における上記Si層に近接し
た領域にはキャリア蓄積層が形成されており、 上記半導体基板上に形成され、単一組成の半導体層をチ
ャネル領域とするMOSトランジスタをさらに備えてい
ことを特徴とする半導体装置。
1. A semiconductor device comprising a field-effect transistor formed on a part of a semiconductor substrate and having a gate electrode, source / drain regions, and a channel region between the source / drain regions, wherein the channel region Is formed in contact with the Si layer and the Si layer, and the composition ratio y of C is 0.01
~ 0.03 Si 1-xy Ge x C y layer (0 ≦ x ≦
1,0 <y ≦ 1) and is provided, Si 1-xy Ge in x C y area proximate to the Si layer in the layer is formed carrier accumulation layer is formed on the semiconductor substrate The semiconductor layer of a single composition.
A MOS transistor serving as a channel region.
Wherein a that.
【請求項2】 請求項1に記載の半導体装置において、 上記Si1-x-y Gex y 層の各元素の組成比は、上記
Si1-x-y Gex y層と上記Si層とが格子整合する
組成比に調整されていることを特徴とする半導体装置。
2. A semiconductor device according to claim 1, the composition ratio of each element of the Si 1-xy Ge x C y layer, and the above-mentioned Si 1-xy Ge x C y layer and the Si layer lattice A semiconductor device characterized by being adjusted to a matching composition ratio.
【請求項3】 請求項1に記載の半導体装置において、 上記Si1-x-y Gex y 層は、上記Si層よりも小さ
い格子定数を有し、かつ格子緩和を生じない膜厚を有し
ていることを特徴とする半導体装置。
The semiconductor device according to claim 1, further comprising: said Si 1-xy Ge x C y layer has a lattice constant smaller than that of the Si layer, and has a thickness that does not cause a lattice relaxation A semiconductor device characterized in that:
【請求項4】 請求項1〜3のうちいずれか1つに記載
の半導体装置において、 上記キャリア蓄積層に蓄積されるキャリアは負のキャリ
アであることを特徴とする半導体装置。
4. The semiconductor device according to claim 1, wherein carriers accumulated in the carrier accumulation layer are negative carriers.
【請求項5】 請求項1〜4のうちいずれか1つに記載
の半導体装置において、 上記Si層内の上記Si1-x-y Gex y 層に近接した
領域には、上記キャリア蓄積層にキャリアを供給するた
めのキャリア供給層がさらに形成されていることを特徴
とする半導体装置。
5. The semiconductor device according to any one of claims 1 to 4, the area adjacent to the Si 1-xy Ge x C y layer of the Si layer is in the carrier storage layer A semiconductor device, further comprising a carrier supply layer for supplying carriers.
【請求項6】 半導体基板上に形成された少なくとも1
つの電界効果トランジスタを備えた半導体装置であっ
て、 上記電界効果トランジスタは、 Si1-x-y Gex y 層(0≦x≦1,0≦y≦1)を
含む第1の半導体層、上記第1の半導体層とはバンドギ
ャップの異なる半導体により構成される第2の半導体
層、及び上記第1,第2の半導体層の間の界面付近の領
域に形成されたキャリア蓄積層を有するチャネル領域
と、 第3の半導体層及び該第3の半導体層よりもバンドギャ
ップの大きい半導体で構成される第4の半導体層を有す
るソース・ドレイン領域と、 上記第3の半導体層の直上に形成された低抵抗の導体膜
からなるソース・ドレインコンタクト層とを備えて
り、 上記第1の半導体層と上記第3の半導体層とは互いに異
なる半導体膜により構成され、 上記第3の半導体層は上記第1の半導体層の上方に形成
されており、 上記第4の半導体層は、上記第3の半導体層の上に形成
されている ことを特徴とする半導体装置。
6. At least one of a plurality of semiconductor devices formed on a semiconductor substrate
One of a semiconductor device including a field effect transistor, the field effect transistor includes a first semiconductor layer comprising Si 1-xy Ge x C y layer (0 ≦ x ≦ 1,0 ≦ y ≦ 1), the A channel region having a second semiconductor layer formed of a semiconductor having a band gap different from that of the first semiconductor layer, and a carrier accumulation layer formed in a region near an interface between the first and second semiconductor layers; A source / drain region having a third semiconductor layer and a fourth semiconductor layer formed of a semiconductor having a larger band gap than the third semiconductor layer; and a source / drain region formed immediately above the third semiconductor layer. A source / drain contact layer made of a low-resistance conductive film .
Ri, the first semiconductor layer and said third semiconductor layer to each other and different
And the third semiconductor layer is formed above the first semiconductor layer.
And the fourth semiconductor layer is formed on the third semiconductor layer.
A semiconductor device characterized by being performed .
【請求項7】 Si1-x-y Gex y 層(0≦x≦1,
0≦y≦1)を含む第1の半導体層と、上記第1の半導
体層とは異なるバンドギャップを有する第2の半導体層
と、上記第1,第2の半導体層の間の界面付近の領域に
形成されたチャネルとなるキャリア蓄積層とを有し、電
界効果トランジスタとして機能する半導体装置の製造方
法であって、 半導体基板の電界効果トランジスタ形成領域に、第3の
半導体層と、該第3の半導体層よりも大きいバンドギャ
ップを有する第4の半導体層とを順次形成する第1の工
程と、 上記第4の半導体層の上方に導体膜を堆積した後、該導
体膜をパターニングしてゲート電極を形成する第2の工
程と、 上記ゲート電極の両側方に位置する上記電界効果型トラ
ンジスタ形成領域に少なくとも上記キャリア蓄積層に達
する深さまで不純物を導入して、ソース・ドレイン領域
を形成する第3の工程と、 上記ソース・ドレイン領域における上記第4の半導体層
を、少なくとも上記第3の半導体層が露出するまでエッ
チングにより除去する第4の工程と、 上記第3の半導体層の露出した面上に低抵抗の導体膜か
らなるソース・ドレインコンタクト層を形成する第5の
工程とを備え、 上記第1の工程の前に、上記第1及び第2の半導体層を
形成する工程をさらに備え、 上記第1の工程は、上記第1の半導体層の上方に第3の
半導体層を形成するように行う ことを特徴とする半導体
装置の製造方法。
7. A Si 1-xy Ge x C y layer (0 ≦ x ≦ 1,
0 ≦ y ≦ 1), a second semiconductor layer having a band gap different from that of the first semiconductor layer, and a first semiconductor layer near the interface between the first and second semiconductor layers. A method for manufacturing a semiconductor device having a carrier accumulation layer serving as a channel formed in a region and functioning as a field effect transistor, comprising: a third semiconductor layer in a field effect transistor formation region of a semiconductor substrate; A first step of sequentially forming a fourth semiconductor layer having a band gap larger than that of the third semiconductor layer, and a step of depositing a conductor film above the fourth semiconductor layer and patterning the conductor film. A second step of forming a gate electrode, and introducing an impurity into the field-effect transistor formation region located on both sides of the gate electrode at least to a depth reaching the carrier accumulation layer. A third step of forming an in-region, a fourth step of removing the fourth semiconductor layer in the source / drain region by etching until at least the third semiconductor layer is exposed, and a fifth step of forming the source and drain contact layers made of a conductor film of low resistance on the exposed surface of the semiconductor layer, prior to said first step, said first and second semiconductor layer
Forming the first semiconductor layer above the first semiconductor layer.
A method for manufacturing a semiconductor device, wherein the method is performed to form a semiconductor layer .
【請求項8】 請求項に記載の半導体装置の製造方法
において、 上記第4の工程は、上記第3の半導体層と上記第4の半
導体層とに対するエッチング選択比の高いエッチング条
件で行うことを特徴とする半導体装置の製造方法。
8. The method of manufacturing a semiconductor device according to claim 7 , wherein the fourth step is performed under etching conditions having a high etching selectivity to the third semiconductor layer and the fourth semiconductor layer. A method for manufacturing a semiconductor device, comprising:
JP2001344989A 1996-09-17 2001-11-09 Semiconductor device and manufacturing method thereof Expired - Fee Related JP3326427B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001344989A JP3326427B2 (en) 1996-09-17 2001-11-09 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP24439596 1996-09-17
JP8-244395 1996-09-17
JP26957896 1996-10-11
JP8-269578 1996-10-11
JP31455196 1996-11-26
JP8-314551 1996-11-26
JP2001344989A JP3326427B2 (en) 1996-09-17 2001-11-09 Semiconductor device and manufacturing method thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP24829897A Division JP3262747B2 (en) 1996-09-17 1997-09-12 Semiconductor device and manufacturing method thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2002161791A Division JP3843043B2 (en) 1996-09-17 2002-06-03 Semiconductor device

Publications (2)

Publication Number Publication Date
JP2002198533A JP2002198533A (en) 2002-07-12
JP3326427B2 true JP3326427B2 (en) 2002-09-24

Family

ID=27477957

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001344989A Expired - Fee Related JP3326427B2 (en) 1996-09-17 2001-11-09 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP3326427B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787864B2 (en) * 2002-09-30 2004-09-07 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
US7102205B2 (en) * 2004-09-01 2006-09-05 International Business Machines Corporation Bipolar transistor with extrinsic stress layer
JP4604637B2 (en) * 2004-10-07 2011-01-05 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
International Electron Devices Meeting.Technical Digest 1996,P261−264

Also Published As

Publication number Publication date
JP2002198533A (en) 2002-07-12

Similar Documents

Publication Publication Date Title
EP0829908B1 (en) Field-effect transistor and method of producing the same
KR100447492B1 (en) Semiconductor device and semiconductor substrate
US5998807A (en) Integrated CMOS circuit arrangement and method for the manufacture thereof
US7176530B1 (en) Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor
KR100642747B1 (en) Fabricating method of CMOS transistor and CMOS transistor fabricated by the same method
JP5678485B2 (en) Semiconductor device
KR20140143869A (en) Semiconductor device having strained channel layer and method of manufacturing the same
JP3262747B2 (en) Semiconductor device and manufacturing method thereof
KR100864631B1 (en) Transistor of semiconductor device and method for fabricating the same
JPH1012883A (en) Semiconductor device
KR100495543B1 (en) Semiconductor device and method of producing the same
JP3300339B1 (en) Semiconductor device
JP3326427B2 (en) Semiconductor device and manufacturing method thereof
JP3843043B2 (en) Semiconductor device
JPH0590517A (en) Semiconductor device and manufacture thereof
WO2011077605A1 (en) Semiconductor device and process for production thereof
US20160380001A1 (en) Selective oxidation for making relaxed silicon germanium on insulator structures
KR100760912B1 (en) Semiconductor Device and Method for Fabricating The Same
JPH05114708A (en) Semiconductor device
JPH10116919A (en) Field-effect transistor
KR20030015644A (en) Method of manufacturing a BICMOS device using Si-Ge
JP2023151403A (en) Field-effect transistor
JPH11177082A (en) Mis field-effect transistor and manufacture thereof
JP2005056937A (en) Semiconductor device and its manufacturing method
JPH04280682A (en) Semiconductor device and manufacture thereof

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees