US10461167B2 - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
US10461167B2
US10461167B2 US15/861,949 US201815861949A US10461167B2 US 10461167 B2 US10461167 B2 US 10461167B2 US 201815861949 A US201815861949 A US 201815861949A US 10461167 B2 US10461167 B2 US 10461167B2
Authority
US
United States
Prior art keywords
work function
metal layer
transistor
function metal
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US15/861,949
Other languages
English (en)
Other versions
US20180374926A1 (en
Inventor
Dongsoo Lee
Wonkeun Chung
Hoonjoo NA
Suyoung BAE
Jaeyeol Song
Jonghan LEE
HyungSuk Jung
Sangjin Hyun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAE, SUYOUNG, CHUNG, WONKEUN, HYUN, SANGJIN, JUNG, HYUNGSUK, LEE, DONGSOO, LEE, JONGHAN, NA, HOONJOO, SONG, JAEYEOL
Publication of US20180374926A1 publication Critical patent/US20180374926A1/en
Priority to US16/592,330 priority Critical patent/US11121131B2/en
Application granted granted Critical
Publication of US10461167B2 publication Critical patent/US10461167B2/en
Priority to US17/384,920 priority patent/US11742351B2/en
Priority to US18/353,214 priority patent/US12021080B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1251Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs comprising TFTs having a different architecture, e.g. top- and bottom gate TFTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Definitions

  • Inventive concepts relate to semiconductor, and more particularly, to a semiconductor device including a gate-all-around type transistor and a method of manufacturing the same.
  • a semiconductor device is considered to be an important factor in electronic industry because of small size, multi-functionality, and/or low fabrication cost.
  • a semiconductor device may be categorized as any one of a semiconductor memory device storing data and/or machine readable instructions, a semiconductor logic device processing operations of logic data, and a hybrid semiconductor device having both memory and logic elements.
  • Semiconductor devices have been increasingly desired, or alternatively required, for high integration with the advanced development of the electronic industry. For example, a semiconductor device has been increasingly requested for high reliability, high speed, and/or multi-functionality. Semiconductor devices have gradually complicated and integrated to meet these requested characteristics.
  • Some embodiments of inventive concepts provide a semiconductor device including gate-all-around type transistors with various threshold voltages.
  • Some embodiments of inventive concepts provide a method of manufacturing a semiconductor device including gate-all-around type transistors with various threshold voltages.
  • a semiconductor device may comprise a first transistor on a substrate, and a second transistor on the substrate.
  • Each of the first and second transistors includes a plurality of semiconductor patterns vertically stacked on the substrate and vertically spaced apart from each other, and a gate dielectric pattern and a work function pattern filling a space between the semiconductor patterns.
  • the work function pattern of the first transistor includes a first work function metal layer
  • the work function pattern of the second transistor includes the first work function metal layer and a second work function metal layer
  • the first work function metal layer of each of the first and second transistors has a work function greater than that of the second work function metal layer
  • the first transistor has a threshold voltage less than that of the second transistor.
  • a semiconductor device may comprise a first transistor on a substrate and a second transistor on the substrate.
  • Each of the first and second transistors includes a plurality of semiconductor patterns vertically stacked on the substrate and vertically spaced apart from each other, and a gate dielectric pattern and a work function pattern that fill a space between the semiconductor patterns.
  • the space of the first transistor has the same size as that of the space of the second transistor, the work function pattern of the first transistor comprises a first work function metal layer, the work function pattern of the second transistor comprises a first work function metal layer and a second work function metal layer, and the first transistor has a threshold voltage different from that of the second transistor.
  • a method of manufacturing a semiconductor device may comprise forming sacrificial layers and semiconductor layers alternately and repeatedly stacked on a substrate, forming sacrificial gate patterns crossing over the sacrificial layers and the semiconductor layers and extending in one direction, removing the sacrificial gate patterns to form a first trench and a second trench that expose the semiconductor layers and the sacrificial layers, selectively removing the sacrificial layers exposed to the first and second trenches, forming a first work function pattern that fills a first space between the semiconductor layers in the first trench, and forming a second work function pattern that fills a second space between the semiconductor layers in the second trench.
  • the first work function pattern comprises a first work function metal layer
  • the second work function pattern comprises a first work function metal layer and a second work function metal layer
  • the first space and the second space have the same size as each other
  • the first work function pattern and the second work function pattern have different work functions from each other.
  • FIG. 1 illustrates a plan view showing a semiconductor device according to some example embodiments of inventive concepts.
  • FIG. 2A illustrates a cross-sectional view taken along line A-A′ of FIG. 1 .
  • FIG. 2B illustrates a cross-sectional view taken along line B-B′ of FIG. 1 .
  • FIG. 2C illustrates a cross-sectional view taken along lines C-C′, D-D′, and E-E′ of FIG. 1 .
  • FIG. 2D illustrates a cross-sectional view taken along lines F-F′, G-G′, and H-H′ of FIG. 1 .
  • FIGS. 3, 5, 7, and 9 illustrate plan views showing a method of manufacturing a semiconductor device according to some example embodiments of inventive concepts.
  • FIGS. 4A, 6A, 8A, and 10A illustrate cross-sectional views taken along line A-A′ of FIGS. 3, 5, 7, and 9 , respectively.
  • FIGS. 4B, 6B, 8B, and 10B illustrate cross-sectional views taken along line B-B′ of FIGS. 3, 5, 7, and 9 , respectively.
  • FIG. 10C illustrates a cross-sectional view taken along line C-C′ of FIG. 9 .
  • FIG. 10D illustrates a cross-sectional view taken along line D-D′ of FIG. 9 .
  • FIGS. 11 to 14 illustrate cross-sectional views showing a method of forming first to third gate electrodes according to some example embodiments of inventive concepts.
  • FIG. 15 illustrates an enlarged cross-sectional view of section M of FIG. 2B , partially showing a sixth transistor of a semiconductor device according to some example embodiments of inventive concepts.
  • FIG. 1 illustrates a plan view showing a semiconductor device according to some example embodiments of inventive concepts.
  • FIG. 2A illustrates a cross-sectional view taken along line A-A′ of FIG. 1 .
  • FIG. 2B illustrates a cross-sectional view taken along line B-B′ of FIG. 1 .
  • FIG. 2C illustrates a cross-sectional view taken along lines C-C′, D-D′, and E-E′ of FIG. 1 .
  • FIG. 2D illustrates a cross-sectional view taken along lines F-F′, G-G′, and H-H′ of FIG. 1 .
  • a substrate 100 may be provided to include first to sixth regions RG 1 to RG 6 .
  • the substrate 100 may be or include a semiconductor substrate.
  • the substrate 100 may be a silicon substrate or a germanium substrate.
  • the substrate 100 may be or include a Silicon-On-Insulator (SOI) substrate.
  • First to sixth transistors TR 1 to TR 6 may be respectively provided on the first to sixth regions RG 1 to RG 6 of the substrate 100 .
  • At least some of the first to sixth regions RG 1 to RG 6 of the substrate 100 may be or include a memory cell region where a plurality of memory cells are formed to store data.
  • the memory cell region of the substrate 100 may be provided thereon with memory cell transistors included in a plurality of SRAM cells.
  • the first to sixth transistors TR 1 to TR 6 may be some of the memory cell transistors.
  • first to sixth regions RG 1 to RG 6 of the substrate 100 may be or include a logic cell region where logic transistors are to be included in a logic circuit of a semiconductor device.
  • logic transistors may be on the logic cell region of the substrate 100 .
  • the first to sixth transistors TR 1 to TR 6 may be some of the logic transistors. Inventive concepts, however, are not limited thereto.
  • the first to third transistors TR 1 to TR 3 on the first to third regions RG 1 to RG 3 may have conductive types different from those of the fourth to sixth transistors TR 4 to TR 6 on the fourth to sixth regions RG 4 to RG 6 .
  • the first to third transistors TR 1 to TR 3 on the first to third regions RG 1 to RG 3 may be PMOSFETs
  • the fourth to sixth transistors TR 4 to TR 6 on the fourth to sixth regions RG 4 to RG 6 may be NMOSFETs.
  • a device isolation layer ST may be provided on the substrate 100 .
  • the device isolation layer ST may define first and second upper patterns UP 1 and UP 2 on an upper portion of the substrate 100 .
  • the first upper pattern UP 1 may be on the first to third regions RG 1 to RG 3
  • the second upper pattern UP 2 may be on the fourth to sixth regions RG 4 to RG 6 .
  • the device isolation layer ST may fill trenches on opposite sides of each of the first and second upper patterns UP 1 and UP 2 .
  • the device isolation layer ST may have a top surface lower than those of the first and second upper patterns UP 1 and UP 2 .
  • a first active pattern AP 1 may be provided on the first upper pattern UP 1
  • a second active pattern AP 2 may be provided on the second upper pattern UP 2
  • the first active pattern AP 1 may vertically overlap the first upper pattern UP 1
  • the second active pattern AP 2 may vertically overlap the second upper pattern UP 2
  • the first and second active patterns AP 1 and AP 2 may have a linear shape extending in a second direction D 2 .
  • the first active pattern AP 1 may include first channel patterns CH 1 and first source/drain patterns SD 1 . Each of the first channel patterns CH 1 may be between a pair of the first source/drain patterns SD 1 .
  • the second active pattern AP 2 may include second channel patterns CH 2 and second source/drain patterns SD 2 . Each of the second channel patterns CH 2 may be between a pair of the second source/drain patterns SD 2 .
  • the first channel pattern CH 1 may include a plurality of first semiconductor patterns NS 1 that are vertically stacked.
  • the first semiconductor patterns NS 1 may be spaced apart from each other in a third direction D 3 perpendicular to a top surface of the substrate 100 .
  • the first semiconductor patterns NS 1 may vertically overlap each other.
  • Each of the first source/drain patterns SD 1 may be in contact, e.g. direct contact, with sidewalls of the first semiconductor patterns NS 1 .
  • the first semiconductor patterns NS 1 may connect a pair of neighboring first source/drain patterns SD 1 to each other.
  • the first channel pattern CH 1 may include three first semiconductor patterns NS 1 , but the number of the first semiconductor patterns NS 1 is not especially limited to three, and may be more, or less, than three.
  • the second channel pattern CH 2 may include a plurality of second semiconductor patterns NS 2 that are vertically stacked.
  • the second semiconductor patterns NS 2 may be spaced apart from each other in the third direction D 3 .
  • the second semiconductor patterns NS 2 may vertically overlap each other.
  • Each of the second source/drain patterns SD 2 may be in contact, e.g. direct contact, with sidewalls of the second semiconductor patterns NS 2 .
  • the second channel pattern CH 2 may include three second semiconductor patterns NS 2 , but the number of the second semiconductor patterns NS 2 is not especially limited to three, and may be more, or less, than three.
  • the first semiconductor patterns NS 1 at the same level may be formed from the same semiconductor layer.
  • the first semiconductor patterns NS 1 at the same level may have the same, or substantially the same, thickness as each other.
  • the first semiconductor patterns NS 1 at the same level may have the same, or substantially the same, semiconductor material as each other.
  • the second semiconductor patterns NS 2 at the same level may be formed from the same semiconductor layer.
  • the second semiconductor patterns NS 2 at the same level may have the same, or substantially the same, thickness as each other.
  • the second semiconductor patterns NS 2 at the same level may have the same, or substantially the same, semiconductor material as each other.
  • the first and second semiconductor patterns NS 1 and NS 2 may include one or more of silicon (Si), silicon germanium (SiGe), and germanium (Ge).
  • the first semiconductor patterns NS 1 at different levels may have the same thickness as each other or different thicknesses from each other.
  • the second semiconductor patterns NS 2 at different levels may have the same thickness as each other or different thicknesses from each other.
  • the first source/drain patterns SD 1 may be or include epitaxial patterns formed from the first semiconductor patterns NS 1 and the first upper pattern UP 1 serving as a seed layer.
  • the second source/drain patterns SD 2 may be or include epitaxial patterns formed from the second semiconductor patterns NS 2 and the second upper pattern UP 2 serving as a seed layer.
  • the first source/drain patterns SD 1 and the second source/drain patterns SD 2 may be formed with a chemical vapor deposition (CVD) process; however, inventive concepts are not limited thereto.
  • CVD chemical vapor deposition
  • the first source/drain patterns SD 1 may include a material that provides compressive strain to the first channel pattern CH 1 .
  • the first source/drain patterns SD 1 may include a semiconductor element (e.g., SiGe) whose lattice constant is greater than that of a semiconductor element of the substrate 100 .
  • the first source/drain patterns SD 1 may be doped with P-type conductive impurities, for example, boron (B).
  • the second source/drain patterns SD 2 may include a material that provides tensile strain to the second channel pattern CH 2 .
  • the second source/drain patterns SD 2 may include a semiconductor element whose lattice constant is less than that of the semiconductor element of the substrate 100 . Accordingly a mobility of carriers, e.g. electron mobility, may increase in a channel during operation of the transistor.
  • the second source/drain patterns SD 2 may include the same semiconductor element as that of the substrate 100 .
  • the second source/drain patterns SD 2 may be doped with N-type conductive impurities, for example, phosphorus (P) and/or arsenic (As).
  • First to sixth gate electrodes GE 1 to GE 6 may be provided to extend in a first direction D 1 , while running across the first and second channel patterns CH 1 and CH 2 .
  • the first to sixth gate electrodes GE 1 to GE 6 may respectively cross over the first to sixth regions RG 1 to RG 6 .
  • the first to sixth gate electrodes GE 1 to GE 6 may respectively include first to sixth work function patterns WF 1 to WF 6 .
  • the first to sixth gate electrodes GE 1 to GE 6 may respectively further include first to sixth electrode patterns EL 1 to EL 6 .
  • the first to sixth electrode patterns EL 1 to EL 6 may be respectively on the first to sixth work function patterns WF 1 to WF 6 .
  • the first to sixth electrode patterns EL 1 to EL 6 may each have resistance, e.g. a sheet resistance, less than that of each of the first to sixth work function patterns WF 1 to WF 6 .
  • the first to sixth electrode patterns EL 1 to EL 6 may include one or more low resistance or low resistivity metals such as aluminum (Al), tungsten (W), titanium (Ti), and tantalum (Ta).
  • the first to third work function patterns WF 1 to WF 3 of the first to third gate electrodes GE 1 to GE 3 may respectively fill first to third spaces SP 1 to SP 3 each between the first semiconductor patterns NS 1 .
  • the first to third spaces SP 1 to SP 3 may be positioned between the first semiconductor patterns NS 1 on the first to third regions RG 1 to RG 3 , respectively.
  • the fourth to sixth work function patterns WF 4 to WF 6 of the fourth to sixth gate electrodes GE 4 to GE 6 may respectively fill fourth to sixth spaces SP 4 to SP 6 each between the second semiconductor patterns NS 2 .
  • the fourth to sixth spaces SP 4 to SP 6 may be positioned between the second semiconductor patterns NS 2 on the fourth to sixth regions RG 4 to RG 6 , respectively.
  • the first to sixth spaces SP 1 to SP 6 at the same level may have the same, or substantially the same, size as each other.
  • the first to sixth spaces SP 1 to SP 6 at the same level may have the same length in the third direction D 3 .
  • the first to sixth work function patterns WF 1 to WF 6 of the first to sixth gate electrodes GE 1 to GE 6 may surround the first and second semiconductor patterns NS 1 and NS 2 (see FIG. 2C ).
  • the first to sixth work function patterns WF 1 to WF 6 may surround top surfaces, bottom surfaces, and sidewalls of the first and second semiconductor patterns NS 1 and NS 2 .
  • each of the first to sixth transistors TR 1 to TR 6 may be a gate-all-around field effect transistor.
  • the first to third electrode patterns EL 1 to EL 3 of the first to third gate electrodes GE 1 to GE 3 may not respectively fill the first to third spaces SP 1 to SP 3 .
  • the first to third electrode patterns EL 1 to EL 3 may be spaced apart from the first semiconductor patterns NS 1 across the first to third work function patterns WF 1 to WF 3 .
  • the fourth to sixth electrode patterns EL 4 to EL 6 of the fourth to sixth gate electrodes GE 4 to GE 6 may not respectively fill the fourth to sixth spaces SP 4 to SP 6 .
  • the fourth to sixth electrode patterns EL 4 to EL 6 may be spaced apart from the second semiconductor patterns NS 2 across the fourth to sixth work function patterns WF 4 to WF 6 .
  • a pair of gate spacers GS may be on opposite sidewalls of each of the first to sixth gate electrodes GE 1 to GE 6 .
  • the gate spacers GS may extend in the first direction D 1 along the first to sixth gate electrodes GE 1 to GE 6 .
  • the gate spacers GS may have top surfaces higher than those of the first to sixth gate electrodes GE 1 to GE 6 .
  • the first to sixth work function patterns WF 1 to WF 6 on the first and second channel patterns CH 1 and CH 2 may extend in the third direction D 3 along inner walls of the gate spacers GS.
  • the gate spacers GS may include one or more of SiCN, SiCON, and SiN.
  • the gate spacers GS may include a multi-layer consisting of two or more of SiCN, SiCON, and SiN.
  • Gate capping layers CP may be on the first to sixth gate electrodes GE 1 to GE 6 .
  • the gate capping layers CP may extend in the first direction D 1 along the first to sixth gate electrodes GE 1 to GE 6 .
  • the gate capping layers CP may have top surfaces coplanar with those of the gate spacers GS.
  • the gate capping layers CP may include a material having an etch selectivity to a first interlayer dielectric layer 110 , which will be discussed below.
  • the gate capping layers CP may include one or more of SiON, SiCN, SiCON, and SiN.
  • Barrier insulation patterns BP may be between the first and second source/drain patterns SD 1 and SD 2 and the first to sixth gate electrodes GE 1 to GE 6 .
  • the barrier insulation patterns BP may be either between the first semiconductor patterns NS 1 vertically spaced apart from each other or between the second semiconductor patterns NS 2 vertically spaced apart from each other.
  • the barrier insulation patterns BP may electrically insulate the first to sixth gate electrodes GE 1 to GE 6 from the first and second source/drain patterns SD 1 and SD 2 .
  • the first to third spaces SP 1 to SP 3 may be defined by a pair of barrier insulation patterns BP horizontally adjacent to each other and a pair of the first semiconductor patterns NS 1 vertically adjacent to each other, and the fourth to sixth spaces SP 4 to SP 6 may be defined by a pair of the barrier insulation patterns BP horizontally adjacent to each other and a pair of the second semiconductor patterns NS 2 vertically adjacent to each other.
  • the barrier insulation patterns BP may include, for example, a silicon nitride layer.
  • An interface layer IL may be provided to surround each of the first and second semiconductor patterns NS 1 and NS 2 .
  • the interface layers IL may cover, e.g. directly cover, the first and second semiconductor patterns NS 1 and NS 2 .
  • the interface layers IL may include, for example, a silicon oxide layer.
  • Gate dielectric layers GI may be between the first and second semiconductor patterns NS 1 and NS 2 and the first to sixth gate electrodes GE 1 to GE 6 . Each of the gate dielectric layers GI may conformally fill a portion of a corresponding one of the first to sixth spaces SP 1 to SP 6 .
  • the gate dielectric layers GI may include a high-k dielectric material whose dielectric constant is greater than that of a silicon oxide layer.
  • the high-k dielectric material may include one or more of hafnium oxide, hafnium silicon oxide, lanthanum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, lithium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.
  • the second transistor TR 2 may have a threshold voltage greater than, e.g. greater in absolute value than, that of the first transistor TR 1
  • the third transistor TR 3 may have a threshold voltage greater than, e.g. greater in absolute value than, that of the second transistor TR 2 .
  • the first work function pattern WF 1 of the first transistor TR 1 may include a first work function metal layer W 1 .
  • the second work function pattern WF 2 of the second transistor TR 2 may include a same, or substantially, same first work function metal layer W 1 .
  • the material included in the first work function pattern WF 1 may be the same, or substantially the same, as that included in the second work function pattern WF 2 .
  • the first work function metal layer W 1 may be or may include a metal nitride layer having a relatively high work function.
  • the first work function metal layer W 1 may be a titanium nitride (TiN) layer or a titanium oxynitride (TiON) layer.
  • a work function control liner CL may be between the second work function pattern WF 2 and the first semiconductor patterns NS 1 .
  • the work function control liner CL may generate a dipole, and thus a threshold voltage of the second transistor TR may be increased due to variation in energy band caused by the dipole.
  • the work function control liner CL may include a high-k dielectric material whose dielectric constant is greater than that of a silicon oxide layer.
  • the work function control liner CL may include metal oxide such as lanthanum oxide or aluminum oxide.
  • the work function control liner CL may cause the second transistor TR 2 to have a threshold voltage greater than, e.g. greater in absolute value than, that of the first transistor TR 1 .
  • the third work function pattern WF 3 of the third transistor TR 3 may include a first work function metal layer W 1 , a second work function metal layer W 2 , and other first work function metal layer W 1 sequentially stacked on the first semiconductor pattern NS 1 .
  • the second work function metal layer W 2 may have a thickness greater than that of each of the first work function metal layers W 1 .
  • the second work function metal layer W 2 may be a metal nitride layer whose work function is less than that of the first work function metal layer W 1 .
  • the second work function metal layer W 2 may be a silicon-doped titanium nitride (TiSiN) layer, a silicon-doped tantalum nitride (TaSiN) layer, an aluminum-doped titanium nitride (TiAlN) layer, or an aluminum-doped tantalum nitride (TaAlN) layer.
  • TiSiN silicon-doped titanium nitride
  • TaSiN silicon-doped tantalum nitride
  • TiAlN aluminum-doped titanium nitride
  • TaAlN aluminum-doped tantalum nitride
  • the work function of the second work function metal layer W 2 may be altered by controlling a concentration of dopants such as silicon and/or aluminum.
  • the third work function pattern WF 3 may be controlled in work function by adjustment of an impurity concentration in the second work function metal layer W 2 .
  • the second work function metal layer W 2 may have an impurity concentration (e.g., a silicon and/or aluminum concentration) in a range from about 10 at % to about 30 at %.
  • the first to third spaces SP 1 to SP 3 filled with the first to third work function patterns WF 1 to WF 3 may have the same, or substantially the same, size as each other. Accordingly, the first to third work function patterns WF 1 to WF 3 filled between the first semiconductor patterns NS 1 may have the same, or substantially the same, thickness (e.g., a length in the third direction D 3 of the first to third work function patterns WF 1 to WF 3 ). In some embodiments, the work function control liner CL may be employed to cause the first to third work function patterns WF 1 to WF 3 to have different work functions from each other.
  • a combination of a plurality of work function metal layers may be employed to cause the first to third work function patterns WF 1 to WF 3 to have different work functions from each other.
  • the second work function metal layer W 2 may be controlled in doping concentration to cause the first to third work function patterns WF 1 to WF 3 to have different work functions from each other.
  • the fifth transistor TR 5 may have a threshold voltage greater than that of the fourth transistor TR 4
  • the sixth transistor TR 6 may have a threshold voltage greater than that of the fifth transistor TR 5 .
  • the fourth work function pattern WF 4 of the fourth transistor TR 4 may include a first work function metal layer W 1 and a third work function metal layer W 3 stacked, e.g. sequentially stacked, on the second semiconductor pattern NS 2 .
  • the fifth work function pattern WF 5 of the fifth transistor TR 5 may include a first work function metal layer W 1 and a third work function metal layer W 3 sequentially stacked on the second semiconductor pattern NS 2 .
  • the material included in the fourth work function pattern WF 4 may be the same, or substantially the same, as that included in the fifth work function pattern WF 5 .
  • the third work function metal layer W 3 may be or include a work function metal layer used in an NMOSFET, and may be or include a metal layer having a relatively low work function.
  • the third work function metal layer W 3 may be or include a TiAlC layer.
  • a work function control liner CL may be between the fourth work function pattern WF 4 and the second semiconductor patterns NS 2 .
  • the work function control liner CL of the fourth transistor TR 4 may be the same, or substantially the same, as the work function control liner CL of the second transistor TR discussed above.
  • the work function control liner CL of the fourth transistor TR may generate a dipole, and in contrast to the PMOSFET, the fourth transistor TR 4 as the NMOSFET may decrease in threshold voltage.
  • the work function control liner CL may cause the fifth transistor TR 5 to have a threshold voltage greater than that of the fourth transistor TR 4 .
  • the sixth work function pattern WF 6 of the sixth transistor TR 6 may include a first work function metal layer W 1 , a second work function metal layer W 2 , other first work function metal layer W 1 , and a third work function metal layer W 3 sequentially stacked on the second semiconductor pattern NS 2 .
  • the second work function metal layer W 2 of the sixth work function pattern WF 6 may have a thickness less than that of the second work function metal layer W 2 of the third work function pattern WF 3 .
  • a combination of work function metal layers W 1 , W 2 , W 1 , and W 3 may be employed to cause the sixth work function pattern WF 6 to have a work function different from those of the fourth and fifth work function patterns WF 4 and WF 5 .
  • the sixth transistor TR 6 may have a threshold voltage greater than that of the fifth transistor TR 5 .
  • a work function control liner CL may be between the sixth work function pattern WF 6 and the second semiconductor patterns NS 2 .
  • the work function control liner CL may prevent the sixth transistor TR 6 from excessively increasing its threshold voltage.
  • a first interlayer dielectric layer 110 may be provided on an entire surface of the substrate 100 .
  • the first interlayer dielectric layer 110 may cover, e.g. directly cover, the device isolation layer ST, the first to sixth gate electrodes GE 1 to GE 6 , and the first and second source/drain patterns SD 1 and SD 2 .
  • the first interlayer dielectric layer 110 may have a top surface coplanar, or substantially coplanar, with those of the gate capping layers GP.
  • the first interlayer dielectric layer 110 may include a silicon oxide layer or a silicon oxynitride layer.
  • contacts may be provided to penetrate the first interlayer dielectric layer 110 to come into contact with the first and second source/drain patterns SD 1 and SD 2 .
  • the contacts may include a metallic material such as T 1 , W, and Ta.
  • FIGS. 3, 5, 7, and 9 illustrate plan views showing a method of manufacturing a semiconductor device according to some example embodiments of inventive concepts.
  • FIGS. 4A, 6A, 8A, and 10A illustrate cross-sectional views taken along line A-A′ of FIGS. 3, 5, 7 , and 9 , respectively.
  • FIGS. 4B, 6B, 8B, and 10B illustrate cross-sectional views taken along line B-B′ of FIGS. 3, 5, 7, and 9 , respectively.
  • FIG. 10C illustrates a cross-sectional view taken along line C-C′ of FIG. 9 .
  • FIG. 10D illustrates a cross-sectional view taken along line D-D′ of FIG. 9 .
  • FIGS. 11 to 14 illustrate cross-sectional views showing a method of forming first to third gate electrodes according to some example embodiments of inventive concepts.
  • sacrificial layers 120 and semiconductor layers 130 may be alternately and repeatedly stacked on an entire surface of a substrate 100 .
  • the semiconductor layers 130 may be repeatedly stacked three times, but inventive concepts are not limited thereto.
  • the sacrificial layers 120 may include a material having an etch selectivity to the semiconductor layers 130 .
  • the semiconductor layers 130 may include a material that is not etched in a process where the sacrificial layers 120 are etched.
  • an etch rate ratio of the sacrificial layers 120 to the semiconductor layers 130 may fall within a range from about 10:1 to about 200:1.
  • the sacrificial layers 120 may include SiGe or Ge, and the semiconductor layers 130 may include Si.
  • the sacrificial layers 120 and the semiconductor layers 130 may be formed by an epitaxial growth process in which the substrate 100 is used as a seed layer.
  • the sacrificial layers 120 and the semiconductor layers 130 may be successively formed in the same chamber, for example, the same CVD chamber.
  • the sacrificial layers 120 and the semiconductor layers 130 may be conformally formed on the entire surface of the substrate 100 .
  • the sacrificial layers 120 , the semiconductor layers 130 , and the substrate 100 may be patterned to form a first preliminary active pattern PAP 1 and a second preliminary active pattern PAP 2 .
  • the patterning process may also etch an upper portion of the substrate 100 to form first and second upper patterns UP 1 and UP 2 .
  • the first and second preliminary active patterns PAP 1 and PAP 2 may be respectively on the first and second upper patterns UP 1 and UP 2 .
  • the first and second preliminary active patterns PAP 1 and PAP 2 may have a linear or bar shape extending in a second direction D 2 .
  • trenches may be formed on opposite sides of each of the first and second upper patterns UP 1 and UP 2 .
  • a device isolation layer ST may be formed to fill the trenches.
  • the formation of the device isolation layer ST may include forming an insulation layer on the entire surface of the substrate 100 and recessing the insulation layer until the first and second preliminary active patterns PAP 1 and PAP 2 are completely exposed. Accordingly, the device isolation layer ST may have a top surface lower than those of the first and second upper patterns UP 1 and UP 2 .
  • sacrificial gate patterns 140 may be formed to run across the first and second preliminary active patterns PAP 1 and PAP 2 .
  • the sacrificial gate patterns 140 may be formed to have a linear or bar shape extending in a first direction D 1 .
  • Gate mask patterns MP may correspondingly be formed on the sacrificial gate patterns 140 .
  • the formation of the sacrificial gate patterns 140 and the gate mask patterns MP may include sequentially forming on the substrate 100 a sacrificial gate layer and a gate mask layer, and sequentially patterning the gate mask layer and the sacrificial gate layer.
  • the sacrificial gate layer may include polysilicon.
  • the gate mask layer may include a silicon nitride layer and/or a silicon oxynitride layer.
  • a pair of gate spacers GS may be formed on opposite sidewalls of each of the sacrificial gate patterns 140 .
  • the gate spacers GS may include one or more of SiCN, SiCON, and SiN.
  • the formation of the gate spacers GS may include forming a spacer layer by a deposition process such as CVD or atomic layer deposition (ALD) and performing an anisotropic etching process on the spacer layer.
  • the first and second preliminary active patterns PAP 1 and PAP 2 may be patterned to respectively form first and second channel patterns CH 1 and CH 2 .
  • the first and second preliminary active patterns PAP 1 and PAP 2 may be patterned using the gate mask patterns MP and the gate spacers GS as an etching mask.
  • the first and second upper patterns UP 1 and UP 2 may therefore be partially exposed through the gate mask patterns MP and the gate spacers GS.
  • the sacrificial layers 120 of the first and second preliminary active patterns PAP 1 and PAP 2 may be patterned to form sacrificial patterns 125 .
  • the semiconductor layers 130 of the first and second preliminary active patterns PAP 1 and PAP 2 may be patterned to form first and second semiconductor patterns NS 1 and NS 2 .
  • the first semiconductor patterns NS 1 may be included in the first channel pattern CH 1
  • the second semiconductor patterns NS 2 may be included in the second channel pattern CH 2 .
  • exposed portions of the sacrificial patterns 125 may be horizontally removed to form depression regions DR.
  • the formation of the depression regions DR may include performing an etching process using an etch source that exhibits an etch selectivity to the sacrificial patterns 125 .
  • the etching process may include a wet etching process.
  • the formation of the depression regions DR may include performing an etching process with an etchant including peracetic acid.
  • Barrier insulation patterns BP may be formed to fill the depression regions DR.
  • the barrier insulation patterns BP may be vertically spaced apart from each other across the first and second semiconductor patterns NS 1 and NS 2 .
  • a barrier insulation layer may be conformally formed on the entire surface of the substrate 100 .
  • the barrier insulation layer may fill the depression regions DR. After that, the barrier insulation layer may be etched until the barrier insulation patterns BP remain locally in the depression regions DR.
  • First source/drain patterns SD 1 may be formed on opposite sides of each of the first channel patterns CH 1 .
  • Second source/drain patterns SD 2 may be formed on opposite sides of each of the second channel patterns CH 2 .
  • a selective epitaxial process may be performed using the first semiconductor patterns NS 1 and the first upper pattern UP 1 as a seed layer, thereby forming the first source/drain patterns SD 1 .
  • the first channel patterns CH 1 and the first source/drain patterns SD 1 may be connected to each other to be included in a first active pattern AP 1 extending in the second direction D 2 .
  • the first source/drain patterns SD 1 may be formed of a material that provides compressive strain to the first channel patterns CH 1 .
  • the first source/drain patterns SD 1 may include SiGe whose lattice constant is greater than that of Si. Accordingly a mobility of carriers, e.g. hole mobility, may increase in a channel during operation of the transistor.
  • the first source/drain patterns SD 1 may be doped with P-type impurities, for example, boron (B).
  • a selective epitaxial process may be performed using the second semiconductor patterns NS 2 and the second upper pattern UP 2 as a seed layer, thereby forming the second source/drain patterns SD 2 .
  • the second channel patterns CH 2 and the second source/drain patterns SD 2 may be connected to each other to be included in a second active pattern AP 2 extending in the second direction D 2 .
  • the second source/drain patterns SD 2 may be formed of Si.
  • the second source/drain patterns SD 2 may be doped with N-type impurities, for example, phosphorus (P) and/or arsenic (As).
  • a first interlayer dielectric layer 110 may be formed on the entire surface of the substrate 100 . Thereafter, a planarization process may be performed on the first interlayer dielectric layer 110 until top surfaces of the sacrificial gate patterns 140 are exposed.
  • the planarization process may include an etch-back process and/or a chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • the gate mask patterns MP may also be removed.
  • the first interlayer dielectric layer 110 may be formed of a silicon oxide layer or a silicon oxynitride layer.
  • the planarization process may selectively remove the exposed sacrificial gate patterns 140 .
  • first to sixth trenches TC 1 to TC 6 may be respectively formed on first to sixth regions RG 1 to RG 6 of the substrate 100 .
  • the first to sixth trenches TC 1 to TC 6 may expose the first and second channel patterns CH 1 and CH 2 .
  • the first to sixth trenches TC 1 to TC 6 may also expose the sacrificial patterns 125 .
  • the exposed sacrificial patterns 125 may be selectively removed, for example, with a wet etching process.
  • the selective etching process may be performed using an etchant including peracetic acid.
  • the etchant may further include a hydrofluoric acid (HF) solution and a deionized water.
  • the first and second source/drain patterns SD 1 and SD 2 may be covered with the barrier insulation patterns BP and the first interlayer dielectric layer 110 , and may thereby be protected from the etching process.
  • the sacrificial patterns 125 may be removed to form first to sixth spaces SP 1 to SP 6 on the first to sixth regions RG 1 to RG 6 .
  • the first to sixth spaces SP 1 to SP 6 may be respectively spatially connected to the first to sixth trenches TC 1 to TC 6 to expose the first and second semiconductor patterns NS 1 and NS 2 .
  • first to sixth gate electrodes GE 1 to GE 6 may be respectively formed in the first to sixth trenches TC 1 to TC 6 .
  • the formation of the first to sixth gate electrodes GE 1 to GE 6 will be discussed below with reference to FIGS. 11 to 14 .
  • Gate capping layers CP may be formed on the first to sixth gate electrodes GE 1 to GE 6 .
  • the gate capping layers CP may include one or more of SiON, SiCN, SiCON, and SiN.
  • contacts may be formed to penetrate the first interlayer dielectric layer 110 to come into contact with the first and second source/drain patterns SD 1 and SD 2 .
  • first to sixth gate electrodes GE 1 to GE 6 in the first to sixth trenches TC 1 to TC 6 will be discussed below with reference to FIGS. 11 to 14 .
  • the formation of the first to third gate electrodes GE 1 to GE 3 will be representatively described below.
  • an oxidation process using plasma may be performed on the first semiconductor patterns NS 1 exposed to the first to third trenches TC 1 to TC 3 , and therefore interface layers IL may be grown from the exposed first semiconductor patterns NS 1 .
  • the interface layers IL may surround, e.g. directly surround, surfaces of the exposed first semiconductor patterns NS 1 .
  • the formation of the interface layer IL may include a thermal oxidation process and/or a chemical oxidation process.
  • the oxidation process may use one or more of oxygen plasma, ozone plasma, and vapor plasma.
  • the interface layers IL may include, for example, a silicon oxide layer.
  • Gate dielectric layers GI may be conformally formed on the interface layers IL.
  • the gate dielectric layers GI may partially fill the first to third spaces SP 1 to SP 3 of the first to third trenches TC 1 to TC 3 .
  • the gate dielectric layers GI may directly cover the barrier insulation patterns BP and the interface layers IL.
  • the gate dielectric layers GI may be formed using a high-k dielectric material whose dielectric constant is greater than that of a silicon oxide layer.
  • a first mask pattern MA 1 may be formed on the first and second regions RG 1 and RG 2 .
  • the first mask pattern MA 1 may selectively expose the third region RG 3 .
  • the first mask pattern MA 1 may fill, e.g. completely fill, the first and second trenches TC 1 and TC 2 .
  • the first mask pattern MA 1 may not fill the third trench TC 3 .
  • a third work function pattern WF 3 may be formed in the third trench TC 3 selectively exposed through the first mask pattern MA 1 .
  • the third work function pattern WF 3 may be formed to completely fill the third space SP 3 of the third trench TC 3 .
  • the formation of the third work function pattern WF 3 may include conformally forming a first work function metal layer W 1 in the third trench TC 3 , conformally forming a second work function metal layer W 2 in the third trench TC 3 , conformally forming other first work function metal layer W 1 in the third trench TC 3 , and recessing upper portions of the first and second work function metal layers W 1 and W 2 .
  • the first and second work function metal layers W 1 and W 2 may be formed by a deposition process such as ALD.
  • the first work function metal layer W 1 may be or include a metal nitride layer having a relatively higher work function
  • the second work function metal layer W 2 may be or include a metal nitride layer having a relatively lower work function that that of the first work function metal layer W 1 .
  • the first mask pattern MA 1 may be removed.
  • a second mask pattern MA 2 may be formed on the first and third regions RG 1 and RG 3 .
  • the second mask pattern MA 2 may selectively expose the second region RG 2 .
  • the second mask pattern MA 2 may fill, e.g. completely fill, the first and third trenches TC 1 and TC 3 .
  • the second mask pattern MA 2 may not fill the second trench TC 2 .
  • a work function control liner CL and a second work function pattern WF 2 may be sequentially formed in the second trench TC 2 selectively exposed through the second mask pattern MA 2 .
  • the second work function pattern WF 2 may be formed to fill, e.g. completely fill, the second space SP 2 of the second trench TC 2 .
  • the work function control liner CL may be conformally formed by a deposition process such as ALD.
  • the work function control liner CL may be formed using a high-k dielectric material whose dielectric constant is greater than that of a silicon oxide layer.
  • the formation of the second work function pattern WF 2 may include conformally forming a first work function metal layer W 1 in the second trench TC 2 and recessing an upper portion of the first work function metal layer W 1 .
  • the second mask pattern MA 2 may be removed.
  • a third mask pattern MA 3 may be formed on the second and third regions RG 2 and RG 3 .
  • the third mask pattern MA 3 may selectively expose the first region RG 1 .
  • the third mask pattern MA 3 may fill, e.g. completely fill, the second and third trenches TC 2 and TC 3 .
  • the third mask pattern MA 3 may not fill the first trench TC 1 .
  • a first work function pattern WF 1 may be formed in the first trench TC 1 selectively exposed through the third mask pattern MA 3 .
  • the first work function pattern WF 1 may be formed to completely fill the first space SP 1 of the first trench TC 1 .
  • the formation of the first work function pattern WF 1 may include conformally forming a first work function metal layer W 1 in the first trench TC 1 and recessing an upper portion of the first work function metal layer W 1 .
  • First to third electrode patterns EL 1 to EL 3 may be respectively formed in the first to third trenches TC 1 to TC 3 .
  • the first to third electrode patterns EL 1 to EL 3 may be respectively formed on the first to third work function patterns WF 1 to WF 3 .
  • the first to third electrode patterns EL 1 to EL 3 may be formed using a low resistance, or low resistivity, metal.
  • Fourth to sixth gate electrodes GE 4 to GE 6 may be formed using formation methods similar to those of the first to third gate electrodes GE 1 to GE 3 discussed above with reference to FIGS. 11 to 14 .
  • gate-all-around type transistors having different threshold voltages from each other may be selectively formed on the first to sixth regions RG 1 to RG 6 .
  • FIG. 15 illustrates an enlarged cross-sectional view of section M of FIG. 2B , partially showing a sixth transistor of a semiconductor device according to some example embodiments of inventive concepts.
  • FIGS. 1 and 2A to 2D a detailed description of technical features repetitive to those formerly discussed with reference to FIGS. 1 and 2A to 2D will be omitted and differences will be discussed in detail.
  • the sixth gate electrode GE 6 may include layers whose thicknesses are different from each other.
  • the first work function metal layer W 1 of the sixth work function pattern WF 6 may have a thickness greater than that of the second work function metal layer W 2 of the sixth work function pattern WF 6 .
  • at least one of the first work function metal layers W 1 may have a first thickness T 1
  • the second work function metal layer W 2 may have a second thickness T 2 .
  • the first thickness T 1 may be greater than the second thickness T 2 .
  • the third work function metal layer W 3 of the sixth work function pattern WF 6 may have a thickness greater than that of each of the first and second work function metal layers W 1 and W 2 of the sixth work function pattern WF 6 .
  • the third work function metal layer W 3 may have a third thickness T 3 .
  • the third thickness T 3 may be greater than the first thickness T 1 .
  • a semiconductor device may be configured such that the gate-all-around type transistors are achieved to have various threshold voltages caused by a combination of work function metal layers.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
US15/861,949 2017-06-23 2018-01-04 Semiconductor device and method of manufacturing the same Active US10461167B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US16/592,330 US11121131B2 (en) 2017-06-23 2019-10-03 Semiconductor device and method of manufacturing the same
US17/384,920 US11742351B2 (en) 2017-06-23 2021-07-26 Semiconductor device and method of manufacturing the same
US18/353,214 US12021080B2 (en) 2017-06-23 2023-07-17 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170079888A KR102293127B1 (ko) 2017-06-23 2017-06-23 반도체 소자 및 이의 제조 방법
KR10-2017-0079888 2017-06-23

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/592,330 Continuation-In-Part US11121131B2 (en) 2017-06-23 2019-10-03 Semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
US20180374926A1 US20180374926A1 (en) 2018-12-27
US10461167B2 true US10461167B2 (en) 2019-10-29

Family

ID=64693603

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/861,949 Active US10461167B2 (en) 2017-06-23 2018-01-04 Semiconductor device and method of manufacturing the same

Country Status (4)

Country Link
US (1) US10461167B2 (zh)
KR (1) KR102293127B1 (zh)
CN (1) CN109119420B (zh)
SG (1) SG10201802450PA (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210126018A1 (en) * 2019-10-24 2021-04-29 International Business Machines Corporation Gate stack quality for gate-all-around field-effect transistors
US20210193828A1 (en) * 2019-12-18 2021-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structures of semiconductor devices
US11335680B2 (en) 2019-11-15 2022-05-17 Samsung Electronics Co., Ltd. Integrated circuits and method of manufacturing the same
US11380686B2 (en) 2020-06-19 2022-07-05 Samsung Electronics Co., Ltd. Semiconductor devices including work function layers
US11444198B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures
US11688786B2 (en) 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11948981B2 (en) 2021-07-15 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Seam-filling of metal gates with Si-containing layers

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102303302B1 (ko) * 2017-04-28 2021-09-16 삼성전자주식회사 반도체 장치 제조 방법
DE102018108152A1 (de) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiterbauelement und herstellungsverfahren davon
KR102341721B1 (ko) 2017-09-08 2021-12-23 삼성전자주식회사 반도체 소자
US11043567B2 (en) 2017-11-30 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20200142158A (ko) 2019-06-11 2020-12-22 삼성전자주식회사 반도체 장치
US20210082766A1 (en) * 2019-09-13 2021-03-18 Hitachi High-Tech Corporation Manufacturing method of semiconductor device and plasma processing apparatus
US11031292B2 (en) * 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
KR20210129284A (ko) * 2020-04-16 2021-10-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11417766B2 (en) * 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US11295989B2 (en) 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US12015066B2 (en) * 2020-06-17 2024-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Triple layer high-k gate dielectric stack for workfunction engineering
US11538805B2 (en) 2020-06-29 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of tuning threshold voltages of transistors
KR20220023426A (ko) * 2020-08-21 2022-03-02 삼성전자주식회사 반도체 장치
KR20220091655A (ko) * 2020-12-23 2022-07-01 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US11688797B2 (en) * 2021-01-04 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and forming method thereof
US11605720B2 (en) * 2021-02-26 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate cap
US11810948B2 (en) 2021-03-10 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861712B2 (en) 2003-01-15 2005-03-01 Sharp Laboratories Of America, Inc. MOSFET threshold voltage tuning with metal gate stack control
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US20150380539A1 (en) 2014-06-27 2015-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Metal Gate of Gate-All-Around Transistor
US20160064378A1 (en) 2014-09-02 2016-03-03 Kee Sang KWON Semiconductor devices and methods of fabricating the same
US9287273B2 (en) 2014-06-06 2016-03-15 Imec Vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
US9362284B2 (en) 2014-06-26 2016-06-07 Globalfoundries Inc. Threshold voltage control for mixed-type non-planar semiconductor devices
US9406679B2 (en) 2012-08-24 2016-08-02 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
US20160225868A1 (en) 2015-02-03 2016-08-04 Wan-Don Kim Semiconductor devices having work function metal films and tuning materials
US9461132B2 (en) 2014-03-12 2016-10-04 Samsung Electronics Co., Ltd. Semiconductor device having mid-gap work function metal gate electrode
US20170033020A1 (en) 2015-02-26 2017-02-02 Qualcomm Incorporated Adjacent device isolation
US9653289B1 (en) * 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
US20170170331A1 (en) 2015-12-09 2017-06-15 Samsung Electronics Co., Ltd. Semiconductor device
US20180226490A1 (en) * 2015-09-25 2018-08-09 Intel Corporation Fabrication of multi-channel nanowire devices with self-aligned internal spacers and soi finfets using selective silicon nitride capping

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100037975A (ko) * 2008-10-02 2010-04-12 주식회사 하이닉스반도체 중간갭 일함수 게이트전극을 구비한 반도체장치 및 그 제조방법
KR101649967B1 (ko) * 2010-05-04 2016-08-23 삼성전자주식회사 이-퓨즈 구조체를 포함하는 반도체 소자 및 그 제조 방법
US9123567B2 (en) * 2011-12-19 2015-09-01 Intel Corporation CMOS implementation of germanium and III-V nanowires and nanoribbons in gate-all-around architecture
DE112011105995B4 (de) * 2011-12-23 2020-08-06 Intel Corporation Herstellungsverfahren für eine nicht-planare Rundum-Gate-Schaltung
US8669167B1 (en) * 2012-08-28 2014-03-11 International Business Machines Corporation Techniques for metal gate workfunction engineering to enable multiple threshold voltage FINFET devices
KR20140034347A (ko) * 2012-08-31 2014-03-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN104766823A (zh) * 2014-01-07 2015-07-08 中国科学院微电子研究所 半导体器件制造方法
CN104821296B (zh) * 2014-01-30 2017-11-28 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102212267B1 (ko) * 2014-03-19 2021-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102083632B1 (ko) * 2014-04-25 2020-03-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9443978B2 (en) 2014-07-14 2016-09-13 Samsung Electronics Co., Ltd. Semiconductor device having gate-all-around transistor and method of manufacturing the same
KR102354460B1 (ko) * 2015-02-12 2022-01-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN106033745B (zh) * 2015-03-19 2020-07-07 联华电子股份有限公司 半导体元件及其形成方法
US9356027B1 (en) * 2015-05-11 2016-05-31 International Business Machines Corporation Dual work function integration for stacked FinFET
KR20160148795A (ko) * 2015-06-16 2016-12-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN106409830B (zh) * 2015-07-27 2020-05-05 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
KR102474431B1 (ko) * 2015-12-08 2022-12-06 삼성전자주식회사 반도체 소자의 제조방법

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861712B2 (en) 2003-01-15 2005-03-01 Sharp Laboratories Of America, Inc. MOSFET threshold voltage tuning with metal gate stack control
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406679B2 (en) 2012-08-24 2016-08-02 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
US9461132B2 (en) 2014-03-12 2016-10-04 Samsung Electronics Co., Ltd. Semiconductor device having mid-gap work function metal gate electrode
US9287273B2 (en) 2014-06-06 2016-03-15 Imec Vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
US9362284B2 (en) 2014-06-26 2016-06-07 Globalfoundries Inc. Threshold voltage control for mixed-type non-planar semiconductor devices
US20150380539A1 (en) 2014-06-27 2015-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Metal Gate of Gate-All-Around Transistor
US20160064378A1 (en) 2014-09-02 2016-03-03 Kee Sang KWON Semiconductor devices and methods of fabricating the same
US20160225868A1 (en) 2015-02-03 2016-08-04 Wan-Don Kim Semiconductor devices having work function metal films and tuning materials
KR20160095399A (ko) 2015-02-03 2016-08-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20170033020A1 (en) 2015-02-26 2017-02-02 Qualcomm Incorporated Adjacent device isolation
US20180226490A1 (en) * 2015-09-25 2018-08-09 Intel Corporation Fabrication of multi-channel nanowire devices with self-aligned internal spacers and soi finfets using selective silicon nitride capping
US20170170331A1 (en) 2015-12-09 2017-06-15 Samsung Electronics Co., Ltd. Semiconductor device
US9653289B1 (en) * 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210126018A1 (en) * 2019-10-24 2021-04-29 International Business Machines Corporation Gate stack quality for gate-all-around field-effect transistors
US11335680B2 (en) 2019-11-15 2022-05-17 Samsung Electronics Co., Ltd. Integrated circuits and method of manufacturing the same
US11990473B2 (en) 2019-11-15 2024-05-21 Samsung Electronics Co., Ltd. Integrated circuits and method of manufacturing the same
US20210193828A1 (en) * 2019-12-18 2021-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structures of semiconductor devices
US11264503B2 (en) * 2019-12-18 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structures of semiconductor devices
US11444198B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures
US11380686B2 (en) 2020-06-19 2022-07-05 Samsung Electronics Co., Ltd. Semiconductor devices including work function layers
US11688786B2 (en) 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11948981B2 (en) 2021-07-15 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Seam-filling of metal gates with Si-containing layers

Also Published As

Publication number Publication date
KR20190000965A (ko) 2019-01-04
SG10201802450PA (en) 2019-01-30
KR102293127B1 (ko) 2021-08-26
CN109119420B (zh) 2023-12-05
CN109119420A (zh) 2019-01-01
US20180374926A1 (en) 2018-12-27

Similar Documents

Publication Publication Date Title
US10461167B2 (en) Semiconductor device and method of manufacturing the same
US11742351B2 (en) Semiconductor device and method of manufacturing the same
US10615264B2 (en) Semiconductor devices
CN111668298B (zh) 具有双功函数栅极结构的半导体器件
US11296078B2 (en) Semiconductor device
US9087856B2 (en) Semiconductor device with buried bit line and method for fabricating the same
US11145738B2 (en) Semiconductor devices having multiple barrier patterns
US11387236B2 (en) Semiconductor device
US20200381311A1 (en) Semiconductor device and method of fabricating the same
US11948994B2 (en) Semiconductor device and method of fabricating the same
US20230378001A1 (en) Semiconductor device and method
US12021080B2 (en) Semiconductor device and method of manufacturing the same
US20230317469A1 (en) Semiconductor Device and Methods of Forming the Same
US11695042B2 (en) Transistor contacts and methods of forming the same
US20230163213A1 (en) Semiconductor device
US20230327023A1 (en) Semiconductor device
US20240105789A1 (en) Semiconductor device including a field effect transistor
US20240162228A1 (en) Three-dimensional semiconductor device and method of fabricating the same
KR102554708B1 (ko) 반도체 소자

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, DONGSOO;CHUNG, WONKEUN;NA, HOONJOO;AND OTHERS;REEL/FRAME:044541/0144

Effective date: 20171129

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4