TWI843733B - 用於euv微影之黏著層 - Google Patents
用於euv微影之黏著層 Download PDFInfo
- Publication number
- TWI843733B TWI843733B TW108120498A TW108120498A TWI843733B TW I843733 B TWI843733 B TW I843733B TW 108120498 A TW108120498 A TW 108120498A TW 108120498 A TW108120498 A TW 108120498A TW I843733 B TWI843733 B TW I843733B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- spin
- photoresist layer
- silicon
- pattern
- Prior art date
Links
- 238000001900 extreme ultraviolet lithography Methods 0.000 title description 5
- 239000000203 mixture Substances 0.000 claims abstract description 80
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 75
- 238000000034 method Methods 0.000 claims abstract description 69
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 38
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 37
- 239000000758 substrate Substances 0.000 claims abstract description 33
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 22
- 229910052751 metal Inorganic materials 0.000 claims abstract description 22
- 239000002184 metal Substances 0.000 claims abstract description 22
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 22
- 239000010703 silicon Substances 0.000 claims abstract description 22
- 239000010410 layer Substances 0.000 claims description 145
- 239000012790 adhesive layer Substances 0.000 claims description 48
- 229920000642 polymer Polymers 0.000 claims description 28
- 239000000178 monomer Substances 0.000 claims description 21
- -1 siloxanes Chemical class 0.000 claims description 16
- 230000005855 radiation Effects 0.000 claims description 14
- 238000004528 spin coating Methods 0.000 claims description 13
- 150000001732 carboxylic acid derivatives Chemical group 0.000 claims description 12
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 claims description 8
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 claims description 8
- 229920002554 vinyl polymer Polymers 0.000 claims description 8
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 7
- 239000002356 single layer Substances 0.000 claims description 7
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 6
- 238000005530 etching Methods 0.000 claims description 6
- VOZRXNHHFUQHIL-UHFFFAOYSA-N glycidyl methacrylate Chemical compound CC(=C)C(=O)OCC1CO1 VOZRXNHHFUQHIL-UHFFFAOYSA-N 0.000 claims description 6
- GNSFRPWPOGYVLO-UHFFFAOYSA-N 3-hydroxypropyl 2-methylprop-2-enoate Chemical compound CC(=C)C(=O)OCCCO GNSFRPWPOGYVLO-UHFFFAOYSA-N 0.000 claims description 5
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 5
- NIXOWILDQLNWCW-UHFFFAOYSA-N acrylic acid group Chemical group C(C=C)(=O)O NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 claims description 5
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 claims description 5
- 150000002170 ethers Chemical class 0.000 claims description 5
- 229910052707 ruthenium Inorganic materials 0.000 claims description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 5
- HHQAGBQXOWLTLL-UHFFFAOYSA-N (2-hydroxy-3-phenoxypropyl) prop-2-enoate Chemical compound C=CC(=O)OCC(O)COC1=CC=CC=C1 HHQAGBQXOWLTLL-UHFFFAOYSA-N 0.000 claims description 4
- OMIGHNLMNHATMP-UHFFFAOYSA-N 2-hydroxyethyl prop-2-enoate Chemical compound OCCOC(=O)C=C OMIGHNLMNHATMP-UHFFFAOYSA-N 0.000 claims description 4
- 229910052782 aluminium Inorganic materials 0.000 claims description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 4
- 229910052732 germanium Inorganic materials 0.000 claims description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 4
- 239000011521 glass Substances 0.000 claims description 4
- 229910044991 metal oxide Inorganic materials 0.000 claims description 4
- 150000004706 metal oxides Chemical class 0.000 claims description 4
- 150000004756 silanes Chemical class 0.000 claims description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 4
- 229910052721 tungsten Inorganic materials 0.000 claims description 4
- 239000010937 tungsten Substances 0.000 claims description 4
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 claims description 3
- 235000014653 Carica parviflora Nutrition 0.000 claims description 3
- 241000243321 Cnidaria Species 0.000 claims description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 claims description 3
- WOBHKFSMXKNTIM-UHFFFAOYSA-N Hydroxyethyl methacrylate Chemical compound CC(=C)C(=O)OCCO WOBHKFSMXKNTIM-UHFFFAOYSA-N 0.000 claims description 3
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 claims description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 3
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 3
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 3
- 239000010432 diamond Substances 0.000 claims description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 3
- 239000000377 silicon dioxide Substances 0.000 claims description 3
- 235000012239 silicon dioxide Nutrition 0.000 claims description 3
- 229910052715 tantalum Inorganic materials 0.000 claims description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 3
- SJMYWORNLPSJQO-UHFFFAOYSA-N tert-butyl 2-methylprop-2-enoate Chemical compound CC(=C)C(=O)OC(C)(C)C SJMYWORNLPSJQO-UHFFFAOYSA-N 0.000 claims description 3
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 claims description 3
- 229910021342 tungsten silicide Inorganic materials 0.000 claims description 3
- RPQRDASANLAFCM-UHFFFAOYSA-N oxiran-2-ylmethyl prop-2-enoate Chemical compound C=CC(=O)OCC1CO1 RPQRDASANLAFCM-UHFFFAOYSA-N 0.000 claims description 2
- 239000010936 titanium Substances 0.000 claims description 2
- 150000001875 compounds Chemical class 0.000 claims 2
- CERQOIWHTDAKMF-UHFFFAOYSA-M Methacrylate Chemical compound CC(=C)C([O-])=O CERQOIWHTDAKMF-UHFFFAOYSA-M 0.000 claims 1
- MVLTYZQFAOWEOK-UHFFFAOYSA-N oxiran-2-ylmethyl prop-2-enoate;prop-2-enoic acid Chemical compound OC(=O)C=C.C=CC(=O)OCC1CO1 MVLTYZQFAOWEOK-UHFFFAOYSA-N 0.000 claims 1
- 238000004377 microelectronic Methods 0.000 abstract description 4
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 46
- HTZCNXWZYVXIMZ-UHFFFAOYSA-M benzyl(triethyl)azanium;chloride Chemical compound [Cl-].CC[N+](CC)(CC)CC1=CC=CC=C1 HTZCNXWZYVXIMZ-UHFFFAOYSA-M 0.000 description 18
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 17
- 239000007787 solid Substances 0.000 description 16
- 239000000126 substance Substances 0.000 description 16
- 239000002904 solvent Substances 0.000 description 14
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 12
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 11
- 150000003384 small molecules Chemical class 0.000 description 11
- 238000003756 stirring Methods 0.000 description 11
- 238000006243 chemical reaction Methods 0.000 description 10
- 239000000463 material Substances 0.000 description 10
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 10
- 229920003270 Cymel® Polymers 0.000 description 9
- 239000003054 catalyst Substances 0.000 description 9
- 238000009472 formulation Methods 0.000 description 9
- 239000012452 mother liquor Substances 0.000 description 9
- FERIUCNNQQJTOY-UHFFFAOYSA-N Butyric acid Chemical compound CCCC(O)=O FERIUCNNQQJTOY-UHFFFAOYSA-N 0.000 description 8
- 230000015572 biosynthetic process Effects 0.000 description 8
- 238000002156 mixing Methods 0.000 description 8
- 239000011550 stock solution Substances 0.000 description 8
- 238000003786 synthesis reaction Methods 0.000 description 8
- YCPXWRQRBFJBPZ-UHFFFAOYSA-N 5-sulfosalicylic acid Chemical compound OC(=O)C1=CC(S(O)(=O)=O)=CC=C1O YCPXWRQRBFJBPZ-UHFFFAOYSA-N 0.000 description 7
- 229920001577 copolymer Polymers 0.000 description 7
- 239000003431 cross linking reagent Substances 0.000 description 7
- BRPSWMCDEYMRPE-UHFFFAOYSA-N 4-[1,1-bis(4-hydroxyphenyl)ethyl]phenol Chemical compound C=1C=C(O)C=CC=1C(C=1C=CC(O)=CC=1)(C)C1=CC=C(O)C=C1 BRPSWMCDEYMRPE-UHFFFAOYSA-N 0.000 description 6
- FJKROLUGYXJWQN-UHFFFAOYSA-N 4-hydroxybenzoic acid Chemical compound OC(=O)C1=CC=C(O)C=C1 FJKROLUGYXJWQN-UHFFFAOYSA-N 0.000 description 6
- 229960000583 acetic acid Drugs 0.000 description 6
- 239000000654 additive Substances 0.000 description 6
- 239000002585 base Substances 0.000 description 6
- LZCLXQDLBQLTDK-UHFFFAOYSA-N ethyl 2-hydroxypropanoate Chemical compound CCOC(=O)C(C)O LZCLXQDLBQLTDK-UHFFFAOYSA-N 0.000 description 6
- 229920000578 graft copolymer Polymers 0.000 description 6
- 238000004128 high performance liquid chromatography Methods 0.000 description 6
- 238000001459 lithography Methods 0.000 description 6
- 229910052757 nitrogen Inorganic materials 0.000 description 6
- 235000012431 wafers Nutrition 0.000 description 6
- 239000004615 ingredient Substances 0.000 description 5
- YWSZPDQSSIKHCP-UHFFFAOYSA-N C(C(=C)C)(=O)OCC1CO1.C(C(=C)C)(=O)OCCCO Chemical compound C(C(=C)C)(=O)OCC1CO1.C(C(=C)C)(=O)OCCCO YWSZPDQSSIKHCP-UHFFFAOYSA-N 0.000 description 4
- AEMRFAOFKBGASW-UHFFFAOYSA-N Glycolic acid Chemical compound OCC(O)=O AEMRFAOFKBGASW-UHFFFAOYSA-N 0.000 description 4
- 239000002253 acid Substances 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 4
- 238000003384 imaging method Methods 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 229920000647 polyepoxide Polymers 0.000 description 4
- 239000004094 surface-active agent Substances 0.000 description 4
- FENFUOGYJVOCRY-UHFFFAOYSA-N 1-propoxypropan-2-ol Chemical compound CCCOCC(C)O FENFUOGYJVOCRY-UHFFFAOYSA-N 0.000 description 3
- YEJRWHAVMIAJKC-UHFFFAOYSA-N 4-Butyrolactone Chemical compound O=C1CCCO1 YEJRWHAVMIAJKC-UHFFFAOYSA-N 0.000 description 3
- ADCUEPOHPCPMCE-UHFFFAOYSA-N 4-cyanobenzoic acid Chemical compound OC(=O)C1=CC=C(C#N)C=C1 ADCUEPOHPCPMCE-UHFFFAOYSA-N 0.000 description 3
- 229940090248 4-hydroxybenzoic acid Drugs 0.000 description 3
- XGWFJBFNAQHLEF-UHFFFAOYSA-N 9-anthroic acid Chemical compound C1=CC=C2C(C(=O)O)=C(C=CC=C3)C3=CC2=C1 XGWFJBFNAQHLEF-UHFFFAOYSA-N 0.000 description 3
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 3
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 238000011161 development Methods 0.000 description 3
- 125000003700 epoxy group Chemical group 0.000 description 3
- 229940116333 ethyl lactate Drugs 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- BFXIKLCIZHOAAZ-UHFFFAOYSA-N methyltrimethoxysilane Chemical compound CO[Si](C)(OC)OC BFXIKLCIZHOAAZ-UHFFFAOYSA-N 0.000 description 3
- 238000012360 testing method Methods 0.000 description 3
- 238000012876 topography Methods 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- JGTNAGYHADQMCM-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F JGTNAGYHADQMCM-UHFFFAOYSA-M 0.000 description 2
- OUPZKGBUJRBPGC-UHFFFAOYSA-N 1,3,5-tris(oxiran-2-ylmethyl)-1,3,5-triazinane-2,4,6-trione Chemical group O=C1N(CC2OC2)C(=O)N(CC2OC2)C(=O)N1CC1CO1 OUPZKGBUJRBPGC-UHFFFAOYSA-N 0.000 description 2
- JOLQKTGDSGKSKJ-UHFFFAOYSA-N 1-ethoxypropan-2-ol Chemical compound CCOCC(C)O JOLQKTGDSGKSKJ-UHFFFAOYSA-N 0.000 description 2
- OZAIFHULBGXAKX-UHFFFAOYSA-N 2-(2-cyanopropan-2-yldiazenyl)-2-methylpropanenitrile Chemical compound N#CC(C)(C)N=NC(C)(C)C#N OZAIFHULBGXAKX-UHFFFAOYSA-N 0.000 description 2
- SMZOUWXMTYCWNB-UHFFFAOYSA-N 2-(2-methoxy-5-methylphenyl)ethanamine Chemical compound COC1=CC=C(C)C=C1CCN SMZOUWXMTYCWNB-UHFFFAOYSA-N 0.000 description 2
- CLLLODNOQBVIMS-UHFFFAOYSA-N 2-(2-methoxyethoxy)acetic acid Chemical compound COCCOCC(O)=O CLLLODNOQBVIMS-UHFFFAOYSA-N 0.000 description 2
- 239000005711 Benzoic acid Substances 0.000 description 2
- 239000004593 Epoxy Substances 0.000 description 2
- VZCYOOQTPOCHFL-OWOJBTEDSA-N Fumaric acid Chemical compound OC(=O)\C=C\C(O)=O VZCYOOQTPOCHFL-OWOJBTEDSA-N 0.000 description 2
- QIGBRXMKCJKVMJ-UHFFFAOYSA-N Hydroquinone Chemical compound OC1=CC=C(O)C=C1 QIGBRXMKCJKVMJ-UHFFFAOYSA-N 0.000 description 2
- 101100233916 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) KAR5 gene Proteins 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 2
- 239000007983 Tris buffer Substances 0.000 description 2
- QYKIQEUNHZKYBP-UHFFFAOYSA-N Vinyl ether Chemical compound C=COC=C QYKIQEUNHZKYBP-UHFFFAOYSA-N 0.000 description 2
- 239000012670 alkaline solution Substances 0.000 description 2
- 125000000217 alkyl group Chemical group 0.000 description 2
- 150000001412 amines Chemical class 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 239000007864 aqueous solution Substances 0.000 description 2
- 239000012298 atmosphere Substances 0.000 description 2
- 235000010233 benzoic acid Nutrition 0.000 description 2
- 238000009835 boiling Methods 0.000 description 2
- 150000001735 carboxylic acids Chemical class 0.000 description 2
- 230000001627 detrimental effect Effects 0.000 description 2
- 239000003822 epoxy resin Substances 0.000 description 2
- SBRXLTRZCJVAPH-UHFFFAOYSA-N ethyl(trimethoxy)silane Chemical compound CC[Si](OC)(OC)OC SBRXLTRZCJVAPH-UHFFFAOYSA-N 0.000 description 2
- 239000013020 final formulation Substances 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 239000011159 matrix material Substances 0.000 description 2
- JGTNAGYHADQMCM-UHFFFAOYSA-N perfluorobutanesulfonic acid Chemical compound OS(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F JGTNAGYHADQMCM-UHFFFAOYSA-N 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 239000004033 plastic Substances 0.000 description 2
- 229920003023 plastic Polymers 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- ZDYVRSLAEXCVBX-UHFFFAOYSA-N pyridinium p-toluenesulfonate Chemical compound C1=CC=[NH+]C=C1.CC1=CC=C(S([O-])(=O)=O)C=C1 ZDYVRSLAEXCVBX-UHFFFAOYSA-N 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- RKHXQBLJXBGEKF-UHFFFAOYSA-M tetrabutylphosphanium;bromide Chemical compound [Br-].CCCC[P+](CCCC)(CCCC)CCCC RKHXQBLJXBGEKF-UHFFFAOYSA-M 0.000 description 2
- JOXIMZWYDAKGHI-UHFFFAOYSA-N toluene-4-sulfonic acid Chemical compound CC1=CC=C(S(O)(=O)=O)C=C1 JOXIMZWYDAKGHI-UHFFFAOYSA-N 0.000 description 2
- UBMUZYGBAGFCDF-UHFFFAOYSA-N trimethoxy(2-phenylethyl)silane Chemical compound CO[Si](OC)(OC)CCC1=CC=CC=C1 UBMUZYGBAGFCDF-UHFFFAOYSA-N 0.000 description 2
- 229910052727 yttrium Inorganic materials 0.000 description 2
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 2
- AGBXYHCHUYARJY-UHFFFAOYSA-N 2-phenylethenesulfonic acid Chemical compound OS(=O)(=O)C=CC1=CC=CC=C1 AGBXYHCHUYARJY-UHFFFAOYSA-N 0.000 description 1
- WZZFZXZRKPNZOC-UHFFFAOYSA-N 3-nitrobenzenesulfonic acid;pyridine Chemical compound C1=CC=[NH+]C=C1.[O-][N+](=O)C1=CC=CC(S([O-])(=O)=O)=C1 WZZFZXZRKPNZOC-UHFFFAOYSA-N 0.000 description 1
- WVYWICLMDOOCFB-UHFFFAOYSA-N 4-methyl-2-pentanol Chemical compound CC(C)CC(C)O WVYWICLMDOOCFB-UHFFFAOYSA-N 0.000 description 1
- 102100033806 Alpha-protein kinase 3 Human genes 0.000 description 1
- 101710082399 Alpha-protein kinase 3 Proteins 0.000 description 1
- 229920003319 Araldite® Polymers 0.000 description 1
- 238000012935 Averaging Methods 0.000 description 1
- 239000004971 Cross linker Substances 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 241001427367 Gardena Species 0.000 description 1
- 101001121408 Homo sapiens L-amino-acid oxidase Proteins 0.000 description 1
- 101000827703 Homo sapiens Polyphosphoinositide phosphatase Proteins 0.000 description 1
- 102100026388 L-amino-acid oxidase Human genes 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 102100023591 Polyphosphoinositide phosphatase Human genes 0.000 description 1
- 101100012902 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) FIG2 gene Proteins 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 229910052770 Uranium Inorganic materials 0.000 description 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 239000003377 acid catalyst Substances 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 150000001252 acrylic acid derivatives Chemical class 0.000 description 1
- 229920003180 amino resin Polymers 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 239000007809 chemical reaction catalyst Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 239000008199 coating composition Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000004132 cross linking Methods 0.000 description 1
- 150000007973 cyanuric acids Chemical class 0.000 description 1
- 238000004090 dissolution Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 150000002148 esters Chemical class 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- UQSQSQZYBQSBJZ-UHFFFAOYSA-N fluorosulfonic acid Chemical class OS(F)(=O)=O UQSQSQZYBQSBJZ-UHFFFAOYSA-N 0.000 description 1
- 239000001530 fumaric acid Substances 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 239000012362 glacial acetic acid Substances 0.000 description 1
- LNEPOXFFQSENCJ-UHFFFAOYSA-N haloperidol Chemical compound C1CC(O)(C=2C=CC(Cl)=CC=2)CCN1CCCC(=O)C1=CC=C(F)C=C1 LNEPOXFFQSENCJ-UHFFFAOYSA-N 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- ZFSLODLOARCGLH-UHFFFAOYSA-N isocyanuric acid Chemical compound OC1=NC(O)=NC(O)=N1 ZFSLODLOARCGLH-UHFFFAOYSA-N 0.000 description 1
- 229920002521 macromolecule Polymers 0.000 description 1
- 150000002734 metacrylic acid derivatives Chemical class 0.000 description 1
- XJLTZAGUXSAJCZ-UHFFFAOYSA-N methyl 3-trimethoxysilylpropanoate Chemical compound COC(=O)CC[Si](OC)(OC)OC XJLTZAGUXSAJCZ-UHFFFAOYSA-N 0.000 description 1
- 238000001393 microlithography Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 239000010413 mother solution Substances 0.000 description 1
- 239000003960 organic solvent Substances 0.000 description 1
- 150000002902 organometallic compounds Chemical class 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- YPNZYYWORCABPU-UHFFFAOYSA-N oxiran-2-ylmethyl 2-methylprop-2-enoate;styrene Chemical compound C=CC1=CC=CC=C1.CC(=C)C(=O)OCC1CO1 YPNZYYWORCABPU-UHFFFAOYSA-N 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 230000010287 polarization Effects 0.000 description 1
- 235000013824 polyphenols Nutrition 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000001556 precipitation Methods 0.000 description 1
- YWVYZMVYXAVAKS-UHFFFAOYSA-N pyridin-1-ium;trifluoromethanesulfonate Chemical compound C1=CC=[NH+]C=C1.[O-]S(=O)(=O)C(F)(F)F YWVYZMVYXAVAKS-UHFFFAOYSA-N 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 230000008080 stochastic effect Effects 0.000 description 1
- 150000003440 styrenes Chemical class 0.000 description 1
- 150000003871 sulfonates Chemical class 0.000 description 1
- 150000003460 sulfonic acids Chemical class 0.000 description 1
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 239000011135 tin Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- VZCYOOQTPOCHFL-UHFFFAOYSA-N trans-butenedioic acid Natural products OC(=O)C=CC(O)=O VZCYOOQTPOCHFL-UHFFFAOYSA-N 0.000 description 1
- JXUKBNICSRJFAP-UHFFFAOYSA-N triethoxy-[3-(oxiran-2-ylmethoxy)propyl]silane Chemical compound CCO[Si](OCC)(OCC)CCCOCC1CO1 JXUKBNICSRJFAP-UHFFFAOYSA-N 0.000 description 1
- ITMCEJHCFYSIIV-UHFFFAOYSA-M triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-M 0.000 description 1
- ITMCEJHCFYSIIV-UHFFFAOYSA-N triflic acid Chemical compound OS(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-N 0.000 description 1
- ZNOCGWVLWPVKAO-UHFFFAOYSA-N trimethoxy(phenyl)silane Chemical compound CO[Si](OC)(OC)C1=CC=CC=C1 ZNOCGWVLWPVKAO-UHFFFAOYSA-N 0.000 description 1
- JFALSRSLKYAFGM-UHFFFAOYSA-N uranium(0) Chemical compound [U] JFALSRSLKYAFGM-UHFFFAOYSA-N 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
- 239000011701 zinc Substances 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/22—Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
- G03F1/24—Reflection masks; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/11—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
- G03F7/32—Liquid compositions therefor, e.g. developers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70008—Production of exposure light, i.e. light sources
- G03F7/70033—Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02282—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02422—Non-crystalline insulating materials, e.g. glass, polymers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Inorganic Chemistry (AREA)
- Architecture (AREA)
- Structural Engineering (AREA)
- Materials For Photolithography (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
Abstract
本發明提供一種用作EUV黏著層的新穎微影組成物。本發明提供一種使用該等組成物來製造微電子結構的方法,以及由該等方法形成的結構。該方法涉及使用緊接在光阻層下方的黏著層。黏著層可以直接施加到基材,或者可以施加到任何可以施加到基材的中間層,例如α-碳、旋塗碳、旋塗矽硬遮罩、金屬硬遮罩或者沉積的矽層。較佳的黏著層係形成自可旋塗的、聚合性的組成物。本發明方法改善黏著性,並且降低或者消除圖案崩塌的問題。
Description
本發明總的來說係有關於一種使用極紫外光(extreme ultraviolet;EUV)微影來製造微電子結構的方法。
當半導體產業持續遵循摩爾定律(Moore's law)時,對於不斷減小的特徵尺寸的需求需要使用更薄的薄膜以防止圖形的崩塌(pattern collapse)。更薄的薄膜需要使用硬遮罩以將圖形轉移到基材。預估極紫外光(EUV)曝光將成為單次曝光微影的選擇方法,藉以實現7奈米節點以及7奈米以下的所需的臨界尺寸(critical dimension;CD)目標。遺憾地,EUV微影受到許多問題的阻礙,包含缺乏強大的輻射源、隨機性效應以及黏附問題等。
包含含碳層、含矽層以及光阻的傳統的三層堆疊,通常在光阻和矽底層之間具有差的黏附性。這種差的黏附性通常導致圖案化光阻的顯著崩塌,特別是在較低的臨界尺寸(CD)時。
一種方法係實施旋塗矽硬遮罩,由於塗矽硬遮罩具有相對高的碳含量,因此其對光阻提供較好的黏附性。由於矽硬遮罩(silicon hardmask;Si-HM)層中具有較低的矽含量,因此對改善的黏附性的一個顯著折衷主要係降低CF4
的蝕刻速率。
本發明大體上提供一種形成結構的方法,其中方法包含提供基材,基材任選地在其上包含一或多個中間層。黏著層形成在基材上,或者若一或多個中間層存在時,則黏著層形成在一或多個中間層上。黏著層具有大於單層但小於9奈米的平均厚度,並且以黏著層的總重量為100重量%計,黏著層的金屬含量小於約0.001重量%。光阻層形成在黏著層上,並且光阻層的至少一部分經受EUV輻射。
在另一個具體實例中,本發明提供一種形成結構的方法,其中方法包含提供基材,基材任選地在其上包含一或多個中間層。黏著層形成在基材上,或者若一或多個中間層存在時,則黏著層形成在一或多個中間層上。黏著層為非導電性的,並且具有大於單層但小於9奈米的平均厚度。光阻層形成在黏著層上,並且光阻層的至少一部分經受EUV輻射。
發明方法
更詳細地,本發明提供一種形成微電子結構的方法,此方法特別適用於EUV(亦即,13.5奈米)微影。在本發明方法中,提供具有表面的基材。可以利用任何微電子結構基材。基材較佳為半導體基材,例如矽、矽鍺、二氧化矽、氮化矽(Si3
N4
)、氮氧化矽(SiON)、鋁、鎢、矽化鎢、砷化鎵、鍺、鉭、氮化鉭、氮化鈦(Ti3
N4
)、鉿、二氧化鉿、釕、磷化銦、珊瑚、黑色金剛石、玻璃和前述的混合。任選的中間層可以在加工之前形成在基材上。基材可以具有平坦的表面,或者其可以包含形貌特徵結構(topographic feature)(通孔、溝槽、接觸孔、凸起特徵、線路等)。如本文中所使用的,「形貌(topography)」指基材表面中或者基材表面上的結構其高度或者深度。
富含碳層可以形成在基材上或者任何中間層上。富含碳層可以藉由任何已知的施加方法來形成,其中一種較佳的方法為旋轉塗布方法,其旋轉塗布轉速係從約1,000 rpm到約5,000 rpm之間,較佳係從約1,250 rpm到約1,750 rpm之間,其旋轉塗布時間係從約30秒到約120秒之間,較佳係從約45秒到約75秒之間。術語「富含碳(carbon-rich)」指從組成物中形成的層,以組成物中的總固體量為100重量%計,組成物包含大於約50重量%的碳,較佳包含大於約70重量%的碳,並且更佳包含約從75重量%到約80重量%之間的碳。合適的富含碳層係選自由旋塗碳層(spin-on carbon layer;SOC)、非晶形的碳層以及碳平面化層所組成的群組。
示例性的富含碳層通常會損害溶解或者是分散在溶劑系統的聚合物,以及損害以下任選的成分:酸及/或鹼淬滅體、催化劑、交聯劑以及表面改性質添加劑。較佳的組成物適合用於形成厚的層,並且以組成物的總重量為100重量%計,較佳具有從0.1重量%到70重量%之間的固體含量,更佳具有從1重量%到5重量%之間的固體含量,並且甚至更佳具有從1重量%到3重量%之間的固體含量。在施加富含碳組成物之後,較佳地,將其加熱至從約100 °C到約400 °C之間的溫度,並且更佳加熱至從約160 °C到約350 °C之間的溫度,並且持續從約30秒約120秒之間的時間,較佳持續從約45秒到約60秒之間的時間,藉以使溶劑蒸發。在烘烤之後,富含碳層的厚度較佳係從約10奈米到約120奈米之間,更佳係從約20奈米到約100奈米之間,並且甚至更佳係從約40奈米到約60奈米之間。可以藉由其他已知的施加方法來形成富含碳層,例如化學氣相沉積(chemical vapor deposition;CVD)、電漿增強型化學氣相沉積(plasma-enhanced chemical vapor deposition;PECVD)、原子層沉積(atomic layer deposition;ALD),或者電漿增強型原子層沉積(plasma-enhanced atomic layer deposition;PEALD)。
硬遮罩層可以施加至與富含碳材料、基材或者任何中間層相鄰。硬遮罩層可以藉由任何已知的施加方法來形成,例如化學氣相沉積(CVD)或者電漿增強型化學氣相沉積(PECVD)。另一種較佳的方法為旋轉塗布,其旋轉塗布轉速係從約1,000 rpm到約5,000 rpm之間,較佳係從約1,250 rpm到約1,750 rpm之間,其旋轉塗布時間係從約30秒到約120秒之間,較佳係從約45秒到約75秒之間。合適的硬遮罩層較加為高矽含量材料,其係選自由以下所組成的群組:矽烷類、矽氧烷類、倍半矽氧烷類(silsesquioxane)、氮氧化矽、氮化矽、多晶矽、非晶矽、或者相對於底層具有高蝕刻偏差的任何層。示例性的硬遮罩層通常會損害溶解或者是分散在溶劑系統的聚合物,以及損害以下任選的成分:界面活性劑、酸或者鹼催化劑以及交聯劑。較佳的組成物以組成物的總重量為100重量%計,較佳具有從0.1重量%到70重量%之間的固體含量,更佳具有從0.5重量%到10重量%之間的固體含量,並且甚至更佳具有從0.5重量%到1重量%之間的固體含量。在施加硬遮罩層之後,較佳地,將其加熱至從約100 °C到約300 °C之間的溫度,並且更佳加熱至從約150 °C到約250 °C之間的溫度,並且持續從約30秒約120秒之間的時間,較佳持續從約45秒到約60秒之間的時間,藉以使溶劑蒸發。在烘烤之後,硬遮罩層的厚度較佳係從約5奈米到約50,000奈米之間,更佳係從約5奈米到約1,000奈米之間,並且甚至更佳係從約10奈米到約30奈米之間。在富含氟的電漿氣氛中,硬遮罩層的蝕刻速率應至少為光阻的0.75倍,並且在富含氧的電漿蝕刻氣氛中,硬遮罩層的蝕刻速率應至少比富含碳層慢5倍。
可以使用一些商用的硬遮罩層。其他較佳的硬遮罩層包含選自包含以下單體的群組的共聚物:苯乙基三甲氧基矽烷(phenethyltrimethoxysilane;PETMS)、2-(甲氧羰基)乙基三甲氧基矽烷(2-(carbomethoxy)ethyltrimethoxysilane;CMETMS)、四乙氧基矽烷(tetraethoxysilane;TEOS)、甲基三甲氧基矽烷、苯基三甲氧基矽烷、甲基三甲氧基矽烷(methyltrimethoxysilane;MTMS)、乙基三甲氧基矽烷(ethyltrimethoxysilane;ETMS)、(3-縮水甘油氧基丙基)三乙氧基矽烷以及2-(3,4-環氧環己基)乙基三甲氧基矽烷(2-(3,4-epoxycyclohexyl)ethyltrimethyoxysilane;ECHTMS)。
接著,將有益於形成根據本發明的黏著層組成物施加到基材、富含碳層、硬遮罩層、或者其他中間層,藉以在光阻層的下方形成層。較佳地,直接將黏著層施加到硬遮罩。組成物可以藉由任何已知的施加方法來形成,其中一種較佳的方法為旋轉塗布組成物方法,其旋轉塗布轉速係從約1,000 rpm到約5,000 rpm之間,較佳係從約1,250 rpm到約1,750 rpm之間,其旋轉塗布時間係從約30秒到約120秒之間,較佳係從約45秒到約75秒之間。接著,烘烤黏著層以引發組合物的熱交聯,藉以形成固化的層。較佳的烘烤條件較佳包含從約100 °C到約300 °C之間的溫度,並且更佳從約150 °C到約250 °C之間的溫度,其烘烤時間從約30秒到約120秒之間,較佳從約45秒到約60秒之間。
在烘烤之後,黏著層具有大於單層但小於9奈米的平均厚度(亦即,大於單層分子或者單層原子),較佳具有從約1奈米到9奈米之間的平均厚度,更佳具有從約2奈米到約6奈米之間的平均厚度,並且甚至更佳具有從約4奈米到約5奈米之間的平均厚度。若基材表面包含形貌,則較佳黏著層係以足夠實質上覆蓋基材形貌的厚度來施加。
本發明的黏著層具有低的金屬含量。在一個較佳的具體實例中,以黏著層的總重量為100重量%計,金屬含量係小於約0.005重量%,較佳係小於約0.001重量%,並且更佳係約為0重量%。進一步較佳地,黏著層為非導電層。
應理解者,本發明的黏著層所需的接觸角係取決於應用。在烘烤之後,黏著層的接觸角較佳係從約50°到約95°之間。對於負性顯影應用來說,當使用水來測量時,烘烤之後黏著層的接觸角較佳為約55°到約70°之間。正性顯影應用可能需要較高的水接觸角,例如從約70°到約90°之間。水接觸角可以由任何習知設備來測量,一種用於此種測量的適合設備的實例為VCA-3000S晶圓系統(AST Products公司,比爾里卡市,麻薩諸塞州)。
固化的黏著層實質上不溶於典型的有機溶劑中,例如乳酸乙酯(EL)、丙二醇甲醚乙酸酯(propylene glycol methyl ether acetate;PGMEA)、丙二醇甲醚(propylene glycol methyl ether;PGME)、丙二醇正丙基醚(propylene glycoln
-propyl ether;PnP)、環己酮、丙酮、γ-丁內酯(gamma butyrolactone;GBL)以及上述混合。因此,當進行剝離試驗時,固化的黏著層其剝離的百分比係小於約5 %,較佳係小於約1 %,並且更佳係約為0 %。首先,剝離試驗包含確定固化的層的厚度(藉由在5個不同位置的取樣的測量值的平均值)。平均值為初始平均膜厚度。接著,將溶劑(例如,乳酸乙酯)攪混到固化的膜的上方約為20秒,然後以約3,000 rpm的轉速旋轉乾燥約30秒,藉以移除溶劑。使用橢圓偏振法在晶圓上的5個不同位置處再次量測厚度,並且確定該等測量值的平均值。此平均值為最終平均膜厚度。
剝離的量為初始平均膜厚度與最終平均膜厚度之間的差異。剝離百分比為:
剝離 % =x 100 %
在黏著層固化之後,可以將EUV光阻(亦即,成像層)施加到黏著層上以形成光阻層。可以使用任何商用的EUV光阻。在一個具體實例中,光阻為化學放大光阻(chemically amplified resist;CAR)。在另一個具體實例中,光阻為非化學放大光阻。在一個具體實例中,所選擇的非化學放大光阻包含金屬,其係例如選自包含由以下彼等所組成的群組:鈦、鋅、錫、鉿、鋯、銦、釩、鈷、鉬、鎢、鋁、鎵、矽、鍺、磷、砷、釔、鑭、鈰、鎦、以及前述的混合。在另一個具體實例中,提供金屬做為光阻組成物中一部分的金屬氧化物或者一部分的有機金屬化合物。合適的EUV光阻的實例可以從以下的供應商來獲得,包含JSR公司、東京應化公司、住友公司、信越公司、富士軟片公司、Inpria公司、Irresistible Materials公司以及瑞翁公司。在一個特別較佳的具體實例中,含有金屬的光阻,例如上文所描述的彼等光阻,係與本發明的黏著層以及旋塗碳層結合使用,藉以作為中間層。
在另一個具體實例中,所選擇的光阻並且不包含金屬(亦即,係實質上不含金屬,或者較佳完全不含金屬)。更特別地,所使用的光阻組成物以及形成的最終光阻層,以光阻組成物的總重量或者最終光阻層的總重量為100重量%計,各自包含小於約0.5重量%的金屬,較佳係小於約0.1重量%的金屬,並且更佳係約為0重量%的金屬。
無論光阻的類型如何,光阻層都可以藉由任何習知方法形成,一種較佳的方法係以從約350 rpm到約4,000 rpm之間的轉速(較佳係以從約1,000 rpm到約2,500 rpm之間的轉速)旋塗光阻組合物,旋塗時間約從10秒到約60秒之間(較佳約從10秒到約30秒之間)。接著,光阻層任選在至少約45 °C中,較佳在約從80 °C到約250 °C之間,並且更佳在約從100 °C到約150 °C之間進行後施加烘烤(post-application baked;PAB),後施加烘烤時間在約從20秒到30分鐘之間,更佳在約從30秒到約20分鐘之間。在烘烤之後,光阻層的厚度一般係從約5奈米到約200奈米之間,較佳係從約10奈米到約50奈米之間,並且更佳係從約20奈米到約40奈米之間。
隨後,藉由曝光於EUV輻射以圖案化光阻層,EUV輻射劑量係從約5 mJ/cm2
到約100 mJ/cm2
之間,較佳係從約10 mJ/cm2
到約80 mJ/cm2
之間,並且更佳係從約20 mJ/cm2
到約60 mJ/cm2
之間。更具體地,使用位於光阻層的表面上方的遮罩來曝光光阻層。遮罩具有設計的區域,該等區域允許EUV輻射從遮罩反射,並且接觸光阻層的表面。遮罩的剩餘部分係設計來吸收光,藉以防止輻射在某些區域中接觸光阻層的表面。本領域技術人員將容易理解,反射部分以及吸收部分的配置係基於要在光阻層中形成並且最終在基材或者任何中間層中形成的所需圖案來設計的。
在EUV曝光之後,光阻層經受在至少約45 °C中進行曝光後烘烤(post-exposure bake;PEB),較佳在約從80 °C到約250 °C之間進行,並且更佳在約從100 °C到約150 °C之間進行,後施加烘烤時間在約從20秒到30分鐘之間,更佳在約從30秒到約20分鐘之間。
接著,光阻層係與顯影劑接觸,藉以形成圖案。取決於所使用的光阻係正型光阻或者負型光阻,顯影劑將移除光阻層的曝光部分,或者移除光阻層的未曝光部分,藉以形成圖案。接著,將圖案轉移到黏著層、任何存在的中間層(例如,硬遮罩層、旋塗碳層、含矽的硬遮罩加上旋塗碳層的組合,等),最終轉移到基材。此圖案轉移可以通過電漿蝕刻(例如,CF4
蝕刻劑、O2
蝕刻劑)或者是濕式蝕刻或者是顯影製程來進行。在通過蝕刻將圖案從光阻層轉移到基材的具體實例中,較佳地,黏著層的蝕刻速率相較於一般EUV光阻(例如,有機、金屬氧化物或者有機金屬光阻)的蝕刻速率來說,為至少1倍,並且較佳係在約1.5倍到約2倍之間。
在一個具體實例中,所使用的黏著層可以為顯影劑可溶的。如在本文中所使用的「顯影劑可溶的(developer-soluble)」或者「可濕式顯影的(wet-developable)」,意味著曝光於EUV輻射的黏著層的部分可以實質上為習知水性顯影劑所移除,習知水性顯影劑例如為四甲基氫氧化銨(tetramethyl ammonium hydroxide;TMAH)顯影劑。當移除光阻層時,顯影劑移除在光阻層的曝光部分下方的黏著層的曝光部分,藉以在光阻層以及光阻下面的層中形成所需的圖案。圖案可以為通孔、溝槽、線路、間隔、柱等,最終使用蝕刻或者離子植入製程來將圖案轉移至基材。較佳地,黏著層的曝光部分的至少約95 %為顯影劑所移除,更佳至少約99 %,並且甚至更佳約100 %將被移除。合適的顯影劑為有機鹼性溶液或者無機鹼性溶液,例如但不限制地為四甲基氫氧化銨,並且較佳包含濃度為0.26 N或者低於0.26 N的四甲基氫氧化銨水溶液。較佳地,黏著層在0.26 N四甲基氫氧化銨水溶液顯影劑的溶解速率為約從100 nm/s到約1,000 nm/s之間,並且甚至更佳為約從500 nm/s到約1,000 nm/s之間。接著,可以在圖案化的堆疊上進行習知蝕刻、金屬化等,藉以完成元件的製造。
無論圖案轉移是藉由蝕刻還是藉由顯影實現的,所得到的特徵皆具有高解析度。舉例來說,利用本發明的方法可以達成小於約40奈米半節距(half pitch)的解析度,較佳達成小於30奈米半節距的解析度。有利地,本發明的黏著層也可以改善最終特徵的崩塌邊緣(collapse margin)。崩塌邊緣係從劑量到尺寸的劑量範圍以及結構仍然存在的劑量。
本發明的組成物
本發明的組成物包含聚合物或者小分子,以及分散或者溶解在溶劑系統中任選的交聯劑。本發明的組成物也可以包含任選的成分,例如彼等選自由以下所組成的群組者:界面活性劑、酸、酸催化劑、鹼、鹼催化劑、聚合物、催化劑、添加劑,以及上述混合。應理解者,應選擇黏著層的組成物以與所使用的光阻相容。
合適的聚合物及/或小分子包含聚合物以及小分子,其中聚合物以及小分子為丙烯酸酯類、甲基丙烯酸酯類、丙烯酸類、苯乙烯類、乙烯基類、環氧樹脂類、酚醛樹脂類、矽烷類、氰脲酸酯類、分子玻璃以及上述混合的聚合物以及小分子。特別較佳的聚合物包含選自包含以下的單體:乙烯基類單體、丙烯酸類單體以及苯乙烯類單體。乙烯基類單體較佳係選自由以下所組成的群組:縮水甘油丙烯酸酯、縮水甘油甲基丙烯酸酯以及上述組合。當使用交聯劑時,丙烯酸類單體較佳為胺基塑料反應性單體,其係選自由以下所組成的群組:丙烯酸2-羥基-3-苯氧基丙酯(2-hydroxy-3-phenoxypropyl acrylate;HPPA)、甲基丙烯酸羥丙酯(hydroxy propyl methacrylate;HPM)、甲基丙烯酸2-羥乙酯(2-hydroxyethyl methacrylate;HEMA)、丙烯酸2-羥乙酯(2-hydroxyethyl acrylate;HEA)、甲基丙烯酸第三丁酯以及上述組合。一種特別較佳的聚合物為縮水甘油甲基丙烯酸酯與甲基丙烯酸羥丙酯的共聚物:
在此具體實例中,縮水甘油甲基丙烯酸酯包含至少約30莫耳百分比的單體單元,以及更佳包含40莫耳百分比的單體單元。聚合物的數量平均分子量(Mn)較佳係從約2,000 g/mol到約30,000 g/mol之間,更佳係從約10,000 g/mol到約25,000 g/mol之間。聚合物的重量平均分子量(Mw)的範圍較佳係介於約5,000 g/mol到約100,000 g/mol之間,更佳係介於約30,000 g/mol到約70,000 g/mol之間。以在組成物中的固體總重量為100重量%計,聚合物較佳係以從約50重量%到約90重量%之間的含量存在於組成物中,並且更佳係以從約60重量%到約80重量%之間的含量存在於組成物中。
當使用小分子時,一種特別較佳的小分子為參(2,3-環氧丙基)異氰脲酸酯(tris(2,3-epoxypropyl)isocyanurate;TEPIC-S;可以從日產化學美國公司獲得)。以在組成物中的固體總重量為100重量%計,小分子較佳係以從約40重量%到約90重量%之間的含量存在於組成物中,並且較佳係以從約60重量%到約80重量%之間的含量存在於組成物中。
在一個具體實例中,包含乙烯基類單體的聚合物或者小分子與官能化的羧酸部分接枝。合適的部分包含但不限於發色基,例如9-蒽羧酸;烷基(較佳為C1
-C8
,並且更佳為C1
-C4
)羧酸,例如乙酸以及丁酸;芳香族羧酸,例如苯甲酸、4-氰基苯甲酸以及4-羥基苯甲酸;醚類,例如乙醇酸醚;以及上述混合。在存在催化劑的的情況中,藉由將聚合物與官能化羧酸在溶劑中反應來使聚合物官能化。合適的反應催化劑包含但不限於苄基三乙基氯化銨(benzyltriethylammonium chloride;BTEAC)以及四丁基溴化磷。在反應以及,羧酸官能團與聚合物的環氧基團接枝。較佳地,從約20 %到約100 %之間的聚合物活性位係接枝的,並且更佳從約40 %到約100 %之間的聚合物活性位係接枝的。接枝聚合物的實例係如下文所示,其中單體比例為示例性的。
在另一個具體實例中,聚合物或者是小分子與官能化羧酸部分為物理混合的。合適的部分包含但不限於發色基,例如9-蒽羧酸;烷基(較佳為C1
-C8
,並且更佳為C1
-C4
)羧酸,例如乙酸和以及丁酸;芳香族羧酸,例如苯甲酸、4-氰基苯甲酸以及4-羥基苯甲酸;醚類,例如乙醇酸醚;以及上述混合。
較佳的交聯劑係選自由以下所組成的群組:乙烯基醚交聯劑、胺基塑料、環氧樹脂以及上述混合。市售的乙烯基醚的實例包含彼等以商品名VECTomer™(奧瑞奇公司;聖路易斯市,密蘇里州)出售者。市售的胺基塑料的實例包含彼等以品名Powderlink®、Cymel® 303以及Cymel® 1170出售者。 Cymel® 1170具有以下結構:
合適的環氧樹脂的實例包含彼等可以得自Huntsman Advanced Materials公司的品名為Araldite®者(例如,MY720四官能環氧樹脂)。
當使用交聯劑時,以在組成物中的固體總重量為100重量%計,交聯劑較佳係以從約10重量%到約50重量%之間的含量存在於組成物中,並且較佳係以從約25重量%到約45重量%之間的含量存在於組成物中。
在一些具體實例中,使用催化劑。較佳地,將催化劑簡單地混入黏著層組成物中。較佳地,催化劑包含但不限於彼等選自由以下所組成的群組者:5-磺基水楊酸、四級銨封端的三氟甲烷磺酸(例如以K-Pure TAG2689為品名出售的)、磺酸(例如對甲苯磺酸、苯乙烯磺酸)、磺酸鹽(例如吡啶鎓對甲苯磺酸鹽、吡啶鎓三氟甲磺酸鹽、吡啶鎓3-硝基苯磺酸鹽)以及上述組合。以在組成物中的固體總重量為100重量%計,催化劑係以從約0.01重量%到約0.05重量%之間的含量存在於組成物中,並且較佳係以從約0.01重量%到約0.02重量%之間的含量存在於組成物中。
在一些具體實例中,使用光酸產生劑(photoacid generator;PAG)。較佳地,光酸產生劑不附接到聚合物或者小分子,而是簡單地混入黏著層組成物中。較佳的光酸產生劑包含但不限於彼等選自由以下所組成的群組者:鎓鹽(onium salt;例如,三苯基鋶全氟磺酸鹽,諸如TPS九氟丁磺酸鹽、TPS三氟甲磺酸鹽以及上述經取代形式,諸如三(4-第三丁基苯基)鋶全氟-1-丁烷磺酸鹽(經烷基取代的TPS(九氟丁磺酸鹽),均可以購自西格瑪-奧瑞奇公司);肟磺酸酯(oxime-sulfonate;例如彼等由CIBA以品名CGI®出售的肟磺酸酯);三(例如,可以購自Midori Kagaku公司的TAZ-108®);以及上述組合。以在組成物中的固體總重量為100重量%計,光酸產生劑係以從約0.001重量%到約0.030重量%之間的含量存在於組成物中,並且較佳係以從約0.005重量%到約0.015重量%之間的含量存在於組成物中。
在另一個具體實例中,黏著層組成物實質上不含有任何酸產生劑,例如光酸產生劑。也就是說,以在組成物中的固體總重量為100重量%計,黏著層組成物將包含小於0.001重量%的光酸產生劑,並且較佳包含約為0重量%的光酸產生劑。
在一些具體實例中,使用添加劑。較佳地,將添加劑簡單地混入黏著層組成物中。較佳地,添加劑包含但不限於彼等選自由以下所組成的群組者:1,1,1-三(4-羥基苯基)乙烷(THPE)、界面活性劑以及上述混合。以在組成物中的固體總重量為100重量%計,添加劑係以從約為0重量%到約0.1重量%之間的含量存在於組成物中,並且較佳係以從約0.01重量%到約0.05重量%之間的含量存在於組成物中。
在一個較佳的具體實例中,黏著層組成物實質上不含有金屬。也就是說,以在組成物中的固體總重量為100重量%計,組成物的金屬含量係小於約0.005重量%,較佳係小於約0.001重量%,並且較佳係約為0重量%。
在另一個較佳的具體實例中,黏著層組成物實質上不含有矽。也就是說,以在組成物中的固體總重量為100重量%計,組成物的矽含量係小於約1重量%,較佳係小於約0.5重量%,更佳係小於約0.1重量%,並且甚至更佳係約為0重量%。
較佳的溶劑系統包含選自由以下所組成的群組的溶劑:丙二醇甲醚乙酸酯、丙二醇甲醚、丙二醇正丙基醚、環己酮、γ-丁內酯、4-甲基-2-戊醇、丙二醇單乙醚(PGEE)以及上述混合。較佳地,溶劑系統具有約從70 °C到約200 °C之間的沸點,並且更佳具有從約100 °C到約150 °C之間的沸點。以在組成物中的固體總重量為100重量%計,較佳係以從約98重量%到約99.99重量%之間,更佳係以從約99重量%到約99.9重量%之間,並且甚至更佳係以從約99.3重量%到約99.8重量%之間來使用溶劑系統。用於形成黏著層的組合物,以在組成物中的固體總重量為100重量%計,較佳將包含從約0.1重量%到1重量%之間,更佳包含從約0.1重量%到0.8重量%之間,並且甚至更佳從約0.1重量%到0.5重量%之間的固體含量。
在溶劑系統中將上述成分混合在一起形成黏著層組成物。此外,任何任選的成分(例如界面活性劑)也同時分散在溶劑體系中。
在美國專利號8,257,910和8,895,230中描述可以用作本發明方法中的黏著層的其它組成物,該等專利各自藉由引用結合到本文中。
實施例
實施例1
乙酸接枝聚合物的合成以及配方
在此實施例中,將1.9公克的冰醋酸(Spectrum Chemical製造公司;加迪纳市;加州)以及15公克的縮水甘油甲基丙烯酸酯與甲基丙稀酸羥基丙基酯(GMA-HPMA)共聚物(在丙二醇甲醚中濃度為20 %;大阪府;日本),秤重到圓底燒瓶中並且開始攪拌。在攪拌的同時,加入0.18公克的苄基三乙基氯化銨(BTEAC)。接著,加入2.8公克的丙二醇甲醚(KMG Electronic Chemicals公司;沃思堡市;德克薩斯州),並且用其來沖洗側面。圓底燒瓶配有冷凝器以及氮氣入口。將反應加熱到110 °C並且保持16小時,藉以製造母液1。
將母液1(0.532公克)、0.3公克的Cymel® 1170、0.02公克的5-磺基水楊酸(5-SSA;King Industries Specialty Chemicals公司;諾沃克市;康乃狄克州)、0.03公克的1,1,1-三(4-羥基苯基)乙烷(THPE;賀利氏公司;萬達利亞市;俄亥俄州),以及0.009公克的TPS-C1溶於74.73公克的丙二醇甲醚(KMG Electronic Chemicals公司;沃思堡市;德克薩斯州)以及174.37公克的丙二醇甲醚乙酸酯(KMG Electronic Chemicals;沃思堡市;德克薩斯州)中,並且在混合輪上混合若干個小時。
實施例2
丁酸接枝聚合物的合成以及配方
在此方法中,將2.79公克的丁酸(西格瑪-奧瑞奇公司;聖路易斯市;密蘇里州)以及15公克的縮水甘油甲基丙烯酸酯與甲基丙稀酸羥基丙基酯(GMA-HPMA)共聚物(在丙二醇甲醚中濃度為20 %),秤重到圓底燒瓶中並且開始攪拌。在攪拌的同時,加入0.18公克的苄基三乙基氯化銨。加入5.34公克的丙二醇甲醚,並且用其來沖洗側面。圓底燒瓶配有冷凝器以及氮氣入口。將反應加熱到110 °C並且保持16小時,藉以製造母液2。
接著,將0.549公克的母液2、0.3公克的Cymel® 1170、0.02公克的5-磺基水楊酸、0.03公克的1,1,1-三(4-羥基苯基)乙烷,以及0.009公克的TPS-C1溶於74.72公克的丙二醇甲醚以及174.37公克的丙二醇甲醚乙酸酯中,並且在混合輪上混合若干個小時。
實施例3
2-
(
2-
甲氧基乙氧基)乙酸接枝聚合物的合成以及配方
在此實例中,將2.79公克的2-(2-甲氧基乙氧基)乙酸(西格瑪-奧瑞奇公司;聖路易斯市;密蘇里州)以及15公克的縮水甘油甲基丙烯酸酯與甲基丙稀酸羥基丙基酯(GMA-HPMA)共聚物(在丙二醇甲醚中濃度為20 %),秤重到圓底燒瓶中並且開始攪拌。在攪拌的同時,加入0.18公克的苄基三乙基氯化銨,之後加入9.49公克的丙二醇甲醚,並且用其來沖洗側面。圓底燒瓶配有冷凝器以及氮氣入口。將反應加熱到110 °C並且保持16小時,藉以製造母液3。
接著,將0.534公克的母液3、0.3公克的Cymel® 1170、0.02公克的5-磺基水楊酸、0.03公克的1,1,1-三(4-羥基苯基)乙烷,以及0.009公克的TPS-C1溶於74.73公克的丙二醇甲醚以及174.37公克的丙二醇甲醚乙酸酯中,並且在混合輪上混合若干個小時。
實施例4
2-[2-
(
2-
甲氧基乙氧基)
]
乙酸接枝聚合物的合成以及配方
在此方法中,將5.65公克的2-(2-甲氧基乙氧基)乙酸(西格瑪-奧瑞奇公司;聖路易斯市;密蘇里州)以及15公克的縮水甘油甲基丙烯酸酯與甲基丙稀酸羥基丙基酯(GMA-HPMA)共聚物(在丙二醇甲醚中濃度為20 %),秤重到圓底燒瓶中並且開始攪拌。在攪拌的同時,加入0.18公克的苄基三乙基氯化銨,之後加入13.48公克的丙二醇甲醚,並且用其來沖洗側面。圓底燒瓶配有冷凝器以及氮氣入口。將反應加熱到110 °C並且保持16小時,藉以製造母液4。
接著,將0.59公克的母液4、0.3公克的Cymel® 1170、0.02公克的5-磺基水楊酸、0.03公克的1,1,1-三(4-羥基苯基)乙烷,以及0.01公克的TPS-C1溶於74.7公克的丙二醇甲醚以及174.3公克的丙二醇甲醚乙酸酯中,並且在混合輪上混合若干個小時。
實施例5
9-
蒽羧酸乙酸接枝聚合物的合成以及配方
在此實例中,將3.28公克的9-蒽羧酸(PCAS公司;魁北克省;加拿大)以及34.26公克的縮水甘油甲基丙烯酸酯與甲基丙稀酸羥基丙基酯共聚物(在丙二醇甲醚中濃度為20 %),秤重到圓底燒瓶中並且開始攪拌。在攪拌的同時,加入0.08公克的苄基三乙基氯化銨,之後加入2.33公克的丙二醇甲醚,並且用其來沖洗側面。圓底燒瓶配有冷凝器以及氮氣入口。將反應加熱到116 °C並且保持24小時,藉以製造母液5。
接著,將0.30公克的母液5、0.18公克的Cymel® 1170、0.003公克的5-磺基水楊酸、0.02公克的1,1,1-三(4-羥基苯基)乙烷,以及0.01公克的TPS-C1溶於74.85公克的丙二醇甲醚以及174.65公克的丙二醇甲醚乙酸酯中,並且在混合輪上混合若干個小時。
實施例6
苯乙烯-縮水甘油甲基丙烯酸酯聚合物的合成以及配方
在此實例中,將20.46公克的縮水甘油甲基丙烯酸酯(西格瑪-奧瑞奇公司;聖路易斯市;密蘇里州)、60公克的苯乙烯(西格瑪-奧瑞奇公司;聖路易斯市;密蘇里州)以及0.234公克的偶氮二異丁腈(Charkit公司;諾沃克市;康乃狄克州)秤重到圓底燒瓶中,並且以氮氣吹掃。將反應加熱到80 °C並且保持2小時。藉由沉澱到甲醇中來淬滅反應,並且收集固體以製造母液6。
接著,將4.09公克的母液6以及0.007公克的TAG2689(King Industries Specialty公司;諾沃克市;康乃狄克州)溶於14.96公克的丙二醇甲醚(KMG Electronic Chemicals公司;沃思堡市;德克薩斯州)以及130.95公克的丙二醇甲醚乙酸酯(KMG Electronic Chemicals公司;沃思堡市;德克薩斯州)中,並且在混合輪上混合若干個小時。
實施例7
巨分子的合成以及配方
在此方法中,將17.288公克的參(2,3-環氧丙基)異氰脲酸酯(tris(2,3-epoxypropyl)isocyanurate;TEPIC-S;日產化學公司;東京都;日本)、0.242公克的四丁基溴化磷(tetrabutylphosphonium bromide;Nippon化學工業有限公司;東京都;日本)、5.176公克的4-氰基-苯甲酸(Sankyo Kasei有限公司;大阪府;日本)以及15.544公克的4-羥基苯甲酸(Sankyo Kasei有限公司;大阪府;日本)秤重到圓底燒瓶中,並且溶於46.65公克的丙二醇甲醚(KMG Electronic Chemicals公司;沃思堡市;德克薩斯州)中。將反應攪拌加熱到116 °C並且保持18小時,藉以製造母液7。
接著,將0.196公克的母液7、0.098公克的Cymel® 1170(賀利氏公司;萬達利亞市;俄亥俄州)以及0.006公克的吡啶鎓對甲苯磺酸鹽(密理博公司;達姆斯塔特市;德國)溶於19.94公克的丙二醇甲醚(KMG Electronic Chemicals公司;沃思堡市;德克薩斯州)以及79.760公克的丙二醇甲醚乙酸酯(KMG Electronic Chemicals公司;沃思堡市;德克薩斯州)中,並且在混合輪上混合若干個小時。
實施例8
酯類聚合物的合成以及配方
在此實例中,將10.28公克的異三聚氰酸單烯丙基二環氧丙基酯(四國公司;德島市;日本)、5.106公克的反丁烯二酸(泰萊公司;貝德福德園;伊利諾斯州)、0.200公克的對苯二酚(西格瑪-奧瑞奇公司;聖路易斯市;密蘇里州)以及0.414公克的苄基三乙基氯化銨(阿法埃莎公司)秤重到圓底燒瓶中,並且溶於64.00公克的丙二醇甲醚(KMG Electronic Chemicals公司;沃思堡市;德克薩斯州)中。將反應加熱到110 °C並且保持8小時,藉以製造母液8。
接著,將0.1395公克的母液8、0.0349公克的Powderlink®(賀利氏公司;萬達利亞市;俄亥俄州)以及0.0007公克的5-磺基水楊酸(5-SSA;King Industries Specialty Chemicals公司;諾沃克市;康乃狄克州)溶於69.877公克的丙二醇甲醚(KMG Electronic Chemicals公司;沃思堡市;德克薩斯州)以及29.947公克的丙二醇甲醚乙酸酯(KMG Electronic Chemicals公司;沃思堡市;德克薩斯州)中,並且在混合輪上混合若干個小時。
實施例9
材料特性
使用厚的或者四氫呋喃移動相和高效能液相層析法來使聚合物的分子量和多分散性進行特性化。結果如表1所顯示。也藉由高效能液相層析法來對配方進行特性化。圖1顯示在實施例2中合成的母液的高效能液相層析法,並且圖2顯示在實施例2中的最終配方的高效能液相層析法。
表1:分子量以及多分散性
使用M2000橢圓偏光儀來測量膜厚度。圖3顯示在實施例2中配製的材料的膜厚度分布。
實施例10
微影結果
將實施例5的材料旋塗在硬遮罩(一種實驗性、接枝倍半矽氧烷的硬遮罩;Brewer Science公司;羅拉市;密蘇里州)上方,以1,241 rpm的轉速旋塗30秒,並且在205 °C中烘烤60秒,藉以形成5奈米的膜。接著,藉由旋塗來覆蓋光阻(JSR J3030,可以從捷時雅邁科公司獲得),以1,931 rpm的轉速旋塗26秒,並且在130 °C中烘烤60秒,藉以形成30奈米的塗層。接著,使用如表2中所顯示的參數來曝光光阻。光阻以及使用的成像方法也顯示在表2中。NXE3300 EUV掃描儀(可以從艾司摩爾公司獲得)係用於成像步驟,並且Pro Z軌道(可以從東京電子(TEL)獲得)係用於晶圓加工。表3顯示在光阻下方僅使用SOC和HM(請參見表3的上半部分)與在光阻下方添加有本發明的黏著層的SOC和HM(請參見表3的下半部分),兩者的微影品質的比較。使用黏著層顯著地加寬製程窗口(process window)。
表2:用於EUV微影的條件
表3:微影結果
表3顯示來自實施例5的材料的劑量-集中矩陣。x軸(亦即,頂部標題)顯示劑量(mJ/cm2
),並且y軸(亦即,最左邊的列)顯示集中範圍(微米)。「橋接(bridging)」以及「崩塌(collapse)」分別表示在單元中的點或者水平線,而單元中的垂直線係表示在目標臨界尺寸範圍的10 %範圍內的彼等垂直線。(請參照表3的底部的圖例。)具有數字但沒有單元填充或者陰影的單元(亦即,具有白色背景的數字)係表示沒有橋接或者崩塌的樣本。換句話說,彼等係理想的特徵和尺寸。沒有數字的白色單元係表示未進行測試的點。具有黑色背景的單元係在測試矩陣之外。
如表3中的結果所顯示的,當使用本發明的EUV底層時的製程窗口,與不包含本發明的EUV底層的其他相同製程的製程窗口相比,係超過兩倍(請參照具有數字但沒有陰影或者填充的單元)。
實施例11
施加到旋塗碳層的底層
將高溫旋塗碳材料施加到兩個矽晶圓上。將實施例5的材料旋塗到其中一個晶圓上的旋塗碳層上。將來自Inpria公司的含金屬EUV光阻旋塗到兩個晶片上方,並且藉由EUV微影來將此兩個晶片圖案化。如圖4中所顯示的,使用實施例5的底層的製程(請參見右圖)並未顯示線路橋接或者崩塌,然而沒有底層的製程(請參見左圖)顯示特徵尺寸為12奈米的橋接以及線路崩塌。
無
圖1為顯示實施例2的母液2的高效能液相層析法的特性分析的圖;
圖2為顯示實施例2的最終配方的高效能液相層析法的特性分析的圖;
圖3為描述實施例2的材料的膜厚度和均勻性的圖像;以及
圖4為實施例11的微影堆疊以及微影結果的圖像。
Claims (31)
- 一種形成結構之方法,該方法包含:提供基材,該基材在其上包含一或多個中間層,該一或多個中間層包含:旋塗碳層;硬遮罩層,其包含矽烷類、矽氧烷類、倍半矽氧烷類(silsesquioxane)、氮氧化矽、多晶矽或非晶矽中之一或多者;或該旋塗碳層及在該旋塗碳層上之該硬遮罩層兩者;在該旋塗碳層或該硬遮罩層上旋塗組成物,該組成物包含:聚合物,其包含選自由以下所組成群組的重複單體:乙烯基類單體,其選自由以下所組成的群組:縮水甘油丙烯酸酯、縮水甘油甲基丙烯酸酯以及其組合;丙烯酸類單體,其選自由以下所組成的群組:丙烯酸2-羥基-3-苯氧基丙酯、甲基丙烯酸羥丙酯、甲基丙烯酸2-羥乙酯、丙烯酸2-羥乙酯、甲基丙烯酸第三丁酯以及其組合;苯乙烯類單體;及其組合;烘烤該組成物以形成黏著層,該黏著層具有大於單層但小於9奈米的平均厚度,並且具有小於約0.001重量%的金屬含量,以該黏著層的總重量為100重量%計;形成光阻層在該黏著層上;以及使該光阻層的至少一部分經受EUV輻射。
- 如申請專利範圍第1項所述之方法,其中該基材係選自由以下所組成的群組:矽、矽鍺、二氧化矽、氮化矽(Si3N4)、氮氧化矽(SiON)、鋁、鎢、矽化鎢、砷化鎵、鍺、鉭、氮化鉭、氮化鈦(Ti3N4)、鉿、二氧化鉿、釕、 磷化銦、珊瑚、黑色金剛石、玻璃以及前述的混合。
- 如申請專利範圍第1項所述之方法,其中該黏著層具有金屬含量約為0重量%。
- 如申請專利範圍第1項所述之方法,其中該聚合物進一步包含重複的乙烯基類單體,其與選自由以下所組成群組的部分接枝:具有羧酸部分的發色基、烷基羧酸類、芳族羧酸類、具有羧酸部分的醚類以及前述的組合。
- 如申請專利範圍第1項所述之方法,其中該組成物進一步包含具有選自由以下所組成群組的部分之化合物:具有羧酸部分的發色基、烷基羧酸類、芳族羧酸類、具有羧酸部分的醚類以及前述的組合。
- 如申請專利範圍第1項所述之方法,其中該光阻層包含金屬。
- 如申請專利範圍第1項所述之方法,其中該光阻層不包含金屬。
- 如申請專利範圍第1項所述之方法,其中該使該光阻層經受EUV輻射係以從約5mJ/cm2到約100mJ/cm2之間的劑量來進行。
- 如申請專利範圍第1項所述之方法,進一步包含在該使該光阻層經受EUV輻射之後,形成圖案在該光阻層中。
- 如申請專利範圍第9項所述之方法,進一步包含轉移該圖案至該黏著層;轉移該圖案至該一或多個中間層;以及轉移該圖案至該基材。
- 如申請專利範圍第10項所述之方法,其中該形成圖案在該光阻層中包含使該光阻層與顯影劑接觸,以便移除該光阻層的一部分。
- 如申請專利範圍第11項所述之方法,其中該轉移該圖案包含蝕刻該黏著層;蝕刻該一或多個中間層;以及蝕刻該基材。
- 如申請專利範圍第10項所述之方法,其中該圖案具有小於約40奈米半節距(half pitch)的解析度。
- 如申請專利範圍第1項所述之方法,其中該一或多個中間層包含 該硬遮罩層。
- 如申請專利範圍第14項所述之方法,其中該一或多個中間層進一步包含該旋塗碳層,該硬遮罩層在該旋塗碳層上。
- 如申請專利範圍第1項所述之方法,其中該一或多個中間層包含該旋塗碳層。
- 如申請專利範圍第1項所述之方法,其中該光阻層包含金屬氧化物。
- 一種形成結構之方法,該方法包含:提供基材,該基材在其上包含一或多個中間層,該一或多個中間層包含:旋塗碳層;硬遮罩層,其包含矽烷類、矽氧烷類、倍半矽氧烷類、氮氧化矽、氮化矽、多晶矽或非晶矽中之一或多者;或該旋塗碳層及該硬遮罩層兩者;在該旋塗碳層或該硬遮罩層上旋塗組成物,其中該組成物包含:聚合物,其包含選自由以下所組成群組的重複單體:乙烯基類單體,其選自由以下所組成的群組:縮水甘油丙烯酸酯、縮水甘油甲基丙烯酸酯以及其組合;丙烯酸類單體,其選自由以下所組成的群組:丙烯酸2-羥基-3-苯氧基丙酯、甲基丙烯酸羥丙酯、甲基丙烯酸2-羥乙酯、丙烯酸2-羥乙酯、甲基丙烯酸第三丁酯以及其組合;苯乙烯類單體;及其組合;烘烤該組成物以形成黏著層,該黏著層為非導電性的,並且具有大於單層但小於9奈米的平均厚度; 形成光阻層在該黏著層上;以及使該光阻層的至少一部分經受EUV輻射。
- 如申請專利範圍第18項所述之方法,其中該基材係選自由以下所組成的群組:矽、矽鍺、二氧化矽、氮化矽(Si3N4)、氮氧化矽(SiON)、鋁、鎢、矽化鎢、砷化鎵、鍺、鉭、氮化鉭、氮化鈦(Ti3N4)、鉿、二氧化鉿、釕、磷化銦、珊瑚、黑色金剛石、玻璃以及前述的混合。
- 如申請專利範圍第18項所述之方法,其中該聚合物進一步包含重複的乙烯基類單體,其與選自由以下所組成群組的部分接枝:具有羧酸部分的發色基、烷基羧酸類、芳族羧酸類、具有羧酸部分的醚類以及前述的組合。
- 如申請專利範圍第18項所述之方法,其中該組成物進一步包含具有選自由以下所組成群組的部分的化合物:具有羧酸部分的發色基、烷基羧酸類、芳族羧酸類、具有羧酸部分的醚類以及前述的組合。
- 如申請專利範圍第18項所述之方法,其中該光阻層包含金屬。
- 如申請專利範圍第18項所述之方法,其中該光阻層不包含金屬。
- 如申請專利範圍第18項所述之方法,其中該使該光阻層經受EUV輻射係以從約5mJ/cm2到約100mJ/cm2之間的劑量來進行。
- 如申請專利範圍第18項所述之方法,進一步包含在該使該光阻層經受EUV輻射之後,形成圖案在該光阻層中。
- 如申請專利範圍第25項所述之方法,進一步包含轉移該圖案至該黏著層;轉移該圖案至該一或多個中間層;以及轉移該圖案至該基材。
- 如申請專利範圍第25項所述之方法,其中該圖案具有小於約40奈米半節距的解析度。
- 如申請專利範圍第18項所述之方法,其中該一或多個中間層包含該硬遮罩層。
- 如申請專利範圍第28項所述之方法,其中該一或多個中間層進一步包含該旋塗碳層,該硬遮罩層在該旋塗碳層上。
- 如申請專利範圍第18項所述之方法,其中該一或多個中間層包含該旋塗碳層。
- 如申請專利範圍第18項所述之方法,其中該光阻層包含金屬氧化物。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862684359P | 2018-06-13 | 2018-06-13 | |
US62/684,359 | 2018-06-13 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202001405A TW202001405A (zh) | 2020-01-01 |
TWI843733B true TWI843733B (zh) | 2024-06-01 |
Family
ID=68838769
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108120498A TWI843733B (zh) | 2018-06-13 | 2019-06-13 | 用於euv微影之黏著層 |
Country Status (7)
Country | Link |
---|---|
US (2) | US11972948B2 (zh) |
EP (1) | EP3807721A4 (zh) |
JP (1) | JP7348210B2 (zh) |
KR (1) | KR20210010587A (zh) |
CN (1) | CN112368645B (zh) |
TW (1) | TWI843733B (zh) |
WO (1) | WO2019241402A1 (zh) |
Families Citing this family (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP7348210B2 (ja) * | 2018-06-13 | 2023-09-20 | ブルーワー サイエンス アイ エヌ シー. | Euvリソグラフィ用接着層 |
KR20240104192A (ko) | 2018-11-14 | 2024-07-04 | 램 리써치 코포레이션 | 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들 |
WO2020223011A1 (en) | 2019-04-30 | 2020-11-05 | Lam Research Corporation | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement |
TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
US20210011383A1 (en) * | 2019-07-12 | 2021-01-14 | Inpria Corporation | Stabilized interfaces of inorganic radiation patterning compositions on substrates |
JP7189375B2 (ja) | 2020-01-15 | 2022-12-13 | ラム リサーチ コーポレーション | フォトレジスト接着および線量低減のための下層 |
US12002675B2 (en) * | 2020-06-18 | 2024-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist layer outgassing prevention |
US20220102200A1 (en) * | 2020-09-30 | 2022-03-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning material including carbon-containing layer and method for semiconductor device fabrication |
JPWO2022196259A1 (zh) * | 2021-03-15 | 2022-09-22 | ||
US11955336B2 (en) * | 2021-04-23 | 2024-04-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a semiconductor device |
CN113708216A (zh) * | 2021-07-26 | 2021-11-26 | 威科赛乐微电子股份有限公司 | 一种垂直共振腔面射型激光器的制备方法 |
JP2024027460A (ja) * | 2022-08-17 | 2024-03-01 | 信越化学工業株式会社 | パターン形成方法 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090197086A1 (en) * | 2008-02-04 | 2009-08-06 | Sudha Rathi | Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography |
KR20100042959A (ko) * | 2008-10-17 | 2010-04-27 | 주식회사 하이닉스반도체 | 반도체 소자의 패턴 형성 방법 |
US20160026083A1 (en) * | 2013-04-05 | 2016-01-28 | Fujifilm Corporation | Pattern forming method and method for manufacturing electronic device |
TWI603145B (zh) * | 2014-12-31 | 2017-10-21 | 羅門哈斯電子材料有限公司 | 光微影方法 |
US9892915B2 (en) * | 2015-12-24 | 2018-02-13 | Samsung Electronics Co., Ltd. | Hard mask composition, carbon nanotube layer structure, pattern forming method, and manufacturing method of semiconductor device |
Family Cites Families (61)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH10227986A (ja) | 1997-02-17 | 1998-08-25 | Hitachi Ltd | 光スイッチとその製造方法及び光スイッチを用いた光通信機器 |
US5919599A (en) | 1997-09-30 | 1999-07-06 | Brewer Science, Inc. | Thermosetting anti-reflective coatings at deep ultraviolet |
US7361444B1 (en) | 1998-02-23 | 2008-04-22 | International Business Machines Corporation | Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof |
JP2000206680A (ja) | 1999-01-14 | 2000-07-28 | Mitsubishi Chemicals Corp | 感光性組成物、感光性平版印刷版及び感光性平版印刷版の製版方法 |
US7736833B2 (en) | 1999-02-23 | 2010-06-15 | International Business Machines Corporation | Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof |
JP4299921B2 (ja) | 1999-07-05 | 2009-07-22 | 関西ペイント株式会社 | ポジ型可視光感光性樹脂組成物及びそれを用いたレジストパターン形成方法 |
TW513745B (en) | 2000-06-06 | 2002-12-11 | Ekc Technology Inc | Method of fabricating a hard mask |
EP1392508B1 (en) | 2001-04-17 | 2018-10-10 | Brewer Science, Inc. | Anti-reflective coating composition with improved spin bowl compatibility |
US6809127B2 (en) | 2001-10-04 | 2004-10-26 | Cognis Corporation | Radiation curable compositions with enhanced adhesion |
US7323289B2 (en) | 2002-10-08 | 2008-01-29 | Brewer Science Inc. | Bottom anti-reflective coatings derived from small core molecules with multiple epoxy moieties |
KR100564694B1 (ko) | 2004-01-16 | 2006-03-30 | 삼성전자주식회사 | (디클로로테트라메틸)디실라잔 화합물과 이를 이용한 접착력 향상방법 및 포토레지스트 패턴의 형성방법 |
US20050279995A1 (en) | 2004-06-21 | 2005-12-22 | Samsung Electronics Co., Ltd. | Composition for preparing organic insulating film and organic insulating film prepared from the same |
US8709705B2 (en) * | 2004-12-13 | 2014-04-29 | Pryog, Llc | Metal-containing compositions and method of making same |
WO2006134206A2 (en) | 2005-06-13 | 2006-12-21 | Silecs Oy | Functionalized silane monomers with bridging hydrocarbon group and siloxane polymers of the same |
CN101218539B (zh) * | 2005-07-05 | 2011-07-13 | 日立化成工业株式会社 | 感光性粘接剂组合物、以及使用其所得粘接薄膜、粘接薄片、贴有粘接剂层的半导体晶圆、半导体装置及电子零件 |
US7396631B2 (en) | 2005-10-07 | 2008-07-08 | 3M Innovative Properties Company | Radiation curable thermal transfer elements |
US20090047517A1 (en) | 2007-06-27 | 2009-02-19 | Francesco Caruso | Multilayer polymer films |
KR101236081B1 (ko) | 2007-10-17 | 2013-02-21 | 프린스턴 유니버시티 | 작용화된 기판 및 그의 제조 방법 |
KR100928111B1 (ko) | 2007-11-30 | 2009-11-24 | 주식회사 동부하이텍 | 반도체 소자의 제조 방법 |
US8163461B2 (en) | 2008-04-09 | 2012-04-24 | Cornell Research Foundation, Inc. | Photoacid generator compounds and compositions |
DE112009000979B4 (de) | 2008-04-23 | 2014-12-11 | Brewer Science, Inc. | Photoempfindliche Hartmaske für die Mikrolithographie |
KR20090117324A (ko) | 2008-05-09 | 2009-11-12 | 삼성전자주식회사 | 반도체 소자의 형성을 위한 포토레지스트의 패터닝 방법 |
US8257910B1 (en) | 2008-06-24 | 2012-09-04 | Brewer Science Inc. | Underlayers for EUV lithography |
US8207264B2 (en) | 2008-07-11 | 2012-06-26 | Tyco Healthcare Group Lp | Functionalized inclusion complexes as crosslinkers |
US9176377B2 (en) | 2010-06-01 | 2015-11-03 | Inpria Corporation | Patterned inorganic layers, radiation based patterning compositions and corresponding methods |
KR101762348B1 (ko) * | 2010-06-11 | 2017-07-27 | 가부시키가이샤 아데카 | 규소 함유 경화성 조성물, 그 규소 함유 경화성 조성물의 경화물 및 그 규소 함유 경화성 조성물로 형성되는 리드 프레임 기판 |
JP5820676B2 (ja) | 2010-10-04 | 2015-11-24 | ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC | 下層組成物および下層を像形成する方法 |
SG10201607603VA (en) | 2011-10-10 | 2016-11-29 | Brewer Science Inc | Spin-on carbon compositions for lithographic processing |
KR102061919B1 (ko) | 2011-11-21 | 2020-01-02 | 브레우어 사이언스 인코포레이션 | Euv 리소그래피용 보조층 |
US10838123B2 (en) * | 2012-01-19 | 2020-11-17 | Supriya Jaiswal | Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications |
US8703386B2 (en) | 2012-02-27 | 2014-04-22 | International Business Machines Corporation | Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications |
JP6065235B2 (ja) | 2012-03-08 | 2017-01-25 | 日産化学工業株式会社 | 高密着性レジスト下層膜形成用組成物 |
ES2743912T3 (es) | 2012-11-05 | 2020-02-21 | Dow Global Technologies Llc | Composiciones de polímeros funcionalizados y películas formadas a partir de los mismos |
CN104937493B (zh) | 2013-01-24 | 2019-11-08 | 日产化学工业株式会社 | 光刻用抗蚀剂上层膜形成用组合物和半导体装置制造方法 |
US9310684B2 (en) | 2013-08-22 | 2016-04-12 | Inpria Corporation | Organometallic solution based high resolution patterning compositions |
JP6368956B2 (ja) * | 2013-08-28 | 2018-08-08 | 日産化学株式会社 | レジスト下層膜を適用したパターン形成方法 |
JP6323456B2 (ja) | 2013-09-11 | 2018-05-16 | Jsr株式会社 | 多層レジストプロセス用無機膜形成組成物及びパターン形成方法 |
US9372402B2 (en) | 2013-09-13 | 2016-06-21 | The Research Foundation For The State University Of New York | Molecular organometallic resists for EUV |
JP6196897B2 (ja) | 2013-12-05 | 2017-09-13 | 東京応化工業株式会社 | ネガ型レジスト組成物、レジストパターン形成方法及び錯体 |
JP6010564B2 (ja) * | 2014-01-10 | 2016-10-19 | 信越化学工業株式会社 | 化学増幅型ネガ型レジスト組成物及びパターン形成方法 |
US20150234272A1 (en) | 2014-02-14 | 2015-08-20 | Intel Corporation | Metal oxide nanoparticles and photoresist compositions |
WO2015146523A1 (ja) | 2014-03-24 | 2015-10-01 | Jsr株式会社 | パターン形成方法、樹脂及びレジスト下層膜形成組成物 |
KR102696070B1 (ko) | 2014-10-23 | 2024-08-16 | 인프리아 코포레이션 | 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 |
WO2016080226A1 (ja) | 2014-11-19 | 2016-05-26 | 日産化学工業株式会社 | 架橋反応性シリコン含有膜形成組成物 |
US9543159B2 (en) | 2015-03-27 | 2017-01-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning process of a semiconductor structure with a wet strippable middle layer |
WO2016208300A1 (ja) | 2015-06-24 | 2016-12-29 | 富士フイルム株式会社 | パターン形成方法、積層体、及び、有機溶剤現像用レジスト組成物 |
EP3356445B1 (en) | 2015-09-30 | 2021-01-06 | E Ink Corporation | Polyurethane adhesive layers for electro-optic assemblies |
KR102508142B1 (ko) | 2015-10-13 | 2023-03-08 | 인프리아 코포레이션 | 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝 |
US9996004B2 (en) | 2015-11-20 | 2018-06-12 | Lam Research Corporation | EUV photopatterning of vapor-deposited metal oxide-containing hardmasks |
JP2017181639A (ja) | 2016-03-29 | 2017-10-05 | 株式会社先端ナノプロセス基盤開発センター | パターン形成方法および半導体素子の製造方法 |
JP6534959B2 (ja) | 2016-04-21 | 2019-06-26 | 信越化学工業株式会社 | 有機膜の形成方法及び半導体装置用基板の製造方法 |
KR20230166158A (ko) | 2016-08-12 | 2023-12-06 | 인프리아 코포레이션 | 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법 |
JP6741540B2 (ja) * | 2016-09-28 | 2020-08-19 | 東京応化工業株式会社 | 基板の表面物性を制御する方法 |
US9929012B1 (en) | 2016-12-14 | 2018-03-27 | International Business Machines Corporation | Resist having tuned interface hardmask layer for EUV exposure |
US10082736B2 (en) * | 2017-01-13 | 2018-09-25 | International Business Machines Corporation | Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning |
US10096477B2 (en) | 2017-02-15 | 2018-10-09 | International Business Machines Corporation | Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography |
KR20240019399A (ko) | 2017-11-20 | 2024-02-14 | 인프리아 코포레이션 | 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용 |
TWI814552B (zh) | 2018-04-05 | 2023-09-01 | 美商英培雅股份有限公司 | 錫十二聚物及具有強euv吸收的輻射可圖案化塗層 |
US10381481B1 (en) * | 2018-04-27 | 2019-08-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-layer photoresist |
JP7348210B2 (ja) * | 2018-06-13 | 2023-09-20 | ブルーワー サイエンス アイ エヌ シー. | Euvリソグラフィ用接着層 |
TW202016279A (zh) | 2018-10-17 | 2020-05-01 | 美商英培雅股份有限公司 | 圖案化有機金屬光阻及圖案化的方法 |
-
2019
- 2019-06-12 JP JP2020567988A patent/JP7348210B2/ja active Active
- 2019-06-12 KR KR1020207037683A patent/KR20210010587A/ko not_active Application Discontinuation
- 2019-06-12 EP EP19820156.8A patent/EP3807721A4/en active Pending
- 2019-06-12 WO PCT/US2019/036791 patent/WO2019241402A1/en unknown
- 2019-06-12 US US16/439,377 patent/US11972948B2/en active Active
- 2019-06-12 CN CN201980039710.6A patent/CN112368645B/zh active Active
- 2019-06-13 TW TW108120498A patent/TWI843733B/zh active
-
2024
- 2024-03-18 US US18/607,956 patent/US20240222122A1/en active Pending
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090197086A1 (en) * | 2008-02-04 | 2009-08-06 | Sudha Rathi | Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography |
KR20100042959A (ko) * | 2008-10-17 | 2010-04-27 | 주식회사 하이닉스반도체 | 반도체 소자의 패턴 형성 방법 |
US20160026083A1 (en) * | 2013-04-05 | 2016-01-28 | Fujifilm Corporation | Pattern forming method and method for manufacturing electronic device |
TWI603145B (zh) * | 2014-12-31 | 2017-10-21 | 羅門哈斯電子材料有限公司 | 光微影方法 |
US9892915B2 (en) * | 2015-12-24 | 2018-02-13 | Samsung Electronics Co., Ltd. | Hard mask composition, carbon nanotube layer structure, pattern forming method, and manufacturing method of semiconductor device |
Also Published As
Publication number | Publication date |
---|---|
US20240222122A1 (en) | 2024-07-04 |
TW202001405A (zh) | 2020-01-01 |
JP7348210B2 (ja) | 2023-09-20 |
US20190385837A1 (en) | 2019-12-19 |
KR20210010587A (ko) | 2021-01-27 |
CN112368645A (zh) | 2021-02-12 |
EP3807721A4 (en) | 2022-04-13 |
EP3807721A1 (en) | 2021-04-21 |
US11972948B2 (en) | 2024-04-30 |
WO2019241402A1 (en) | 2019-12-19 |
JP2021527949A (ja) | 2021-10-14 |
CN112368645B (zh) | 2024-07-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI843733B (zh) | 用於euv微影之黏著層 | |
JP4042981B2 (ja) | リソグラフィ用反射防止ハードマスク組成物およびそれを用いた半導体デバイスの製造方法 | |
US8968989B2 (en) | Assist layers for EUV lithography | |
US9291909B2 (en) | Composition comprising a polymeric thermal acid generator and processes thereof | |
JP4488234B2 (ja) | ハードマスク用塗布型窒化膜形成組成物 | |
US8445187B2 (en) | Hardmask composition having antireflective properties and method of patterning material on substrate using the same | |
US7648820B2 (en) | Antireflective hardmask and uses thereof | |
TWI499869B (zh) | 下層膜材料及圖案形成方法 | |
TWI498361B (zh) | 下層膜材料及圖案形成方法 | |
US7989145B2 (en) | Method for forming fine pattern of semiconductor device | |
KR102115442B1 (ko) | 감광성의 현상제-가용성 하부 반사-방지 코팅 재료 | |
US8257910B1 (en) | Underlayers for EUV lithography | |
US20060134547A1 (en) | Low refractive index polymers as underlayers for silicon-containing photoresists | |
TW201407265A (zh) | 用於導引自組裝之矽硬遮罩層 | |
JP2014507795A (ja) | 高度なパターン形成に必要な小型フィーチャのパターン形成プロセス | |
CN114556528A (zh) | 用于euv光刻的底层 | |
TW202434987A (zh) | 用於euv微影之黏著層 | |
US20220195238A1 (en) | Chemically homogeneous silicon hardmasks for lithography | |
US20240134281A1 (en) | Euv-induced condensation of polysiloxane sol-gel thin film |