TWI832333B - 半導體結構與其形成方法 - Google Patents

半導體結構與其形成方法 Download PDF

Info

Publication number
TWI832333B
TWI832333B TW111126797A TW111126797A TWI832333B TW I832333 B TWI832333 B TW I832333B TW 111126797 A TW111126797 A TW 111126797A TW 111126797 A TW111126797 A TW 111126797A TW I832333 B TWI832333 B TW I832333B
Authority
TW
Taiwan
Prior art keywords
ring
fin
contact
epitaxial
gate
Prior art date
Application number
TW111126797A
Other languages
English (en)
Other versions
TW202312293A (zh
Inventor
賴彥良
陳春宇
張永豐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202312293A publication Critical patent/TW202312293A/zh
Application granted granted Critical
Publication of TWI832333B publication Critical patent/TWI832333B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本發明提供半導體結構。半導體結構包括基板;以及密封環區,封閉基板上的電路區。密封環區更包括鰭狀物環,自基板凸起且具有第一寬度;隔離環,位於基板上並與鰭狀物環相鄰;閘極環,位於鰭狀物環上且具有第二寬度,且第二寬度小於第一寬度;磊晶環,位於鰭狀物環與隔離環之間;以及接點環,著陸於磊晶環與隔離環上。鰭狀物環、隔離環、磊晶環、與接點環各自的延伸方向彼此平行且完全圍繞電路區以形成封閉圈。

Description

半導體結構與其形成方法
本發明實施例一般關於半導體結構與製作製程,更特別關於半導體結構的密封環區,其可與具有全繞式閘極電晶體的半導體結構的電路區相容。
在半導體技術中,經由多種製作步驟處理半導體晶圓以形成積體電路。數個電路或積體電路晶粒通常形成於相同半導體晶圓上。接著切割晶圓以分開形成其上的電路。為了避免環境濕氣、離子汙染、與切割製程損傷,形成密封環於每一積體電路晶粒周圍。在製作含有電路的許多層的製程時形成密封環,包括前段製程與後段製程。前段製程包括形成電晶體、電容器、二極體、及/或電阻於半導體基板上。後段製程包括形成金屬層內連線與通孔,其可提供佈線至前段製程的構件。
雖然現有的密封環結構與製作方法通常適用於其預期目的,仍需改善密封環結構。舉例來說,需要改善全繞式裝置如奈米片裝置中的密封環穩定性。
本發明一例子關於半導體結構。半導體結構包括:基板;以及密封環區,封閉基板上的電路區。密封環區更包括:鰭狀物環,自基板凸起且具有第一寬度;隔離環,位於基板上並與鰭狀物環相鄰;閘極環,位於鰭狀物環上且具有第二寬度,其中第二寬度小於第一寬度;磊晶環,位於鰭狀物環與隔離環之間;以及接點環,著陸於磊晶環與隔離環上。鰭狀物環、隔離環、磊晶環、與接點環各自的延伸方向彼此平行且完全圍繞電路區以形成封閉圈。
本發明另一例子關於半導體結構。半導體結構包括基板,其包括密封環區封閉電路區。密封環區更包括鰭狀物環,包括交錯的多個第一半導體層與多個第二半導體層的堆疊位於基板上,其中鰭狀物環封閉電路區;閘極環,著陸於鰭狀物環上,其中閘極環圍繞電路區,且其中閘極環的縱向邊緣在上視圖中位於鰭狀物環的縱向邊緣之內;以及接點環,部分地著陸於鰭狀物環上,其中接點環封閉電路區。
本發明又一例子關於半導體結構的形成方法。方法包括提供半導體基板,其包括密封環區封閉電路區;形成堆疊環,包括多個第一半導體層與多個第二半導體層交錯堆疊於半導體基板上,其中堆疊環具有第一上表面;形成隔離環以與半導體基板上的該堆疊相鄰,其中隔離環具有第二上表面;形成閘極環於堆疊環上,其中閘極環覆蓋第一上表面的一部分,且沿著所有的堆疊環縱向延伸;以及形成接點環於堆疊環與隔離環上。接點環覆蓋第二上表面的一部分。堆疊環、隔離環、閘極環、與接點環各自形成封閉環狀於密封環區中,以封閉電路區。
c1-c1,c2-c2,c3-c3:中心線
w1,w2,w3,w4,w5,w6,w7,w8,w9:寬度
100:半導體結構
120:基板
200:電路區
220:鰭狀物結構
240:閘極結構
280:接點
300:密封環區
302:區域
304:外側角落密封環結構
306:內側角落密封環結構
320:鰭狀物環
321:堆疊
322,324:半導體層
323,327:中心環
333,381:介電層
325:硬遮罩
326:內側間隔物
330:基底隔離環
331:覆層
332:虛置鰭狀物環
334:介電蓋
336:隔離環
340:閘極環
342:閘極介電層
344:閘極間隔物
346:閘極
360:第一磊晶環
361:溝槽
362:第二磊晶環
380:接點環
382:接點蝕刻停止層
383:多晶矽環
384:層間介電層
385:硬遮罩層
387:虛置閘極環
500:方法
502,504,506,508,510,512,514,516,518,520:步驟
圖1係本發明多種實施例中,具有封環區的半導體結構的上視圖。
圖2係本發明多種實施例中,圖1中的區域202的放大上視圖。
圖3係本發明多種實施例中,圖1中的區域302的放大上視圖。
圖4A及4B係本發明多種實施例中,圖1中的半導體結構的上視圖。
圖5係製造圖1中的半導體結構的方法的流程圖。
圖6、7、8、9、10、11、12、13、14、15、16、及17係多種實施例中,圖1中的半導體結構於圖5中的方法500的多種製作階段的剖視圖。
圖18、19、20、21、22、及23係本發明多種實施例中,圖1中的半導體結構的剖視圖。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下側」、「上側」、「水平」、「垂 直」、「之上」、「之下」、「上」、「下」、「頂部」、「底部」、或類似用語以及衍生用語(如「水平地」、「向下地」、「向上地」、或類似用語)可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/-10%。舉例來說,用語「約5nm」包含的尺寸範圍為4.5nm至5.5nm或4.0nm至5.0nm。
本發明實施例一般關於半導體結構與製作製程,更特別關於半導體結構的密封環區,其可與具有全繞式閘極電晶體的半導體結構的電路區相容。換言之,密封環區圍繞含有全繞式閘極電晶體的一或多個電路區。全繞式閘極電晶體(或全繞式閘極裝置)指的是垂直堆疊的水平取向的多通道電晶體,比如奈米線電晶體或奈米片電晶體。全繞式閘極電晶體有望將互補式金氧半技術帶入下一階段,因為其閘極控制能力較佳、漏電流較低、且可與鰭狀場效電晶體裝置布局完全相容。然而許多挑戰仍存在,比如如何製造可信的密封環區以與製造全繞式閘極電晶體的製程相容。本發明實施例提供這些密封環區。
在本發明一實施例中,一開始提供的密封環區具有堆疊的半導體層(如交錯堆疊的矽層與矽鍺層)與虛置閘極結構(比如多晶矽閘極)位於堆疊的半導體層上。接著對密封環區與電路區同時進行其他電晶體形成製程,比如形成鰭狀物、形成隔離結構於鰭狀物之間、蝕刻鰭狀物以形成溝槽、形成磊晶結構於溝槽中、以及將犧牲閘極結構置換成金屬閘極結構。之後可進行後段製程。此實施例可選擇性地進行一或多道上述電晶體形成製程於密封環區中,以改善密封環區的穩定性與可信度。
密封環區中的鰭狀物、隔離結構、溝槽、磊晶結構、犧牲閘極結構、與金屬閘極結構可各自形成為封閉環狀(圈狀)以封閉整個電路區,因此亦可分別視作鰭狀物環、隔離環、溝槽環、磊晶環、犧牲閘極環、與金屬閘極環。另一方面,裝置區中的鰭狀物、隔離結構、溝槽、磊晶結構、犧牲閘極結構、與金屬閘極結構形成為平直線路,且密封環區中的鰭狀物環、隔離環、溝槽環、磊晶環、犧牲閘極環、與金屬閘極環可各自封閉裝置區中的上述單元。此實施例可提供半導體結構,其包括鰭狀物環、隔離環、溝槽環、磊晶環、與金屬閘極環,且其具有改良的穩定性與可信度。
本技術領域中具有通常知識者應理解,本發明實施例可輕易作為設計或調整其他製程與結構的基礎,以執行此處所述的實施例的相同目的及/或達到相同優點。
圖1係本發明實施例中,半導體結構100的上視圖。半導體結構100(如製造的晶圓或其部分)包括密封環區300,其封閉電路區200(或裝置區或積體電路晶粒)。在一些實施例中,半導體結構100可包括其他密封環區以封閉密封環區300,或密封環區300所密封的其他密封環區。密封環區300亦可封閉其他電路區。電路區200可包括任何電路,比如記憶體、處理器、發射器、接收器、或類似物。電路區200的實際功能不限於本發明實施例所述的功能。在本發明實施例中,電路區200包括全繞式閘極電晶體。
在此實施例中,密封環區300具有矩形或實質上矩形的周邊。密封環區300更包括四個外側角落密封環結構304,與四個內側角落密封環結構306於矩形或實質上矩形周邊的四個內部角落。在一實施例中,外側角落密封環結構304為三角形或實質上三角形,而內側角落密封環結構306為梯形或實質上梯 形。外側角落密封環結構304與內側角落密封環結構306可對密封環區300提供多種機械與結構優點,比如在切割製程時避免層狀物剝離於晶片角落。在其他實施例中,密封環區300中可省略外側角落密封環結構304與內側角落密封環結構306。此外,密封環區300可為非矩形。在此實施例中,密封環區300完全圍繞(或封閉)電路區200而型程密封(或封閉)環(或圈)。在其他實施例中,密封環區300可提供開口於選定層中的選定位置,以形成內連線於電路區200與其他電路區(未圖示於圖1)之間。
如圖2所示,電路區包括鰭狀物結構220(半導體層),其長度方向沿著x方向;且更包括閘極結構240與接點280,其長度方向沿著y方向。上述單元可形成矩陣,且電晶體如全繞式閘極電晶體可形成於鰭狀物結構220與閘極結構240之間的交會處。
圖3係區域302的放大圖,其中密封環區300包括鰭狀物環320(或半導體環)、閘極環340位於鰭狀物環320上、以及接點環380部分地位於鰭狀物環320上。鰭狀物環320、閘極環340、與接點環380(以及圖17所示的基底隔離環330、虛置鰭狀物環332、介電蓋334、第一磊晶環360、與第二磊晶環362)形成封閉環狀(或圈狀)以完全圍繞所有的電路區200。在所述實施例中,閘極環340在上視圖中可各自完全地位於半導體層324的邊界中。閘極環340的縱向邊緣在鰭狀物環320的縱向邊緣之內。換言之,閘極環340可橫跨於鰭狀物環320的寬度之內。鰭狀物環320的中心線c1-c1可與閘極環340的中心線c2-c2重疊。在上視圖中,閘極環340各自的寬度w2可比鰭狀物環320的寬度w1窄。在一些實施例中,寬度w2為寬度w1的約25%至約40%。在一例中,寬度w1為約200nm至約400nm,而寬度w2為約50nm至約150nm。可沿著垂直於鰭狀物環320與閘極環340的縱向邊緣 的方向,分別量測寬度w1與寬度w2
如圖3所示,每一接點環380部分地位於鰭狀物環320上。每一接點環380的第一縱向邊緣在鰭狀物環320的縱向邊緣之內。每一接點環380的第二縱向邊緣在鰭狀物環320的縱向邊緣之外(或超出鰭狀物環320的縱向邊緣)。接點環380的中心線c3-c3可與鰭狀物環320的縱向邊緣重疊,比如較靠近電路區200的縱向邊緣,如圖3所示。每一接點環380的寬度w3小於寬度w1。在一些實施例中,寬度w3為寬度w1的約15%至約25%。在一例中,寬度w3為約40nm至約80nm。鰭狀物環320、閘極環340、與接點環380設置以提供後續形成其上的內連線所用的較佳(如較寬)著陸,進而改善密封環區300的穩定性與可信度。沿著垂直於接點環380的縱向邊緣的方向,可量測寬度w3
圖4A及4B係鰭狀物環320、鰭狀物環320上的閘極環340、與部分地位於所有電路區200周圍的鰭狀物環320上的接點環380的上視圖。在其他實施例中,密封環區300可包括多個鰭狀物環320、閘極環340、及/或接點環380。鰭狀物環320、閘極環340、與接點環380為同心環,其各自形成封閉圈以圍繞電路區200。閘極環340與鰭狀物環320重疊,且接點環與鰭狀物環320部分地重疊,而閘極環340與接點環380隔開。如圖4A及4B所示,鰭狀物環320與閘極環340共用相同的中心環323。在圖4A所示的實施例中,接點環的中心環327為鰭狀物環320的內部邊緣。在圖4B所示的實施例中,接點環的中心環327可為鰭狀物環320的外部邊緣。在一些實施例中,第一接點環380可位於鰭狀物環320的內部邊緣上,而第二接點環380可位於鰭狀物環320的外部邊緣上。在一些實施例中,閘極堆疊的中心環不對準鰭狀物環320的中心環,如圖19及23所示。此處所述的中心環定義為將鰭狀物環320、閘極環340、或接點環380縱向切割成兩部分的封閉 環,其中兩個部分沿著垂直於中心線的直線量測的寬度相同。
圖5係本發明多種實施例中,製作半導體結構100所用的方法500的流程圖。可在方法500之前、之中、與之後提供額外步驟,且方法500的額外實施例可調換、取代、或省略一些所述步驟。在一些實施例中,方法500將搭配圖6至23說明如下,其可為密封環區300中的半導體結構100於方法500的多種製作步驟的剖視圖。可對電路區200同時進行相同製程。在電路區200中進行方法500的細節已揭露於相同申請人申請的美國專利申請案,其名稱為「Seal Ring for Semiconductor Device with Gate-All-Around Transistors」,申請號為17/723,193,而申請日為2022年4月18日。方法500在密封環區300中形成的構件如鰭狀物環320、閘極環340、第一磊晶環360、第二磊晶環362、與接點環380,不具有電性功能如電晶體。相反地,密封環區300中的構件可與形成其上的內連線一起密封並保護電路區200。
如圖5及6所示,方法500的步驟502形成半導體層322及324的堆疊321於基板120上。在此實施例中,基板120為矽基板。在多種實施例中,基板120可改為包含其他半導體材料如鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、磷砷化鎵銦、或上述之組合。基板120可包括摻雜的半導體層如p型井及/或n型井。此外,基板120可為絕緣層上半導體基板,如絕緣層上矽基板。
半導體層322及324可包括相同或不同的半導體材料如矽、矽鍺、鍺、或其他合適的半導體材料。此外,半導體層322及324可包括n型摻雜區,其形成方法可為摻雜n型摻質如磷、砷、其他n型摻質、或上述之組合至半導體材料;及/或p型摻雜區,其形成方法可為摻雜p型摻質如硼、銦、其他p型摻質、或 上述之組合至半導體材料。
在一些實施例中,半導體層322及324以交錯的方式磊晶成長。在一些實施例中,半導體層322及324的數目可為2至10。半導體層322及324包括不同的材料、組成原子%、組成重量%、厚度、及/或其他特性,以在蝕刻製程中達到所需的蝕刻選擇性。
如圖5及7所示,方法500的步驟504圖案化密封環區300中的半導體層322及324的堆疊以形成鰭狀物環320。鰭狀物環320形成為封閉環狀,其可圍繞整個電路區200。如圖7所示,鰭狀物環320包括圖案化的堆疊321(具有半導體層322及324)與一或多個圖案化的硬遮罩325。鰭狀物環更包括鰭狀物基底於圖案化的堆疊321之下以連接半導體基板120。鰭狀物環320的圖案化方法可為任何合適方法。舉例來說,可採用一或多道光微影製程圖案化鰭狀物環320,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於堆疊321上,並採用光微影製程圖案化犧牲層。採用自對準製程以沿著圖案化的犧牲層側部形成間隔物。接著移除犧牲層,而保留的間隔物如芯之後可作為遮罩單元以圖案化鰭狀物環320。舉例來說,遮罩單元可用於蝕刻凹陷至堆疊321與基板120中,以保留鰭狀物環320於基板120上。蝕刻製程可包括乾蝕刻、濕蝕刻、反應性離子蝕刻、及/或其他合適製程。
如圖5與圖7至10所示,方法500的步驟506形成隔離環336於密封環區300中。隔離環336包括基底隔離環330、虛置鰭狀物環332、與介電蓋334。虛置鰭狀物環332可進一步包括介電層333。隔離環336位於相鄰的鰭狀物環320 之間,因此隔離相鄰的鰭狀物環320。形成隔離環336的步驟關於多種製程,如圖7至10所示。
如圖7所示,基底隔離環330的延伸方向平行於鰭狀物環320,且各自形成封閉環狀以圍繞電路區200。在一實施例中,基底隔離環330的形成方法可為將絕緣材料填入與鰭狀物環320相鄰的溝槽(比如採用化學氣相沉積製程或旋轉塗佈玻璃製程),進行化學機械研磨製程以移除多餘的絕緣材料及/或平坦化絕緣材料層的上表面,以及回蝕刻絕緣材料層以形成基底隔離環330。基底隔離環330可包括氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(比如包含矽、氧、氮、碳、或其他合適隔離組成)、或上述之組合。基底隔離環330可包括淺溝槽隔離、深溝槽隔離、或其他種類的隔離。
如圖8所示,覆層331形成於鰭狀物環320的頂部與側壁上。在一實施例中,覆層331可包括矽鍺,且其沉積方法可採用化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、其他合適方法、或上述之組合。
如圖9所示,方法500形成虛置鰭狀物環332於密封環區300中。在形成虛置鰭狀物環332之前,移除基底隔離環330上的覆層331的一部分,而堆疊321的側壁上的覆層331的部分維持完整。之後可採用可流動的化學氣相沉積製程或其他種類的方法,沉積虛置鰭狀物環332。虛置鰭狀物環332的延伸方向平行於鰭狀物環320,其各自形成封閉環狀以圍繞電路區200。虛置鰭狀物環332可包括氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷的氧化物、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、低介電常數的介電材料、其他合適的介電材料、或上述之組合。
如圖9所示,虛置鰭狀物環332可各自包括介電層333襯墊覆層331與基底隔離環330。介電層333的延伸方向平行於鰭狀物環320,且可形成封閉環狀以圍繞電路區200。虛置鰭狀物環332與介電層333仍可一起視作虛置鰭狀物環332,因為介電層333為虛置鰭狀物環332的一部分。介電層333的沉積方法可採用化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、其他合適方法、或上述之組合。介電層333可包括低介電常數的介電材料,比如含矽、氧、氮、與碳的介電材料。低介電常數的介電材料通常指的是介電常數低於氧化矽的介電常數(約3.9)的介電材料。在沉積虛置鰭狀物環332之後,步驟506可進行化學機械研磨製程以平坦化半導體結構100的上表面,並露出覆層331與硬遮罩325。
如圖10所示,步驟506形成介電蓋334於虛置鰭狀物環332上。步驟506採用選擇性蝕刻製程以蝕刻虛置鰭狀物環332而不蝕刻(或最小化地蝕刻)硬遮罩325與覆層331,使虛置鰭狀物環332凹陷。步驟506接著沉積一或多種介電材料至凹陷中,並對一或多種介電材料進行化學機械研磨製程以形成介電蓋334。介電蓋334各自延伸的方向可平行於鰭狀物環320,並形成封閉環狀以圍繞電路區200。在一些實施例中,介電蓋334包括高介電常數的介電材料如氧化鉿、氧化鉿矽、矽酸鉿、氮氧化鉿矽、氧化鉿鑭、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鉿鋁、氧化鋯、二氧化鋯、氧化鋯矽、氧化鋁、氧化鋁矽、三氧化二鋁、氧化鈦、二氧化鈦、氧化鑭、氧化鑭矽、三氧化二鉭、五氧化二鉭、氧化釔、鈦酸鍶、氧化鋇鋯、鈦酸鋇、鈦酸鋇鍶、氮化矽、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、或上述之組合。
如圖5及11所示,方法500的步驟508形成虛置閘極環387(或犧牲閘極環)於密封環區300中。方法500移除虛置鰭狀物環332上的覆層331的部分與硬遮罩325。步驟508接著沉積介電層381於密封環區300中。介電層381延伸的方向平行於鰭狀物環320,且形成封閉環狀以圍繞電路區200。介電層381為虛置(或犧牲)閘極介電層,且可包括氧化矽、高介電常數的介電材料、其他合適的介電材料、或上述之組合。介電層381的沉積方法可採用此處所述的任何製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、其他合適製程、或上述之組合。
如圖11所示,步驟508沉積多晶矽環383於密封環區300中的虛置閘極介電層381上。在一實施例中,多晶矽環383包括多晶矽。步驟508接著形成硬遮罩層385於密封環區300中。步驟508接著進行微影圖案化與蝕刻製程,以圖案化硬遮罩層385、多晶矽環383、與虛置閘極介電層381,以形成虛置閘極環387於密封環區300中。虛置閘極環387包括硬遮罩層385的部分、多晶矽環383的部分、與虛置閘極介電層381的部分。虛置閘極環387包括硬遮罩層385的部分、多晶矽環383的部分、與虛置閘極介電層381的部分。虛置閘極環387在上視圖中,形成於圍繞電路區200的環狀物中(見圖1、4A、及4B)。具體而言,每一虛置閘極環387比下方的鰭狀物環320窄。虛置閘極環387的縱向邊緣在鰭狀物環320的縱向邊緣之內。
步驟508可進一步形成閘極間隔物344於虛置閘極環387的側壁上。閘極間隔物344可包括介電材料,且其形成方法可為任何合適方法。介電材料可包括矽、氧、碳、氮、其他合適材料、或上述之組合,比如氧化矽、氮化矽、氮氧化矽、碳化矽、碳氮化矽、碳氧化矽、或碳氮氧化矽。舉例來說,介電層可包括矽與氮如氮化矽層,其可沉積於虛置閘極環387上。之後蝕刻(如非等 向蝕刻)介電層以形成閘極間隔物344。在一些實施例中,閘極間隔物344包括多層結構,比如含氮化矽的第一介電層與含氧化矽的第二介電層。
如圖5及12所示,方法500的步驟510蝕刻與閘極間隔物344相鄰的鰭狀物環320以形成溝槽361。舉例來說,可採用一或多道蝕刻製程以移除密封環區300中的鰭狀物環320的半導體層322及324。蝕刻半導體層322及324的步驟可自對準隔離環336與閘極間隔物344。蝕刻製程可包括乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。
如圖5及12所示,步驟514更形成內側間隔物326於密封環區300中。舉例來說,進行第一蝕刻製程以選擇性蝕刻溝槽361中露出的半導體層322,而最小化地蝕刻(或不蝕刻)半導體層324,使間隙形成於閘極間隔物344之下的半導體層322與半導體層324之間。第一蝕刻製程設置以橫向蝕刻(如沿著x方向)半導體層322,以減少半導體層322沿著x方向的長度。第一蝕刻製程為乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。沉積製程接著形成間隔物層於溝槽361中。沉積製程設置以確保間隔物層填入上述的間隙。接著進行第二蝕刻製程,以選擇性蝕刻間隔物層而形成圖12所示的內側間隔物326,並最小化地蝕刻(或不蝕刻)其他周圍的材料層。在一些實施例中,內側間隔物326包括的介電材料含矽、氧、碳、氮、其他合適材料、或上述之組合,比如氧化矽、氮化矽、氮氧化矽、碳化矽、或碳氮氧化矽。在一些實施例中,內側間隔物326包括此處所述的低介電常數的介電材料。
如圖5及13所示,方法500的步驟512在第一磊晶製程中形成第一磊晶環360於閘極環340的第一側上的溝槽361中。
如圖5及14所示,方法500的步驟514在第二磊晶製程中形成第二 磊晶環362於閘極環340的第二側上的溝槽361中。第一磊晶環360的材料不同於第二磊晶環362的材料。第一磊晶環360與第二磊晶環362的型態不同(比如n型、p型、或未摻雜)。磊晶製程可採用化學氣相沉積技術(如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、其他合適的磊晶成長製程、或上述之組合。磊晶製程可採用氣相及/或液相前驅物,其可與半導體層322及324的組成作用。第一磊晶環360與第二磊晶環362可摻雜n型摻質或p型摻質,以分別用於n型電晶體或p型電晶體。在一些實施例中,第一磊晶環360與第二磊晶環362包括矽,且可摻雜碳、磷、砷、其他n型摻質、或上述之組合。在一些實施例中,第一磊晶環360與第二磊晶環362包括矽鍺或鍺,其可摻雜硼、其他p型摻質、或上述之組合。在一些實施例中,第一磊晶環360與第二磊晶環362各自包括多個磊晶半導體層。
之後如圖5及15所示,方法500形成接點蝕刻停止層382與層間介電層384。接點蝕刻停止層382沉積於隔離環336、第一磊晶環360、與第二磊晶環362上,以及閘極間隔物344的側壁上。層間介電層384沉積於接點蝕刻停止層382上,如圖15所示。接點蝕刻停止層382包括的材料不同於層間介電層384的材料與介電蓋334的材料。接點蝕刻停止層382可包括氧化鑭、氧化鋁、碳氮氧化矽、碳氧化矽、碳氮化矽、氧化矽、碳化矽、氧化鋅、氮化鋯、氧化鋯鋁、氧化鈦、氧化鉭、氧化鋯、氧化鉿、氮化矽、氧化釔、氮氧化鋁、碳氮化鉭、鋯矽化物、或其他合適的材料,且其形成方法可為化學氣相沉積、物理氣相沉積、原子層沉積、或其他合適方法。層間介電層384可包括四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、或硼矽酸鹽玻璃)、低介電常數的介電材料、其他合適的介電材料、或上述之組合。層間介電層384的形成方法可為電漿輔助化學氣相沉積、可流動 的化學氣相沉積、或其他合適方法。在沉積接點蝕刻停止層382與層間介電層384之後,可進行化學機械研磨製程及/或其他平坦化製程,直到露出虛置閘極環387的頂部或上表面。
如圖5、16、及17所示,方法500的步驟516將虛置閘極環387置換成閘極環340(亦可視作高介電常數的介電層與金屬閘極環,或金屬閘極環)。閘極環340延伸於整個電路區200周圍並密封電路區200,以形成封閉環(或圈)。閘極環340包括閘極介電層342與閘極346。閘極置換製程與多種製程相關,如下簡述。
首先如圖16所示,步驟516採用一或多道蝕刻製程移除虛置閘極環387,以形成閘極溝槽於密封環區300中。蝕刻製程可桅杆蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。蝕刻製程設置以選擇性蝕刻虛置閘極環387,而最小化地蝕刻(或不蝕刻)其他周圍結構如層間介電層384、閘極間隔物344、隔離環336、與半導體層322及324。
接著如圖16所示,步驟516移除閘極溝槽中露出的半導體層322,保留懸空的半導體層324以連接第一磊晶環360與第二磊晶環362。蝕刻製程可選擇性地蝕刻半導體層322而最小化地蝕刻(或不蝕刻)半導體層324、閘極間隔物344、及/或內側間隔物326。
接著如圖17所示,步驟516形成閘極介電層342以包覆每一半導體層324。步驟516接著形成閘極346於閘極介電層342上。閘極介電層342包括高介電常數的介電材料如氧化鉿、氧化鉿矽、矽酸鉿、氮氧化鉿矽、氧化鉿鑭、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鉿鋁、氧化鋯、二氧化鋯、氧化鋯矽、氧化鋁、氧化鋁矽、三氧化二鋁、氧化鈦、二氧化鈦、氧化鑭、氧化鑭矽、三氧 化二鉭、五氧化二鉭、氧化釔、鈦酸鍶、氧化鋇鋯、鈦酸鋇、鈦酸鋇鍶、氮化矽、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、或上述之組合。閘極介電層342的形成方法可為化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他合適方法。在一些實施例中,閘極環340更包括界面層於閘極介電層342與半導體層324之間。界面層可包括氧化矽、氮氧化矽、或其他合適材料。在一些實施例中,閘極346包括n型或p型的功函數層與金屬填充層。舉例來說,n型功函數層包括的金屬可具有足夠低的功函數,比如鈦、鋁、碳化鉭、碳氮化鉭、氮化鉭矽、或上述之組合。舉例來說,p型功函數層包括的金屬可具有足夠高的有效功函數,比如氮化鈦、氮化鉭、釕、鉬、鎢、鉑、或上述之組合。舉例來說,金屬填充層可包括鋁、鎢、鈷、銅、及/或其他合適材料。在一些實施例中,由於密封環區300中沒有功能電晶體,閘極346不含功函數層。舉例來說,閘極346可包括鋁、鎢、鈷、銅、及/或其他合適材料。閘極346的多種層狀物的形成方法可為化學氣相沉積、物理氣相沉積、電鍍、及/或其他合適製程。
如圖5及17所示,方法500的步驟518形成接點環380於層間介電層384之中,並著陸於第一磊晶環360與第二磊晶環362之上。步驟518先蝕刻接點孔(未圖示)以露出第一磊晶環360與第二磊晶環362。步驟518之後可形成接點環380於接點孔中,使接點環380直接接觸第一磊晶環360與第二磊晶環362。步驟518可形成矽化物層(未圖示)於接點環380與第一磊晶環360之間,以及接點環380與第二磊晶環362之間。矽化物層可包括鈦矽化物、鎳矽化物、鎢矽化物、鎳鉑矽化物、鎳鉑鍺矽化物、鎳鍺矽化物、鐿矽化物、鉑矽化物、銥矽化物、鉺矽化物、鈷矽化物、或其他合適化合物。接點環380包括導電阻障層與金屬填充層位於導電阻障層上。導電阻障層可包括鈦、鉭、鎢、鈷、釕、或導電氮化物如 氮化鈦、氮化鈦鋁、氮化鎢、氮化鉭、或上述之組合,且其形成方法可為化學氣相沉積、物理氣相沉積、原子層沉積、及/或其他合適製程。金屬填充層可包括鎢、鈷、鉬、釕、或其他金屬,且其形成方法可為化學氣相沉積、物理氣相沉積、原子層沉積、電鍍、或其他合適製程。
如圖5及17所示,方法500的步驟520在密封環區300中進行後續製程,比如進行後段製程。舉例來說,後段製程可形成閘極通孔以連接至閘極環340、形成接點通孔以連接至接點環380、並形成具有線路與通孔埋置於介電層中的一或多個內連線層(或內連線)。一或多個內連線層可形成密封環區300中的密封環結構的部分。方法500亦可形成鈍化層於內連線層上。內連線層中的線路與通孔,依據密封環區300中的閘極環(如閘極環340)與接點環(如接點環380)。改善閘極環與接點環的設置,可改善密封環結構的穩定性與可信度。
雖然密封環區300中的構件與電路區200中的對應部分可包括相同材料且可同時形成,但構件與對應部分在許多方面不同,比如功能、形狀、與尺寸。密封環區300中的構件可視作鰭狀物環320、閘極環340、隔離環336、第一磊晶環360、第二磊晶環362、與接點環380於密封環區300中。電路區200中的構件可視作鰭狀物、閘極、鰭狀物之間的隔離結構、作為源極/汲極結構的磊晶結構、以及接點於電路區200中。密封環區300中的構件與電路區200不同,不作為電晶體且非電性主動。相反地,密封環區300中的構件可隔開電路區200與可能的損傷如灰塵、水氣、機械應力、與其他可能損傷。密封環區300中的構件各自延伸於整個電路區200周圍並形成密封環狀(或圈狀),而電路區200中的構件為交錯的平直線路(圖2)。此外,密封環區300中的構件寬度與間距,大於電路區200的對應部分的寬度與間距。
圖17至23係依據圖5中的方法500製作的密封環區300中的圖1的半導體結構100的多種例示性結構。如圖17所示,第一磊晶環360與第二磊晶環362位於鰭狀物環320上,並覆蓋半導體層324與內側間隔物326的側壁。第一磊晶環360的一部分與第二磊晶環362的一部分超出鰭狀物環320的縱向邊緣並位於基底隔離環330上。
如圖17所示,兩個接點環380各自著陸於第一磊晶環360與第二磊晶環362上。接點環380的寬度w9小於第一磊晶環360與第二磊晶環362的寬度w4。接點環380的縱向邊緣,在對應第一磊晶環360或第二磊晶環362的縱向邊緣之內。位於第一磊晶環360與第二磊晶環362上的兩個接點環380彼此為鏡像。在一些例子中,第一磊晶環360與第二磊晶環362中只有一者具有接點環380位於其上。與一個接點環380相較,兩個接點環380可提供較大著陸面積以用於後續形成其上的內連線結構,進而改善半導體結構的穩定性與可信度。
圖18至23所示的實施例中,只包括一個接點環380位於第二磊晶環362上以簡化圖式,但非用於侷限本發明實施例。第二接點環380亦可形成於第一磊晶環360上。位於第一磊晶環360上的接點環380,為位於第二磊晶環362上的接點環380的鏡像。
如圖18所示,接點環380著陸於第二磊晶環362上。步驟518移除介電蓋334的上表面與側壁之上以及第二磊晶環362之上的層間介電層384與接點蝕刻停止層382的部分,以形成接點孔(未圖示)而露出第二磊晶環362與介電蓋334於其中。接著形成接點環380於接點孔中。接點環380的一部分位於鰭狀物環320上,但不直接接觸鰭狀物環320。接點環380的階狀下表面,可吻合第二磊晶環362與介電蓋334的上表面。接點環380的寬度w3大於第二磊晶環362的寬度 w4。在圖17所示的實施例中,寬度w3比寬度w9寬。接點環380的寬度加寬,可改善其上的內連線著陸,進而改善密封環結構的穩定性與可信度。在所述實施例中,鰭狀物環320的中心線c1-c1與閘極環340的中心線c2-c2(未圖示)重疊。
如圖5及19所示,在密封環區300中省略方法500的步驟512(形成第一磊晶環360)。只在電路區200進行步驟512。在所述實施例中,閘極環340的中心線c1-c1自鰭狀物環320的中心線c1-c1向左偏移(比如遠離電路區200)。圖19中的閘極環340的寬度w6小於圖18中的寬度w5。較窄的寬度w6可提供第二磊晶環362所用的空間,比如圖19中的寬度w7大於圖18中的寬度w4。可調整較寬的第二磊晶環362,使較寬的接點環380可位於其上。舉例來說,圖19中的寬度w8大於圖18中的寬度w3。較寬的第二磊晶環362與較寬的接點環380可提供較寬且較穩定與可信的基底以用於密封環結構。半導體層322及324的左側壁(遠離電路區200的側部)可垂直對準鰭狀物環320的基底,並接觸覆層331的保留部分。在圖19所示的實施例中,介電蓋334上的接點蝕刻停止層382的部分完整。接點環380形成於接點蝕刻停止層382上,而不直接接觸介電蓋334。
如圖5及20所示,在密封環區300中省略方法500的步驟512及514(形成第一磊晶環360與形成第二磊晶環362)。只在電路區200中進行步驟512及514。閘極環340的中心線c2-c2(未圖示)可與鰭狀物環320的中心線c1-c1重疊。接點環380延伸至直接接觸半導體層324之下的鰭狀物環320的部分與基底隔離環330。接點環380直接接觸虛置鰭狀物環332的介電層333與介電蓋334的側壁與上表面。接點蝕刻停止層382與層間介電層384可分隔接點環380與閘極間隔物344、內側間隔物326、及半導體層324。延伸的接點環380比第二磊晶環362上的接點環(圖18)更穩定,進而改善密封環結構的可信度與穩定性。此外,省略步驟 512及514可避免對結構造成損傷(如過蝕刻)的可能性,其亦可改善密封環結構的穩定性與可信度。
如圖5及21所示,在密封環區300中省略方法500的步驟512(形成第一磊晶環360)、步驟514(形成第二磊晶環362)、與步驟516(置換虛置閘極環)中的片狀物釋放製程。只在電路區200中進行步驟512、步驟514、與步驟516中的片狀物釋放製程。如此一來,密封環區300中的半導體層322及324可維持完整。之後形成的閘極環340位於最頂部的半導體層324上。省略片狀物形成製程,可減少對密封環區300造成損傷(如過蝕刻)的可能性。
如圖5及22所示,在密封環區300省略方法500的步驟510(形成溝槽於鰭狀物環320中)、步驟512(形成第一磊晶環360)、與步驟514(形成第二磊晶環362)。只在電路區200中進行步驟510、512、及514。鰭狀物環320(包括半導體層324及322)沿著z方向的寬度w1相同。覆層保留於虛置鰭狀物環332與介電蓋334的側壁上。接點環380著陸於最頂部的半導體層324與介電蓋334上,而接點蝕刻停止層382位於其間。在所述實施例中,接點環380與閘極間隔物344只隔有接點蝕刻停止層382。換言之,接點環380直接接觸接點蝕刻停止層382。
如圖5及23所示,在密封環區300中省略方法500的步驟506(形成隔離環)、步驟510(形成溝槽於鰭狀物環320中)、步驟512(形成第一磊晶環360)、與步驟514(形成第二磊晶環362)形成虛置鰭狀物環332與介電蓋334的步驟。只在電路區200中進行步驟506、510、512、及514形成虛置鰭狀物環332與介電蓋334的步驟。基底隔離環330維持高度(沿著z方向量測)如鰭狀物環320的高度,以與最頂部的半導體層324具有實質上共平面的上表面。共平面的上表面可提供閘極環340與接點環380的形成方法更彈性的設計選擇、更簡單的製程、以及更多製 程誤差容許範圍。舉例來說,閘極環340與接點環380的中心線與寬度不再受限於鰭狀物與介電蓋的中心線c1-c1
本發明實施例可提供一或多個下述優點,但不侷限於此。舉例來說,本發明實施例提供具有密封環區的半導體結構。形成密封環區所採用的製程可與全繞式閘極的製作製程相容。在一實施例中,密封環區包括半導體環與位於其上的閘極環的堆疊,其中閘極環比堆疊窄。在另一實施例中,密封環區包括隔離環以與堆疊相鄰,以及接點環著陸於隔離環與堆疊上。在又一實施例中,接點環接觸堆疊側壁。在再一實施例中,密封環區包括磊晶環位於堆疊的側壁上,其中接點環著陸於磊晶環與隔離環上。本發明實施例易於整合至現有的半導體製造製程中。
本發明一例子關於半導體結構。半導體結構包括:基板;以及密封環區,封閉基板上的電路區。密封環區更包括:鰭狀物環,自基板凸起且具有第一寬度;隔離環,位於基板上並與鰭狀物環相鄰;閘極環,位於鰭狀物環上且具有第二寬度,其中第二寬度小於第一寬度;磊晶環,位於鰭狀物環與隔離環之間;以及接點環,著陸於磊晶環與隔離環上。鰭狀物環、隔離環、磊晶環、與接點環各自的延伸方向彼此平行且完全圍繞電路區以形成封閉圈。
在一些實施例中,接點環具有第三寬度,其中第三寬度大於或等於第二寬度,且其中閘極環的縱向邊緣與接點環的第一縱向邊緣位於鰭狀物環的縱向邊緣之間,且其中接點環的第二縱向邊緣位於鰭狀物環的縱向邊緣之外。
在一些實施例中,磊晶環的上表面低於隔離環的上表面,且其中接點環的階狀底部輪廓吻合磊晶環的上表面與隔離環的上表面。
在一些實施例中,鰭狀物環包括多交錯堆疊的多個第一半導體層 與多個第二半導體層的堆疊,其中接點環的一部分沿著z方向垂直對準鰭狀物環。
在一些實施例中,磊晶環接觸第一半導體層與第二半導體層的側壁。
在一些實施例中,接點環自鰭狀物環橫跨至隔離環。
在一些實施例中,鰭狀物環包括交錯堆疊的多個第一半導體層與多個金屬層的一堆疊,其中接點環的一部分位於鰭狀物環上。
本發明另一例子關於半導體結構。半導體結構包括基板,其包括密封環區封閉電路區。密封環區更包括鰭狀物環,包括交錯的多個第一半導體層與多個第二半導體層的堆疊位於基板上,其中鰭狀物環封閉電路區;閘極環,著陸於鰭狀物環上,其中閘極環圍繞電路區,且其中閘極環的縱向邊緣在上視圖中位於鰭狀物環的縱向邊緣之內;以及接點環,部分地著陸於鰭狀物環上,其中接點環封閉電路區。
在一些實施例中,半導體結構更包括隔離環與鰭狀物環相鄰,其中接點環部分地著陸於隔離環上。
在一些實施例中,接點環具有平坦的下表面。
在一些實施例中,接點環位於堆疊的側壁上。
在一些實施例中,半導體結構更包括第一磊晶環與第二磊晶環,位於堆疊的兩側側壁上,其中第一磊晶環與第二磊晶環的材料不同,且其中第一磊晶環與第二磊晶環各自封閉電路區。
在一些實施例中,第一磊晶環為n型,而第二磊晶環為p型。
本發明又一例子關於半導體結構的形成方法。方法包括提供半導 體基板,其包括密封環區封閉電路區;形成堆疊環,包括多個第一半導體層與多個第二半導體層交錯堆疊於半導體基板上,其中堆疊環具有第一上表面;形成隔離環以與半導體基板上的該堆疊相鄰,其中隔離環具有第二上表面;形成閘極環於堆疊環上,其中閘極環覆蓋第一上表面的一部分,且沿著所有的堆疊環縱向延伸;以及形成接點環於堆疊環與隔離環上。接點環覆蓋第二上表面的一部分。堆疊環、隔離環、閘極環、與接點環各自形成封閉環狀於密封環區中,以封閉電路區。
在一些實施例中,第一上表面的部分為第一上表面的第一部分,且其中接點環覆蓋第一上表面的第二部分。
在一些實施例中,第一上表面的部分為第一上表面的第一部分,且方法更包括:移除堆疊環的第二部分以形成溝槽環於密封環區中以封閉電路區;以及形成磊晶環於溝槽環中,其中接點環位於隔離環與磊晶環上,且其中溝槽環與磊晶環各自封閉電路區且延伸方向彼此平行。
在一些實施例中,隔離環包括淺溝槽隔離結構,介電鰭狀物位於淺溝槽隔離結構上,以及介電蓋位於介電鰭狀物上,其中介電蓋的上表面高於磊晶環的上表面;以及接點環的階狀下表面吻合介電蓋與磊晶環的上表面。
在一些實施例中,磊晶環為第一磊晶結構,溝槽環為第一溝槽環,且方法更包括:移除堆疊環的第三部分以形成第二溝槽環於密封環區中以封閉電路區,其中堆疊環的第一部分分開堆疊環的第二部分與第三部分;以及形成第二磊晶環於第二溝槽環中,其中第二磊晶環與第一磊晶環的材料不同。
在一些實施例中,第一磊晶環與第二磊晶環分別擇自n型磊晶環、p型磊晶環、與未摻雜的磊晶環。
在一些實施例中,接點環為第一接點環,其中方法更包括:移除閘極環與隔離環之間的堆疊環的部分,以形成溝槽;以及形成第二接點環於溝槽中,其中第二接點環接觸第一半導體層與第二半導體層的側壁。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
200:電路區
320:鰭狀物環
323,327:中心環
340:閘極環
380:接點環

Claims (10)

  1. 一種半導體結構,包括:一基板;以及一密封環區,封閉該基板上的一電路區,其中該密封環區包括:一鰭狀物環,自該基板凸起且具有一第一寬度;一隔離環,位於該基板上並與該鰭狀物環相鄰;一閘極環,位於該鰭狀物環上且具有一第二寬度,其中該第二寬度小於該第一寬度;一磊晶環,位於該鰭狀物環與該隔離環之間;以及一接點環,著陸於該磊晶環與該隔離環上,其中該鰭狀物環、該隔離環、該磊晶環、與該接點環各自的延伸方向彼此平行且完全圍繞該電路區以形成一封閉圈。
  2. 如請求項1之半導體結構,其中該接點環具有一第三寬度,其中該第三寬度大於或等於該第二寬度,且其中該閘極環的縱向邊緣與該接點環的第一縱向邊緣位於該鰭狀物環的縱向邊緣之間,且其中該接點環的第二縱向邊緣位於該鰭狀物環的縱向邊緣之外。
  3. 如請求項1或2之半導體結構,其中該磊晶環的上表面低於該隔離環的上表面,且其中該接點環的階狀底部輪廓吻合該磊晶環的上表面與該隔離環的上表面。
  4. 如請求項1或2之半導體結構,其中該鰭狀物環包括多交錯堆疊的多個第一半導體層與多個第二半導體層的一堆疊,其中該接點環的一部分沿著z方向垂直對準該鰭狀物環。
  5. 一種半導體結構,包括:一基板,包括一密封環區封閉一電路區,其中該密封環區包括:一鰭狀物環,包括交錯的多個第一半導體層與多個第二半導體層的一堆疊位於該基板上,其中該鰭狀物環封閉該電路區;一閘極環,著陸於該鰭狀物環上,其中該閘極環圍繞該電路區,且其中該閘極環的縱向邊緣在上視圖中位於該鰭狀物環的縱向邊緣之內;以及一接點環,部分地著陸於該鰭狀物環上,其中該接點環封閉該電路區。
  6. 如請求項5之半導體結構,更包括一隔離環與該鰭狀物環相鄰,其中該接點環部分地著陸於該隔離環上。
  7. 如請求項5或6之半導體結構,其中該接點環具有平坦的下表面。
  8. 一種半導體結構的形成方法,包括:提供一半導體基板,其包括一密封環區封閉一電路區;形成一堆疊環,包括多個第一半導體層與多個第二半導體層交錯堆疊於該半導體基板上,其中該堆疊環具有一第一上表面;形成一隔離環以與該半導體基板上的該堆疊環相鄰,其中該隔離環具有一第二上表面;形成一閘極環於該堆疊環上,其中該閘極環覆蓋該第一上表面的一部分,且沿著所有的該堆疊環縱向延伸;以及形成一接點環於該堆疊環與該隔離環上,其中該接點環覆蓋該第二上表面的一部分,且其中該堆疊環、該隔離環、該閘極環、與該接點環各自形成封閉環狀於該密封環區中,以封閉該電路區。
  9. 如請求項8之半導體結構的形成方法,其中該第一上表面的該部分為該第一上表面的一第一部分,且其中該接點環覆蓋該第一上表面的一第二部分。
  10. 如請求項8或9之半導體結構的形成方法,其中該第一上表面的該部分為該第一上表面的一第一部分,且方法更包括:移除該堆疊環的一第二部分以形成一溝槽環於該密封環區中以封閉該電路區;以及形成一磊晶環於該溝槽環中,其中該接點環位於該隔離環與該磊晶環上,且其中該溝槽環與該磊晶環各自封閉該電路區且延伸方向彼此平行。
TW111126797A 2021-08-06 2022-07-18 半導體結構與其形成方法 TWI832333B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163230156P 2021-08-06 2021-08-06
US63/230,156 2021-08-06
US17/832,866 2022-06-06
US17/832,866 US20230040387A1 (en) 2021-08-06 2022-06-06 Seal Ring For Semiconductor Device With Gate-All-Around Transistors

Publications (2)

Publication Number Publication Date
TW202312293A TW202312293A (zh) 2023-03-16
TWI832333B true TWI832333B (zh) 2024-02-11

Family

ID=85153492

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111126797A TWI832333B (zh) 2021-08-06 2022-07-18 半導體結構與其形成方法

Country Status (3)

Country Link
US (1) US20230040387A1 (zh)
CN (1) CN218585964U (zh)
TW (1) TWI832333B (zh)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200847330A (en) * 2007-03-28 2008-12-01 Advanced Analogic Tech Inc Isolated integrated circuit devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200847330A (en) * 2007-03-28 2008-12-01 Advanced Analogic Tech Inc Isolated integrated circuit devices

Also Published As

Publication number Publication date
US20230040387A1 (en) 2023-02-09
CN218585964U (zh) 2023-03-07
TW202312293A (zh) 2023-03-16

Similar Documents

Publication Publication Date Title
TWI582990B (zh) 鰭式場效電晶體之源極/汲極區域及其形成方法
TWI390729B (zh) 整合鑲嵌體鰭式場效電晶體與平面裝置於共同基板上的半導體結構及其形成方法
TW202013518A (zh) 半導體裝置的製作方法
TWI821384B (zh) 積體電路的製作方法、半導體裝置、與半導體結構
US11742428B2 (en) Formation method of semiconductor device with isolation structure
US20140103404A1 (en) Replacement gate with an inner dielectric spacer
TW202036907A (zh) 半導體裝置
TW202218093A (zh) 半導體裝置
TW202117856A (zh) 半導體裝置的形成方法
US20230253483A1 (en) Semiconductor device structure with metal gate stack
TW202008445A (zh) 半導體裝置的形成方法
KR102462439B1 (ko) 반도체 소자의 제조 방법
TWI691072B (zh) 積體電路與其製作方法
CN113517227B (zh) 半导体器件和形成半导体晶体管器件的方法
TW202117858A (zh) 半導體裝置的形成方法
US10916470B2 (en) Modified dielectric fill between the contacts of field-effect transistors
TW201721763A (zh) 半導體裝置結構
TWI832333B (zh) 半導體結構與其形成方法
US20230155035A1 (en) Structure and formation method of semiconductor device with epitaxial structures
KR102458018B1 (ko) 다층 베이스 유전체 막을 포함하는 bjt
CN110571193A (zh) 单扩散隔断结构的制造方法和半导体器件的制造方法
TW202119588A (zh) 積體晶片
TW202205446A (zh) 具有氧化側壁的垂直鰭片的半導體結構及其製備方法
TWI815432B (zh) 半導體裝置結構與其形成方法
US20240162228A1 (en) Three-dimensional semiconductor device and method of fabricating the same