TWI821384B - 積體電路的製作方法、半導體裝置、與半導體結構 - Google Patents

積體電路的製作方法、半導體裝置、與半導體結構 Download PDF

Info

Publication number
TWI821384B
TWI821384B TW108131454A TW108131454A TWI821384B TW I821384 B TWI821384 B TW I821384B TW 108131454 A TW108131454 A TW 108131454A TW 108131454 A TW108131454 A TW 108131454A TW I821384 B TWI821384 B TW I821384B
Authority
TW
Taiwan
Prior art keywords
fin
dielectric layer
gate
cutting structure
cutting
Prior art date
Application number
TW108131454A
Other languages
English (en)
Other versions
TW202015178A (zh
Inventor
林志昌
吳偉豪
余佳霓
王志豪
江國誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202015178A publication Critical patent/TW202015178A/zh
Application granted granted Critical
Publication of TWI821384B publication Critical patent/TWI821384B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

此處提供具有閘極切割結構的積體電路,與形成積體電路的方法之例子。在一些例子中,接收工件,且工件包括基板與自基板延伸的多個鰭狀物。形成第一層於鰭狀物的每一者之側表面上,使第一層界定的溝槽延伸於鰭狀物之間。形成切割結構於溝槽中。形成第一閘極結構於鰭狀物的第一鰭狀物上,並形成第二閘極結構於鰭狀物的第二鰭狀物上,使切割結構位於第一閘極結構與第二閘極結構之間。

Description

積體電路的製作方法、半導體裝置、與半導體結構
本發明實施例關於積體電路,更特別關於閘極的切割結構。
積體電路產業已經歷快速成長。在積體電路演進中,功能密度(單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(採用製作製程所能產生的最小構件或線路)縮小而增加。尺寸縮小通常有利於增加產能並降低相關成本。然而,尺寸縮小亦會增加設計與形成含有這些積體電路的裝置的複雜度。形成方法的平行進展,能精確並可靠地製作越來越複雜的設計。
舉例來說,製作方法的進展可實施三維設計如鰭狀場效電晶體。鰭狀場效電晶體可視作一般平面裝置自基板擠出至閘極的結構。例示性的鰭狀場效電晶體具有薄鰭狀物(或鰭狀結構),其自基板向上延伸。場效電晶體的通道區形成於垂直鰭狀物中,而閘極位於鰭狀物的通道區上(如包覆鰭狀物的通道區)。閘極包覆鰭狀物可增加通道區與閘極之間的接觸面積,使閘極自多側控制通道。在一些應用中,可藉由多種方式實施上述結構。鰭狀場效電晶體可降低短通道效應、降低漏電流、並增加電流。換言之,鰭狀場效電晶體比平面裝置更快、更小、且更有效。
鰭狀場效電晶體的鰭狀物平行地延伸於整個基板,而閘極垂直於鰭狀物,使閘極可延伸於多個鰭狀物上及多個鰭狀物周圍。然而電路通常需要附近的鰭狀場效電晶體具有電性隔離的閘極。此結構的形成方法可為先形成單 一閘極、切割溝槽以分開單一閘極成兩個閘極、並將介電材料填入溝槽以隔離切割後的兩個閘極。在額外或其他方式中,占位材料可保留空間以用於閘極。切割占位材料使其分開,並插入介電材料。在移除占位材料後,形成閘極部件於移除占位材料處,而介電材料可分開並隔離閘極部件。隨著裝置尺寸縮小,可減少鰭狀物之間的空間,其可影響進行這些切割製程與其他製程的能力。減少切割區域的尺寸、改善切割對準、及/或改善切割一致性的進展,具有增加良率、降低變異性、減少電路面積、以及提供其他優點的潛力。
本發明一實施例提供之積體電路的製作方法,包括:接收工件,且工件包括基板與自基板延伸的多個鰭狀物;形成第一層於鰭狀物的每一者之側表面上,使第一層界定的溝槽延伸於鰭狀物之間;形成切割結構於溝槽中;以及形成第一閘極結構於鰭狀物的第一鰭狀物上,並形成第二閘極結構於鰭狀物的第二鰭狀物上,使切割結構位於第一閘極結構與第二閘極結構之間。
本發明一實施例提供之積體電路的製作方法,包括:接收基板,其具有自基板延伸的多個鰭狀物;形成介電層於鰭狀物的第一鰭狀物的側表面上;沿著與第一鰭狀物相對的介電層的一側形成切割結構;使介電層凹陷,讓第一鰭狀物與切割結構延伸高於介電層;形成閘極結構於第一鰭狀物與切割結構上;以及使閘極結構凹陷,以形成第二閘極與第一鰭狀物上的第一閘極,且切割結構電性隔離第二閘極與第一閘極。
本發明一實施例提供之積體電路裝置,包括:基板;第一鰭狀物與第二鰭狀物,自基板延伸;介電層,延伸於第一鰭狀物與第二鰭狀物之間;第一切割結構,位於介電層上;第一閘極結構,位於第一鰭狀物上;以及第二閘極結構,位於第二鰭狀物上,使第一閘極結構與第二閘極結構隔有第一切割 結構。
100、1600、2300、2900:方法
102、104、106、108、110、112、114、116、118、120、122、124、126、128、130、132、134、136、1602、1604、1606、1608、2302、2304、2306、2308、2310、2312、2314、2316、2902、2904、2906、2908、2910、2912、2914、2916、2918、2920、2922、2924、2926、2928、2930、2932:步驟
200、1400、1500、1700、2000、2100、2200、2400、2800、3000:工件
202:基板
204:鰭狀物
206、208:鰭狀物頂部硬遮罩
302:第一介電層
304:溝槽
306、1302、1804、2508、2706、2810、3004、3202、4006:厚度
402、402A、402B、402C、402D、2502、2802、3402:切割結構
402’:凹陷的切割結構
404、1402、1404、2102、2104:寬度
602:第二介電層
604:占位閘極
606、1102、1104、1406、1702、1704、2002、2106、2702、2704、4002、4004:距離
608:占位閘極硬遮罩層
702:側壁間隔物
704:源極/汲極結構
706:接點蝕刻停止層
708:層間介電層
902、904:切割圖案化硬遮罩
1202:功能閘極
1204:界面層
1206:閘極介電層
1208:功函數層
1210:閘極填充層
1304:第二層間介電層
1306、1902:接點
1802:自對準接點介電層
2504、2804:第一層
2506、2806:第二層
2808:第三層
3002:第一切割結構介電層
3102:第二切割結構介電層
3302:第三切割結構介電層
3304:第四切割結構介電層
3602:第五切割結構介電層
圖1A與1B係本發明多種實施例中,製作具有閘極切割結構的方法之流程圖。
圖2至6與圖8至9係本發明多種實施例中,進行方法所製作的工件之透視圖。
圖7係本發明多種實施例中,進行方法所製作的工件沿著鰭狀物的長度方向之剖視圖。
圖10至13係本發明多種實施例中,進行方法所製作的工件沿著閘極區的剖視圖。
圖14與15係本發明多種實施例中,工件沿著具有薄化切割結構的閘極區之剖視圖。
圖16係本發明多種實施例中,採用自對準接點製程製作工件的方法之流程圖。
圖17至19係本發明多種實施例中,採用自對準接點製程的方法所製作的工件沿著閘極區的剖視圖。
圖20係本發明多種實施例中,採用自對準接點製程的方法所製作的工件沿著閘極區的剖視圖。
圖21與22係本發明多種實施例中,工件沿著具有薄化的切割結構之閘極區的剖視圖。
圖23係本發明多種實施例中,製作具有多層閘極切割結構的工件之方法的流程圖。
圖24與25係本發明多種實施例中,製作多層閘極切割結構之方法所形成的工件之透視圖。
圖26與27係本發明多種實施例中,工件沿著閘極區的剖視圖。
圖28係本發明多種實施例中,工件沿著閘極區的剖視圖。
圖29A與29B係本發明多種實施例中,製作具有多層閘極切割結構的工件之方法的流程圖。
圖30至36係本發明多種實施例中,進行方法所製作的工件之透視圖。
圖37至40係本發明多種實施例中,工件沿著閘極區的剖視圖。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明實施例之結構連接至另一結構及/或耦接至另一結構,指的是結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間(即結構未直接接觸另一結構)。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
積體電路包括持續增加的主動與被動電路裝置形成於基板或晶圓上,例子之一為鰭狀場效電晶體。為了空間和其他考量,鰭狀場效電晶體的配置可為平行延伸的鰭狀物與平行延伸的閘極,且閘極垂直於鰭狀物。由於可共用閘極,因此單一閘極結構可延伸於對應多個裝置的多個鰭狀物上,及/或延伸於構成單一較大裝置的多個鰭狀物上。
與此相較,在電路需要電性隔離的閘極之情況下,本發明實施例 的技術提供絕緣的切割結構於裝置鰭狀物之間,其可分隔閘極。可採用自對準製程形成切割結構,而間隔物材料可形成於鰭狀物的側部上,以控制切割結構與相鄰鰭狀物之間的距離。這可消除其他技術可能存在的對準問題,進而改善良率。改善切割結構的對準及準確性,可減少鰭狀物與切割結構之間的空間。類似地,可減少切割結構的厚度。在一些例子中,最小的切割結構寬度小於或等於最小的鰭狀場效電晶體的鰭狀物寬度。在一些例子中,可薄化切割結構的部份,以提供額外空間用於耦接至相鄰閘極的接點。這些優點僅為示例,且任何特定實施例不必具有特定優點。
本發明實施例提供含有多個場效電晶體的積體電路的例子,以及形成電性隔離的閘極於選定的場效電晶體裝置之通道區上。在此考量下,圖1A與1B係本發明多種實施例中,製作具有閘極切割結構的工件200的方法100之流程圖。在方法100之前、之中、與之後可提供額外步驟,且方法100的其他實施例可置換或省略一些所述步驟。圖2至6與圖8至9係本發明多種實施例中,進行方法100所製作的工件200之透視圖。圖7係本發明多種實施例中,進行方法100的工件200沿著鰭狀物的長度方向的剖視圖。圖10至13係本發明多種實施例中,進行方法100的工件200沿著閘極區的剖視圖。
如圖1A的步驟102與圖2所示,接收工件200。工件200包括基板202,其上將形成裝置。在多種例子中,基板202包含半導體元素(單一元素)如結晶結構的矽或鍺、半導體化合物(如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、非半導體材料(如鈉鈣玻璃、熔融氧化矽、熔融石英、及/或氟化鈣)、及/或上述之組合。基板202可具有一致的組成或可包含多種層狀物,且可選擇性地蝕刻一些基板202以形成鰭狀物。層狀物可具有類似或不同的組成。在多種實施例中,一些基板的層狀物具有不一致的組成,可 誘發裝置應力以調整裝置效能。層狀基板的例子包括絕緣層上矽的基板202。在這些例子中,基板202的層狀物可包含絕緣層如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、及/或其他合適的絕緣材料。
摻雜區如井區可形成於基板202上。在此考量下,基板202的一些部份可摻雜p型摻質如硼、二氟化硼、或銦,而基板202的其他部份可摻雜n型摻質如磷或砷,及/或摻雜包含上述之組合的其他合適摻質。
在一些例子中,形成於基板202上的裝置延伸出基板202。舉例來說,鰭狀場效電晶體及/或非平面裝置可形成於基板202上的鰭狀物204上。鰭狀物204可為任何隆起結構,且可包含鰭狀場效電晶體的鰭狀物204,以及用於形成其他隆起的主動與被動裝置於基板202上的鰭狀物204。鰭狀物204與基板202的組成可類似或不同。舉例來說,一些實施例的基板202主要包含矽,而鰭狀物204的一或多層主要包含鍺或矽鍺半導體。在一些實施例中,基板202包含矽鍺半導體,且鰭狀物204包括矽鍺比例不同於基板202的一或多層矽鍺氧化物。
鰭狀物204的形成方法可為蝕刻基板202的部份,且蝕刻方法可為沉積多種層狀物於基板202上並蝕刻層狀物,及/或其他合適技術。舉例來說,可採用一或多道光微影製程圖案化鰭狀物204,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距可小於採用單一直接的光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於鰭狀物204及一或多個鰭狀物頂部硬遮罩(如鰭狀物頂部硬遮罩206與208)上。採用光微影製程圖案化犧牲層。採用自對準製程,沿著圖案化的犧牲層側部形成間隔物。接著移除犧牲層,而保留的間隔物可用於圖案化鰭狀物204。圖案化鰭狀物204的方法,可為移除間隔物未覆蓋的鰭狀物頂部硬遮罩206與208及鰭狀物204的材料。
鰭狀物頂部硬遮罩206與208可用於控制定義鰭狀物204所用的蝕 刻製程,且可在後續製程時保護鰭狀物204。綜上所述,鰭狀物頂部硬遮罩206與208彼此之間可具有不同的蝕刻選擇性,且與鰭狀物204的材料具有不同的蝕刻選擇性。鰭狀物頂部硬遮罩206與208可包含介電材料如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物。
圖案化與蝕刻製程可保留任何寬度的間隙於鰭狀物204之間。此技術可用於選擇性地形成切割結構於這些間隙中,且間隙多於最小空間,如下詳述。
如圖1A的步驟104與圖3所示,形成第一介電層302於基板202、鰭狀物204、以及鰭狀物頂部硬遮罩206與208上。第一介電層302可填充隔有最小空間的鰭狀物204之間的凹陷,並定義溝槽304。溝槽304用於形成切割結構於一些鰭狀物204之間,且這些鰭狀物204隔有的空間大於最小空間。這種作法可採用實質上順應性地技術形成第一介電層302,其厚度306至少為一半的鰭狀物204之間的最小空間,使鰭狀物204的側壁的第一部份與相鄰的另一鰭狀物204的側壁的第二部份合併(若鰭狀物204之間隔有最小空間)。在多種例子中,這表示厚度306介於約10nm至約50nm之間。
綜上所述,第一介電層302的形成方法可為任何合適製程。在一些例子中,第一介電層302的沉積方法可採用原子層沉積、電漿輔助原子層沉積、化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、及/或其他合適的沉積製程。第一介電層302可包含介電材料如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、金屬氧化物、或類似物。在一些例子中,第一介電層302包含不同介電材料的多個子層。
如圖1A的步驟106與圖4所示,形成切割結構402於第一介電層302 中的溝槽304中的鰭狀物204之間。切割結構402自對準第一介電層302,延伸方向平行於鰭狀物204,且與最近的鰭狀物204之間隔有固定距離。採用第一介電層302對準切割結構402,而非採用微影形成切割結構,可避免微影系統對準所造成的位置誤差。如此一來,可安全地縮小切割結構402與相鄰的鰭狀物204之間的空間。可類似並安全地縮小切割結構402的寬度404,就算整個工件200的切割結構402可具有不同寬度。在一些例子中,最小的切割結構402之最小的寬度404可與最小的鰭狀物寬度實質上相同,比如介於約3nm至約10nm之間。
切割結構402可包含任何合適材料如一或多種介電材料,包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物。可選擇切割結構402的材料,使其與第一介電層302、鰭狀物頂部硬遮罩206與208、及/或鰭狀物204的材料具有不同的蝕刻選擇性。在多種例子中,切割結構402包含氧化鉿、氧化鋯、氧化鋁、氧化鑭、氮化硼、氧化矽、氮化矽、碳氮化矽、氮氧化矽、碳氮氧化矽、及/或其他合適材料。
切割結構402的形成方法可為任何合適製程。在一些例子中,切割結構402的沉積方法採用化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助原子層沉積、及/或其他合適的沉積製。在這些例子中,切割結構402的形成方法採用可流動的化學氣相沉積製程,以填入第一介電層302中的溝槽304。在沉積之後可進行化學機械研磨製程,以自第一介電層302的頂部移除切割結構402的材料。綜上所述,化學機械研磨製程可採用第一介電層302作為化學機械研磨停止層。在後續的例子中,說明具有多個不同材料層的切割結構所用的形成技術。
如圖1A的步驟108與圖5所示,進行蝕刻製程以自鰭狀物204與切割結構402之間回蝕刻第一介電層302。蝕刻可設置為保留第一介電層302的一些 部份於鰭狀物204及切割結構402之間以用於電性隔離,並露出鰭狀物204與切割結構402的一部份。在多種例子中,鰭狀物204延伸高於保留的第一介電層302之最頂部表面之距離,可介於約100nm至約500nm之間。
步驟108的蝕刻製程可包含任何合適蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化、及/或其他蝕刻方法。在一些實施例中,蝕刻製程包括採用氟為主的蝕刻劑、氧為主的蝕刻劑、氯為主的蝕刻劑、溴為主的蝕刻劑、碘為主的蝕刻劑、其他合適的蝕刻劑氣體或電漿、及/或上述之組合的非等向乾蝕刻。具體而言,蝕刻步驟與蝕刻化學劑可設置為蝕刻第一介電層302,而不明顯蝕刻鰭狀物204、鰭狀物頂部硬遮罩206與208、或切割結構402。
如圖1A的步驟110與圖6所示,可形成第二介電層602於鰭狀物204及切割結構402上。第二介電層602可包含任何合適材料如一或多種介電材料,其包含半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、及/或半導體碳氮氧化物。在一例中,第二介電層602包含氧化矽。
第二介電層602的形成方法可為任何合適製程。在一些例子中,第二介電層602的形成方法可採用熱氧化、原子層沉積、電漿輔助原子層沉積、化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、及/或其他合適技術。第二介電層602可具有任何合適厚度。在多種例子中,第二介電層602的厚度可介於約1nm至約5nm之間。
如圖1A的步驟112與圖6所示,占位閘極604形成於鰭狀物204的通道區上並圍繞通道區,並形成於切割結構402上並圍繞切割結構402。當功能閘極結構的材料易受製作製程影響或難以圖案化,一些製作製程可採用多晶矽、介電層、及/或其他彈性材料的占位閘極604。在閘極後製製程中,之後移除占位閘極,並取代為功能閘極的單元如閘極、閘極介電層、界面層、與類似物。在此方式中,占位閘極604可保留空間以用於後續形成的功能閘極。
占位閘極604垂直於鰭狀物204與切割結構402,並延伸高於鰭狀物204(包括任何鰭狀物頂部硬遮罩206及208)及切割結構402的頂部一段距離606。在一例中,鰭狀物204與鰭狀物頂部硬遮罩206及208延伸高於第一介電層302的距離介於約100nm至約500nm之間,而占位閘極604自鰭狀物頂部硬遮罩206與208的最上側表面另外延伸的距離大於或等於50nm。
占位閘極604可包含任何合適材料,比如多晶矽、一或多種介電材料(如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、或類似物)及/或其他合適材料。占位閘極604的材料之形成方法可為任何合適製程,包含化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助原子層沉積、及/或其他合適的沉積製程。在一些例子中,毯覆性地沉積並蝕刻占位閘極的材料,以選擇性地移除占位閘極604的材料的部份,使占位閘極604保留於鰭狀物204的通道區上。為幫助圖案化,可在蝕刻之前形成一或多個占位閘極硬遮罩層608(如介電材料或其他合適材料)於占位閘極材料的頂部上。
如圖1A的步驟114與圖7所示,形成側壁間隔物702於占位閘極604的側表面上。在多種例子中,側壁間隔物702包含一或多層的合適材料如介電材料,其可為半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮氧化物、或類似物。在一實施例中,側壁間隔物702各自包含半導體氧化物的第一層、半導體氮化物的第二層位於第一層上、以及半導體氧化物的第三層位於第二層上。在實施例中,側壁間隔物702的每一層厚度介於約1nm至約50nm之間。
如圖1A的步驟116與圖7所示,源極/汲極結構704形成於鰭狀物204上及占位閘極604的兩側上。源極/汲極結構704的形成方法可為使鰭狀物204凹陷,並沉積材料於凹陷中,且沉積方法法可採用化學氣相沉積的沉積技術(如氣 相磊晶及/或超真空化學氣相沉積)、分子束磊晶、及/或其他合適製程。磊晶製程可採用氣相及/或液相的前驅物,其與鰭狀物204的保留部份的組成(如矽或矽鍺)作用,以形成源極/汲極結構704。源極/汲極結構704的半導體組成,可與鰭狀物204的保留部份類似或不同。舉例來說,可形成含矽的源極/汲極結構704於含矽鍺的鰭狀物204上,反之亦然。當源極/汲極結構704與鰭狀物204包含多種半導體時,半導體的比例可實質上類似或不同。
可原位摻雜源極/汲極結構704以包含p型摻質如硼、二氟化硼、或銦;n型摻質如磷或砷;及/或包含上述之組合的其他合適摻質。在額外或其他實施例中,可在形成源極/汲極結構704之後,採用佈植製程(如接面佈植製程)摻雜源極/汲極結構704。關於特定的摻質種類,源極/汲極結構704與鰭狀物204的其餘部份之摻砸型態相反。對p型通道裝置而言,鰭狀物204可摻雜n型摻質,而源極/汲極結構704可摻雜p型摻質。對n型通道裝置而言,鰭狀物204可摻雜p型摻質,而源極/汲極結構704可摻雜n型摻質。一旦將摻質導入源極/汲極結構704,即可進行摻質退火製程如快速熱退火製程及/或雷射退火製程以活化摻質。
接點蝕刻停止層706可形成於源極/汲極結構704上,並可沿著占位閘極604的頂部與側部。接點蝕刻停止層706可包含介電層(如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、或類似物)及/或其他合適材料。在多種實施例中,接點蝕刻停止層706可包含氮化矽、氧化矽、氮氧化矽、及/或碳化矽。接點蝕刻停止層706的沉積方法可為任何合適技術,包含原子層沉積、電漿輔助原子層沉積、化學氣相沉積、電漿輔助化學氣相沉積、及/或高密度電漿化學氣相沉積。接點蝕刻停止層706可由合適技術沉積至任何合適厚度。在一些例子中,接點蝕刻停止層706的厚度介於約1nm至約50nm之間。
如圖1A的步驟118與圖7及8所示,形成層間介電層708於工件200上。層間介電層708可作為絕緣層,其支撐並隔離電性多層內連線結構的導電線 路。反過來說,多層內連線結構可電性內連線工件200的單元如源極/汲極結構704與功能閘極。層間介電層708可包含介電材料(如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、或類似物)、旋轉塗佈玻璃、攙雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、Black Diamond®(Applied Materials,Santa Clara,加州)、乾凝膠、氣膠、非晶氟化碳、聚對二甲苯、苯并環丁烯、SilK®(Dow Chemical,Midland,密西根州)、及/或上述之組合。層間介電層708的形成方法可為任何合適製程,包含化學氣相沉積、物理氣相沉積、旋轉塗佈沉積、及/或其他合適製程。
如圖7與8所示,在沉積層間介電層708之後可進行化學機械研磨製程,以平坦化層間介電層708、接點蝕刻停止層706、側壁間隔物702、及/或占位閘極604。具體而言,化學機械研磨製程可自占位閘極604的頂部移除占位閘極硬遮罩層608。
如圖1B的步驟120與圖9所示,形成一或多個圖案化硬遮罩(如切割圖案化硬遮罩902與904)於層間介電層708及占位閘極604上。可圖案化切割圖案化硬遮罩902與904,以露出切割結構402的部份(不需要的部份)。在後續製程中,切割圖案化硬遮罩902與904未保護的切割結構402的部份將凹陷,使後續形成的閘極可延伸於凹陷的切割結構402上並相連。相反地,圖案化的切割圖案化硬遮罩902與904覆蓋的切割結構402的部份,將保留以分開閘極。
切割圖案化硬遮罩902與904可包含任何合適的遮罩材料如一或多種介電材料,包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、或金屬氧化物。在一例中,切割圖案化硬遮罩902可包含非晶矽,而第二切割圖案化硬遮罩可包含介電材料。
如圖1B的步驟122所示,回蝕刻切割圖案化硬遮罩902與904未覆蓋的切割結構402,而切割圖案化硬遮罩902與904覆蓋的其他切割結構402維持 原樣。此種作法包含一或多道蝕刻製程,其各自設置為選擇性地蝕刻特定的材料或一組材料。步驟122的蝕刻製程可包括任何合適的蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化、及/或其他蝕刻方法。
如圖10所示的一些例子,步驟122包括第一蝕刻製程以回蝕刻切割圖案化硬遮罩902與904所露出的占位閘極604的部份,以至少露出下方的鰭狀物204與切割結構402的頂部。在圖11所示的例子中,第一蝕刻製程之後進行第二蝕刻製程,其設置以移除第二介電層602並使露出的切割結構402凹陷,以形成凹陷的切割結構402’。可移除任何合適量的切割結構402。在一例中,露出的切割結構402凹陷後,其低於鰭狀物204(包括保留於鰭狀物204上的任何鰭狀物頂部硬遮罩206及/或208)的最頂部表面的距離1102介於約20nm至約100nm。使切割結構402凹陷的此方式所留下的空間,之後可用於形成閘極,且閘極延伸於切割結構402的兩側上的鰭狀物204之間。
第二蝕刻製程亦可使鰭狀物頂部硬遮罩206與208凹陷。在一些例子中,第二蝕刻製程完全移除鰭狀物頂部硬遮罩206與208,以露出鰭狀物204。在一些例子中,第二蝕刻製程留下的鰭狀物頂部硬遮罩206與208的合併厚度不大於約10nm。在這兩種例子中,鰭狀物204及任何鰭狀物頂部硬遮罩206與208的頂部,低於未蝕刻的切割結構402的最頂部表面,可保留空間以用於延伸在鰭狀物204上的功能閘極。在一些例子中,步驟122使未蝕刻的切割結構402的最頂部表面,高於鰭狀物204與鰭狀物頂部硬遮罩206及208的距離1104介於約5nm至約50nm之間。
如圖1B的步驟124所示,可進行蝕刻製程以移除殘留的切割圖案化硬遮罩902與904及占位閘極604。此步驟可包含自鰭狀物204與切割結構402移除殘留的第二介電層602。蝕刻製程可包含一或多道重複的多種蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化、或類似技術,其各自設置以選擇性 地蝕刻特定材料或一組材料。
移除占位閘極604所留下的凹陷,將形成功能閘極。如圖1B的步驟126與圖12所示,形成功能閘極1202於凹陷中的方法一開始形成界面層1204於鰭狀物204的側表面上及鰭狀物204的頂部上。在這些實施例中,已移除鰭狀物頂部硬遮罩206與208。界面層1204可包含界面材料,比如半導體氧化物、半導體氮化物、半導體氮氧化物、其他半導體介電材料、其他合適的界面材料、及/或上述之組合。可採用任何合適製程以形成合適厚度的界面層1204,且製程可包含熱成長、原子層沉積、化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、旋轉塗佈沉積、及/或其他合適的沉積製程。在一些例子中,界面層1204的形成方法為熱氧化製程,其可包含存在於鰭狀物204中的半導體的熱氧化物,比如含矽的鰭狀物204的氧化矽、含矽鍺的鰭狀物204的矽鍺氧化物、或類似物。
如圖1B的步驟128所示,形成閘極介電層1206於鰭狀物204之側表面與底部上的界面層1204上。閘極介電層1206可包含一或多種介電材料,其特徵通常為相對於氧化矽的介電常數。在一些實施例中,閘極介電層1206包括高介電常數的介電材料,比如氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鋯、氧化鋁、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、及/或上述之組合。在額外實施例或其他實施例中,閘極介電層1206可包含其他介電層如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、非晶碳、四乙氧基矽烷的氧化物、其他合適的介電材料、及/或上述之組合。閘極介電層1206的形成方法可採用任何合適製程,包含原子層沉積、電漿輔助原子層沉積、化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、旋轉塗佈沉積、及/或其他合適的沉積製程。閘極介電層1206可具有任何合適厚度。在一些例子中,閘極介電層1206的厚度介於約0.1nm至約3nm之間。
如圖1B的步驟130所示,形成功能閘極1202的一或多個功函數層1208於閘極介電層1206上。具體而言,功函數層1208可形成於鰭狀物204的頂部與側部上,並形成於切割結構402與凹陷的切割結構402’的頂部與側部上。合適的功函數層的材料,包括依據裝置型態的n型及/或p型功函數材料。例示性的p型功函數金屬包括氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、氮化鎢、其他合適的p型功函數材料、及/或上述之組合。例示性的n型功函數金屬包括鈦、銀、鉭鋁、碳化鉭鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、其他合適的n型功函數材料、及/或上述之組合。功函數層1208的沉積方法可為任何合適技術,包含原子層沉積、化學氣相沉積、電漿輔助化學氣相沉積、電漿輔助原子層沉積、物理氣相沉積、及/或上述之組合。
如圖1B的步驟132所示,沉積功能閘極1202的閘極填充層1210於功函數層1208上。閘極填充層1210可包含任何合適材料,包括金屬(如鎢、鋁、鉭、鈦、鎳、銅、鈷、或類似物)、金屬氧化物、金屬氮化物、及/或上述之組合。在一例中,閘極填充層1210可包含鎢。閘極填充層1210的沉積方法可為任何合適技術,包含原子層沉積、化學氣相沉積、電漿輔助化學氣相沉積、電漿輔助原子層沉積、物理氣相沉積、及/或上述之組合。
如圖1B的步驟134與圖13所示,可進行化學機械研磨製程以移除功能閘極1202之外的多餘閘極材料(如閘極介電層1206、功函數層1208、閘極填充層1210、與類似物的材料)。具體而言,化學機械研磨製程自步驟122中未凹陷的切割結構402之頂部,移除含有功函數層1208與閘極填充層1210的功能閘極1202的導電材料。在此方式中,這些切割結構402提供絕緣結構,其可電性隔離功能閘極1202。因此上述技術產生的功能閘極1202在相同平面中延伸並對準,但被切割結構402電性隔離。如圖13所示,凹陷的切割結構402’不作為絕緣結構, 而功能閘極1202延伸於凹陷的切割結構402’上。
與此相較,化學機械研磨製程可留下功能閘極1202的導電材料之一部份於鰭狀物204及任何鰭狀物頂部硬遮罩206與208上。在這些例子中,鰭狀物204上的功能閘極1202的厚度1302介於約5nm至約50nm之間。
如圖1B的步驟136所示,接著可對工件200進行後續製作製程。在多種例子中,這些製作製程包含形成額外層間介電層(如第二層間介電層1304)、形成耦接至源極/汲極結構704與功能閘極1202的接點1306、形成電性內連線結構的其餘部份、切割、封裝、與其他製作製程。
這些其餘製程可包含形成電性耦接至功能閘極1202的接點。在一些例子中,改變步驟120中切割圖案化硬遮罩902與904的圖案,可水平地薄化較寬的切割結構402,以提供接點所用的額外耦接區域。方法100所形成的薄化切割結構的例子,可搭配圖14與15說明。圖14與15係本發明多種實施例中,具有薄化切割結構的工件沿著閘極區的剖視圖。
如圖14所示,工件1400與工件200實質上類似,差別如下述。切割圖案化硬遮罩902與904只保護切割結構402A的一部份,切割結構402A的頂部的寬度1402比底部的寬度1404窄。在一些例子中,底部的寬度1404為約500nm,頂部的寬度1402介於約3nm至約490nm之間。頂部延伸高於底部的距離1406可為任何高度。在多種例子中,頂部延伸高於底部的距離1406介於約20nm至約150nm之間。
如圖所示,當第二層間介電層1304形成於功能閘極1202上,較窄的切割結構402A可讓接點1306耦接至功能閘極1202所用的區域更大。
圖15所示的工件1500與工件200及工件1400類似,差別如下述。具體而言,工件1500與工件1400實質上類似,差別在於切割結構402B的較窄頂部配置於切割結構402B的較寬底部的中間處。此設置在形成第二層間介電層1304 於功能閘極1202之上時,可讓耦接至功能閘極1202的接點1306所用的區域較多。
上述方法100可用於自對準接點製程。本發明的例子可提供含有多個場效電晶體的積體電路,以及形成電性隔離的閘極於選定場效電晶體裝置的通道區上所用的切割技術。在此考量下,圖16係本發明多種實施例中,採用自對準接點製程製作工件1700的方法1600之流程圖。在方法1600之前、之中、與之後可提供額外步驟,且方法1600的其他實施例可置換或省略一些所述步驟。圖17至19係本發明多種實施例中,採用自對準接點製程的方法1600所製作的工件1700沿著閘極區的剖視圖。
如圖16的步驟1602所示,在工件1700上進行圖1A與1B的步驟102至134的製程,使工件1700與工件200實質上類似,其差異如下述。如圖17的步驟1604所示,進行蝕刻製程使功能閘極1202的材料(如閘極介電層1206、功函數層1208、閘極填充層1210、與類似物)凹陷,以露出步驟122中未凹陷的切割結構402的頂部。多種例子控制蝕刻,使功能閘極1202的頂部高於鰭狀物204與任何保留的鰭狀物頂部硬遮罩206及/或208的頂部之距離1702,介於約5nm至約50nm之間。在這些例子中,切割結構402延伸高於蝕刻的功能閘極1202的頂部之距離1704,介於約1nm至約30nm之間。蝕刻製程可包含任何合適的蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化、及/或其他蝕刻方法,且蝕刻步驟與蝕刻化學劑可設置以蝕刻閘極填充層1210、功函數層1208、及/或閘極介電層1206的材料,而不明顯蝕刻切割結構402。
如圖16的步驟1606與圖18所示,形成自對準接點介電層1802於蝕刻的功能閘極1202上。自對準接點介電層1802可包含任何合適材料如一或多種介電材料,包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物。在多種例子中,自對準接點介電層1802包含氧化鉿、氧化鋯、氧化鋁、氧化鑭、氮化硼、氧化 矽、氮化矽、碳氮化矽、氮氧化矽、及/或碳氮氧化矽。
自對準接點介電層1802的形成方法可為任何合適製程。在一些例子中,自對準接點介電層1802的沉積方法採用化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助原子層沉積、及/或其他沉積製程。沉積之後可進行化學機械研磨製程,以移除閘極區之外的材料。在化學機械研磨製程之後,閘極區中平坦化的自對準接點介電層1802可具有任何合適的厚度1804。在多種例子中,自對準接點介電層1802的厚度1804介於約30nm至約500nm之間。
如圖16的步驟1608與圖19所示,接著對工件1700進行後續製作製程。在多種例子中,額外製作製程包括形成耦接至源極/汲極結構704與功能閘極1202的接點1902、形成電性內連線結構的其餘部份、切割、封裝、以及其他製作製程。
在一些例子中,步驟1604的蝕刻可刻意會非刻意地使切割結構402的部份凹陷。圖20所示的工件2000與工件1700實質上類似,其差異如下述。如圖所示,切割結構402的頂部凹陷後,低於功能閘極1202的頂部。切割結構402的凹陷部份可填有自對準接點介電層1802。在多種例子中,自對準接點介電層1802延伸低於功能閘極1202的距離2002,介於約1nm至約50nm之間。
在一些例子中,改變步驟120中切割圖案化硬罩902與904的圖案,可水平地薄化較寬的切割結構402,以提供接點所用的額外耦接區域。方法1600所形成的薄化切割結構之例子,可搭配圖21與22說明。圖21與22係本發明多種實施例中,工件沿著具有薄化切割結構的閘極區之剖視圖。
圖21所示的工件2100與工件1700類似,差異如下述。切割圖案化硬遮罩902與904只保護切割結構402C的一部份,使形成的切割結構402C之頂部的寬度2102比底部的寬度2104窄。在底部的寬度2104為約500nm的一些例子中, 頂部的寬度2102可介於約3nm至約490nm之間。頂部延伸高於底部的距離2106可為任意數值。在多種例子中,頂部延伸高於底部的距離2106介於約20nm至約150nm之間。如圖所示,較窄的切割結構402C可讓耦接至功能閘極1202的接點1902所用的空間較大。
圖22所示的工件2200與工件1700及工件2100實質上類似,其差異如下述。具體而言,工件2200與工件2100實質上類似,差異在於切割結構402D的較窄頂部配置於切割結構402D的較寬底部之中間處。此設置可讓接點1902耦接至功能閘極1202所用的空間較大。
如上所述,切割結構可包含多種類似或不同的材料。切割結構的材料差異,可用於選擇性地蝕刻切割結構的特定層狀物。在此考量下,圖23係本發明多種實施例中,製作具有多層閘極切割結構的工件2400之方法2300的流程圖。在方法2300之前、之中、與之後可進行額外步驟,且方法2300的其他實施例可置換或省略一些所述步驟。圖24與25係本發明多種實施例中,進行製作多層閘極切割結構的方法2300所形成的工件2400之透視圖。圖26與27係本發明多種實施例中,進行方法2300所形成的工件2400沿著閘極區的剖視圖。
如圖23的步驟2302與圖24所示,在工件2400上進行圖1A的步驟102至104的製程,使工件2400與工件200實質上類似,其差異如下述。
如圖23的步驟2304與圖25所示,形成切割結構2502於第一介電層302中的溝槽中的鰭狀物204之間。切割結構2502包括第一材料的第一層2504與第二材料的第二層2506,且第一材料與第二材料的蝕刻選擇性不同。第一層2504與第二層2506可各自包含介電材料,比如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物。在多種例子中,切割結構2502的第一層2504與第二層2506包含氧化鉿、氧化鋯、氧化鋁、氧化鑭、氮化硼、氧化矽、氮化矽、碳氮化矽、氮氧化矽、 碳氮氧化矽、及/或其他合適材料。
第一層2504與第二層2506可具有任何合適高度。在一例中,第一層2504的上表面,與含有任何鰭狀物頂部硬遮罩206及/或208的鰭狀物204的頂部實質上共平面。在此例中,第二層2506的厚度2508介於約10nm至約30nm之間。
切割結構2502的第一層2504與第二層2506之形成方法,可為任何合適製程。在一些例子中,切割結構2502的沉積方法採用化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助原子層沉積、及/或其他合適的沉積製程。在這些例子中,第一層2504的形成方法採用可流動的化學氣相沉積製程,其設置以填充第一介電層302中的溝槽304。接著回蝕刻第一層2504以產生凹陷,使第二層2506可沉積其中。在一些例子中,形成第一層2504的步驟不會填充溝槽304以留下凹陷於溝槽304中,且凹陷之後用於沉積第二層2506。沉積製程之後可進行化學機械研磨製程,以自第一介電層302的頂部移除第一層2504與第二層2506的材料。
如圖23的步驟2306所示,在工件2400上進行圖1A與1B的步驟108至120的製程。這些製程可包含形成切割圖案化硬遮罩902於多層切割結構2502的部份上。如圖23的步驟2308與圖26所示,回蝕刻切割圖案化硬遮罩902與904未覆蓋的切割結構2502,而切割圖案化硬遮罩902與904覆蓋的切割結構2502維持原樣。此步驟採用的蝕刻技術設置為蝕刻切割結構2502的第二層2506,而不明顯蝕刻第一層2504。選擇性蝕刻第二層2506所提供的表面(由第一層2504定義)實質上平坦且無碟化或圓潤角的現象,且不需精確的蝕刻時間即可提供一致及受控制的蝕刻深度。步驟2308的蝕刻可包含任何合適的蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化、及/或其他蝕刻方法。在一些實施例中,回蝕刻切割圖案化硬遮罩902與904未覆蓋的鰭狀物頂部硬遮罩206及/或208。在一些例子中,部份地移除切割圖案化硬遮罩902與904未覆蓋的鰭狀物頂部硬遮罩206 及/或208。舉例來說,移除鰭狀物頂部硬遮罩208,並部份地移除鰭狀物頂部硬遮罩206。在一些其他例子中,完全移除切割圖案化硬遮罩902與904未覆蓋的鰭狀物頂部硬遮罩206及/或208,以露出鰭狀物204。
如圖23的步驟2310所示,在工件2400上進行圖1B的步驟124至134。在此方式中,形成於鰭狀物204及切割結構2502上的功能閘極1202實質上如前述。如圖23的步驟2312與圖27所示,進行蝕刻製程使功能閘極1202的材料(如閘極介電層1206、功函數層1208、閘極填充層1210、與類似物)凹陷,以露出未凹陷的切割結構2502的頂部。多種例子控制蝕刻,使功能閘極1202的頂部高於鰭狀物204及任何保留的鰭狀物頂部硬遮罩206及/或208的距離2702介於約5nm至約50nm之間。在這些例子中,切割結構2502延伸高於蝕刻的功能閘極1202之頂部的距離2704介於約1nm至約30nm之間。
如圖23的步驟2314所示,形成自對準的接點介電層1802於蝕刻的功能閘極1202上。自對準接點介電層1802實質上如上述,且可包含任何合適材料如一或多種介電材料,包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物。
自對準接點介電層1802的形成方法可為任何合適製程,包括化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助原子層沉積、及/或其他沉積製程,且自對準接點介電層1802可具有任何合適的厚度2706。在多種例子中,自對準接點介電層1802的厚度2706介於約30nm至約500nm之間。
如圖23的步驟2316所示,可對工件1700進行後續製作製程。在多種例子中,這些製作製程包括形成耦接至源極/汲極結構704與功能閘極1202的接點1902、形成電性內連線結構的其餘部份、切割、封裝、以及其他製作製程。
在其他例子中,切割結構具有額外層狀物。圖28所示的工件2800 與工件2400實質上類似。工件2800的切割結構2802包括第一層2804、位於第一層2804之頂部與側部上的第二層2806、以及位於第二層2806上的第三層2808。在此例中,第二層2806的厚度2810介於約3nm至約50nm之間。第一層2804、第二層2806、與第三層2808可各自包含任何合適材料如一或多種介電材料,包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物,且第一層2804、第二層2806、與第三層2808的材料可彼此不同。
形成多層閘極切割結構所用的技術之其他例子,可搭配圖29A至40說明。在此考量下,圖29A與29B係本發明多種實施例中,製作具有多層閘極切割結構的工件3000之方法2900的流程圖。在方法2900之前、之中、與之後可提供額外步驟,且方法2900的其他實施例可置換或省略一些所述步驟。圖30至36係本發明多種實施例中,進行方法2900所製作的工件3000之透視圖。圖37至40係本發明多種實施例中,工件3000沿著閘極區的剖視圖。
如圖29A的步驟2902與圖30所示,在工件3000上進行圖1A的步驟102至104。如此一來,工件3000可包含鰭狀物204與位於鰭狀物204上的第一介電層302,實質上如上所述。
如圖29A的步驟2904與圖30所示,第一切割結構介電層3002形成於第一介電層302的上表面與側表面上。以第一介電層302自對準第一切割結構介電層3002,使其延伸方向平行於鰭狀物204,且第一切割結構介電層3002與最靠近的鰭狀物204隔有固定距離。
第一切割結構介電層3002可包含任何合適材料如一或多種介電材料,包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物。在多種例子中,第一切割結構介電層3002包含氧化鉿、氧化鋯、氧化鋁、氧化鑭、氮化硼、氧化矽、 氮化矽、碳氮化矽、氮氧化矽、碳氮氧化矽、及/或其他合適材料。
第一切割結構介電層3002的形成方法可為任何合適製程。在一些例子中,第一切割結構介電層3002的沉積方法採用原子層沉積、電漿輔助原子層沉積、化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、及/或其他合適的沉積製程。製程可形成任何合適厚度的第一切割結構介電層3002。在一些例子中,第一切割結構介電層3002的厚度3004與最小鰭狀物寬度實質上相同,比如介於約3nm至約10nm之間。
如圖29A的步驟2906與圖31所示,第二切割結構介電層3102形成於第一切割結構介電層3002中的溝槽中的鰭狀物204之間。第二切割結構介電層3102可包含任何合適材料如一或多種介電材料,包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物。可選擇第二切割結構介電層3102的材料,使其蝕刻選擇性不同於第一切割結構介電層3002的蝕刻選擇性。在一例中,第一切割結構介電層3002包括半導體氮化物,而第二切割結構介電層3102包括可流動的氧化矽。
第二切割結構介電層3102的形成方法可為任何合適製程。在一些例子中,第二切割結構介電層3102的沉積方法採用化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助原子層沉積、及/或其他合適的沉積製程。在這些例子中,第二切割結構介電層3102的形成方法採用可流動的化學氣相沉積製程,其設置為填充第一切割結構介電層3002中的溝槽。
如圖29A的步驟2908與圖32所示,回蝕刻第二切割結構介電層3102。回蝕刻製程留下的第二切割結構介電層3102的保留部份,可具有任何合適的厚度3202。在這些例子中,回蝕刻製程之後保留的第二切割結構介電層3102的厚度3202介於約30nm至約100nm之間。
步驟2908的蝕刻製程可包括任何合適的蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化、及/或其他蝕刻方法。蝕刻步驟與蝕刻化學劑設置為蝕刻第二切割結構介電層3102,而不明顯蝕刻第一切割結構介電層3002。
如圖29A的步驟2910與圖33所示,形成第三切割結構介電層3302於第二切割結構介電層3102的頂部與第一切割結構介電層3002的側部上。第三切割結構介電層3302可包含任何合適材料如一或多種介電材料,包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物。在一些例子中,第三切割結構介電層3302與第一切割結構介電層3002的組成實質上相同。
第三切割結構介電層3302的形成方法可為任何合適製程。在一些例子中,第三切割結構介電層3302的沉積方法採用原子層沉積、電漿輔助原子層沉積、化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、及/或其他合適的沉積製程。
如圖29A的步驟2912所示,形成第四切割結構介電層3304於第三切割結構介電層3302的溝槽中。第四切割結構介電層3304可包含任何合適材料如一或多種介電材料,包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物。在多種例子中,第四切割結構介電層3304包括高介電常數的介電層或其他合適的介電材料。
第四切割結構介電層3304的形成方法可為任何合適製程。在一些例子中,第四切割結構介電層3304的沉積方法採用化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助原子層沉積、及/或其他合適的沉積製程。在這些例子中,第四切割結構介電層3304的形成方法可採用化學氣相沉積製程,其設置為填充第三切割結構介電 層3302中的溝槽。
如圖29A的步驟2914與圖34所示,在工件3000上進行化學機械研磨製程以移除第一切割結構介電層3002、第二切割結構介電層3102、第三切割結構介電層3302、第四切割結構介電層3304、及/或第一介電層302的多餘材料。化學機械研磨製程可採用鰭狀物頂部硬遮罩206與208的材料之一作為化學機械研磨停止層,以平坦化上述層狀物,使上述層狀物與鰭狀物204實質上等高。這種作法的化學機械研磨製程可定義閘極切割結構3402,其包括第一切割結構介電層3002、第二切割結構介電層3102、第三切割結構介電層3302、及/或第四切割結構介電層3304。
如圖29A的步驟2916與圖35所示,回蝕刻第一切割結構介電層3002與第三切割結構介電層3302的露出部份。對較寬的切割結構3402而言,此步驟可使切割結構3402的邊緣之第一切割結構介電層3002與第三切割結構介電層3302的露出部份凹陷,而切割結構3402的中間處之第四切割結構介電層3304所保護的部份保留完整。回蝕刻製程留下的第一切割結構介電層3002與第三切割結構介電層3302可具有任何合適高度。在一些例子中,露出的蝕刻後的第一切割結構介電層3002與第三切割結構介電層3302的保留部份頂部,與第四切割結構介電層3304之下的第三切割結構介電層3302的部份實質上等高。在一些例子中,保留的第一切割結構介電層3002與第三切割結構介電層3302的露出與蝕刻部份,延伸高於第四切割結構介電層3304之下的第三切割結構介電層3302之部份上的距離,介於約10nm至約50nm之間。
步驟2916的蝕刻製程可包含任何合適的蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化、及/或其他蝕刻方法。蝕刻步驟與蝕刻化學劑可設置為蝕刻第一切割結構介電層3002與第三切割結構介電層3302,而不明顯蝕刻第四切割結構介電層3304或第一介電層302。
如圖29B的步驟2918與圖36所示,形成第五切割結構介電層3602於凹陷的第一切割結構介電層3002與第三切割結構介電層3302上。第五切割結構介電層3602可包含任何合適材料如一或多種介電材料,包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物。在一些例子中,第五切割結構介電層3602與第四切割結構介電層3304的組成實質上相同,且包括高介電常數的介電層或其他合適的介電材料。
第五切割結構介電層3602的形成方法可為任何合適製程。在一些例子中,第五切割結構介電層3602的沉積方法採用化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助原子層沉積、及/或其他合適的沉積製程。在形成第五切割結構介電層3602之後,可進行化學機械研磨製程。
如圖29A的步驟2920所示,在工件3000上進行圖1A與1B的步驟108至120的製程。這些製程可包括使第一介電層302凹陷、形成第二介電層於鰭狀物204與切割結構3402上、形成占位閘極604、以及形成一或多個切割圖案化硬遮罩902與904於工件3000上,以露出即將凹陷的一組切割結構3402,使功能閘極延伸於凹陷的切割結構3402上。
如圖29A的步驟2922所示,回蝕刻切割圖案化硬遮罩902與904未覆蓋的切割結構3402,而切割圖案化硬遮罩902與904覆蓋的其他切割結構3402維持原樣。此作法包括一或多道蝕刻製程,其各自設置為選擇性地蝕刻特定的材料或一組材料。步驟2922的蝕刻製程可包含任何合適的蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化、及/或其他蝕刻方法。
如圖37所示的一些例子,步驟2922包括第一蝕刻製程,其回蝕刻切割圖案化硬遮罩902與904所露出的占位閘極604的部份,以至少露出下方的鰭 狀物204與切割結構3402的頂部。在圖38所示的例子中,在第一蝕刻製程之後進行第二蝕刻製程,其設置以移除第二介電層602並使切割結構3402的露出部份凹陷。在一些例子中,第二蝕刻製程設置以選擇性地移除切割結構3402的特定材料如第四切割結構介電層3304與第五切割結構介電層3602,而不明顯蝕刻其他材料如第一切割結構介電層3002與第三切割結構介電層3302。因此切割結構3402的保留材料之上表面可為實質上平坦的表面,其不具碟化、圓潤角落、與其他缺陷。
第二蝕刻製程亦可使鰭狀物頂部硬遮罩206與208凹陷。在一些例子中,第二蝕刻製程完全移除鰭狀物頂部硬遮罩206與208,以露出鰭狀物204。在一些例子中,第二蝕刻製程保留的鰭狀物頂部硬遮罩206與208的合併厚度不大於約10nm。在這兩種例子中,鰭狀物204及任何鰭狀物頂部硬遮罩206與208的頂部,低於未蝕刻的切割結構3402的最頂部表面,以保留空間用於延伸在鰭狀物204上的功能閘極。
如圖29B的步驟2924所示,進行蝕刻製程以移除殘留的切割圖案化硬遮罩902與904及占位閘極604。此步驟可包含自鰭狀物204與切割結構3402移除殘留的第二介電層602。蝕刻製程可包含一或多道重複的多種蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化、或類似方法,其各自設置為選擇性地蝕刻特定的材料或一組材料。
移除占位閘極604後所留下的凹陷,可形成功能閘極於其中。如圖29B的步驟2926與圖39所示,進行圖1B的步驟126至134以形成功能閘極1202於凹陷中。在移除鰭狀物頂部硬遮罩206與208的實施例中,這些步驟可包括形成界面層1204於鰭狀物204的側表面與頂部上、形成閘極介電層1206於界面層1204上、形成一或多個功函數層1208於閘極介電層1206上、及/或形成閘極填充層1210於功函數層1208上,且上述步驟各自實質上如上述。可進行化學機械研磨製程, 以移除功能閘極1202之外的多餘閘極材料(如閘極介電層1206、功函數層1208、閘極填充層1210、與類似物的材料)。
如圖29B的步驟2928與圖40所示,進行蝕刻製程使功能閘極1202的材料(如閘極介電層1206、功函數層1208、閘極填充層1210、與類似物)凹陷,以露出步驟2922中未凹陷的切割結構3402的上表面。多種例子控制蝕刻,使功能閘極1202的頂部高於任何保留的鰭狀物頂部硬遮罩206及/或208與鰭狀物204的頂部之距離4002介於約5nm至約50nm之間。在這些例子中,切割結構3402延伸高於蝕刻的功能閘極1202的距離4004介於約1nm至約30nm之間。蝕刻製程可包含任何合適的蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化、及/或其他蝕刻方法,且蝕刻步驟與蝕刻化學劑可設置為蝕刻閘極填充層1210、功函數層1208、及/或閘極介電層1206的材料,而不明顯蝕刻切割結構3402。
如圖29B的步驟2930與圖40所示,形成自對準接點介電層1802於蝕刻的功能閘極1202上。自對準接點介電層1802可包含任何合適材料如一或多種介電材料,包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、及/或金屬氧化物。在多種例子中,自對準接點介電層1802包含氧化鉿、氧化鋯、氧化鋁、氧化鑭、氮化硼、氧化矽、氮化矽、碳氮化矽、氮氧化矽、及/或碳氮氧化矽。
自對準接點介電層1802的形成方法可為任何合適製程。在一些例子中,自對準接點介電層1802的沉積方法採用化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助原子層沉積、及/或其他沉積製程。沉積之後可進行化學機械研磨製程,以移除閘極區之外的材料,使閘極區中平坦化的自對準接點介電層1802具有任何合適厚度4006。在多種例子中,自對準接點介電層1802的厚度4006介於約30nm至約500nm之間。
如圖29B的步驟2932所示,接著可對工件3000進行後續製作製程。在多種例子中,這些製作製程包括形成接點以耦接至源極/汲極結構704與功能閘極1202、形成電性內連線結構的其餘部份、切割、封裝、與其他製作製程。
因此本發明實施例提供具有閘極切割結構的積體電路之例子,以及形成積體電路的方法。在一些例子中,方法包括接收工件,且工件包括基板與自基板延伸的多個鰭狀物。形成第一層於鰭狀物的每一者之側表面上,使第一層界定的溝槽延伸於鰭狀物之間。形成切割結構於溝槽中。形成第一閘極結構於鰭狀物的第一鰭狀物上,並形成第二閘極結構於鰭狀物的第二鰭狀物上,使切割結構位於第一閘極結構與第二閘極結構之間。在一些例子中,在形成第一閘極結構與第二閘極結構之前使第一層凹陷。第一層位於第一閘極結構與基板之間,以及第二閘極結構與基板之間。在一些例子中,在形成第一閘極結構與第二閘極結構之前使第一層凹陷,其中使第一層凹陷之後,鰭狀物延伸高於第一層的最頂部表面。在一些例子中,切割結構為第一切割結構且溝槽為一第一溝槽。形成第二切割結構於第一層界定的第二溝槽中;以及使第二切割結構凹陷,讓第一閘極結構延伸於第二切割結構上。在一些例子中,第一切割結構的寬度與第二切割結構的寬度不同。在一些例子中,使第二切割結構凹陷的步驟包括形成圖案化硬遮罩於第一切割結構上並露出第二切割結構,且使第二切割結構凹陷的步驟採用圖案化硬遮罩以避免明顯地蝕刻第一切割結構。在一些例子中,使第二切割結構凹陷的步驟包括形成圖案化硬遮罩於第一切割結構的第一部份上,並露出第一切割結構的第二部份與第二切割結構。使第二切割結構凹陷的步驟更使第一切割結構的第二部份凹陷,讓第一切割結構的底部寬度大於第一切割結構的頂部寬度。在一些例子中,第一切割結構與第二切割結構的每一者包含第一材料的第一切割結構層,與位於第一切割結構層上的第二材料的第二切割結構層,其中使第二切割結構凹陷的步驟移除第二切割結構的第 二切割結構層。在一些例子中,使第一閘極結構與第二閘極結構凹陷。形成介電層於第一閘極結構與第二閘極結構上,其中切割結構延伸至介電層中。在一些例子中,使第一閘極結構與第二閘極結構凹陷的步驟更使切割結構凹陷,且介電層延伸低於第一閘極結構的上表面並延伸至切割結構的上表面。
在其他例子中,方法包括接收基板,其具有自基板延伸的多個鰭狀物。形成介電層於鰭狀物的第一鰭狀物的側表面上;並沿著與第一鰭狀物相對的介電層的一側形成切割結構。使介電層凹陷,讓第一鰭狀物與切割結構延伸高於介電層。形成閘極結構於第一鰭狀物與切割結構上;以及使閘極結構凹陷,以形成第二閘極與第一鰭狀物上的第一閘極,且切割結構電性隔離第二閘極與第一閘極。在一些例子中,介電層位於閘極結構與基板之間,以及切割結構與基板之間。在一些例子中,形成介電層的步驟更形成介電層於鰭狀物的第二鰭狀物的側表面上。切割結構為第一切割結構。沿著與第二鰭狀物相對的介電層的一側形成第二切割結構。在一些例子中,第一切割結構的寬度與第二切割結構的寬度不同。在一些例子中,使第二切割結構凹陷,而不使第一切割結構凹陷。在一些例子中,第一閘極延伸於第二切割結構上。在一些例子中,使切割結構部份凹陷,讓切割結構的底部比切割結構的頂部寬。
在又一例中,裝置包括基板;第一鰭狀物與第二鰭狀物,自基板延伸;介電層,延伸於第一鰭狀物與第二鰭狀物之間;第一切割結構,位於介電層上;第一閘極結構,位於第一鰭狀物上;以及第二閘極結構,位於第二鰭狀物上,使第一閘極結構與第二閘極結構隔有第一切割結構。在一些例子中,介電層為第一介電層,且裝置更包括第二介電層位於第一閘極結構及第二閘極結構上,使第一切割結構延伸至第二介電層中。在一些例子中,裝置更包括第二切割結構位於介電層上並與第一鰭狀物相鄰,其中第一閘極結構的一部份延伸於第二切割結構上。在一些例子中,第一切割結構包括含有第一材料的第一 層與含有第二材料的第二層,且第一材料與第二材料不同。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
202:基板
204:鰭狀物
206、208:鰭狀物頂部硬遮罩
302:第一介電層
402、402B:切割結構
402’:凹陷的切割結構
1202:功能閘極
1204:界面層
1206:閘極介電層
1208:功函數層
1210:閘極填充層
1304:第二層間介電層
1306:接點
1402、1404:寬度
1406:距離
1500:工件

Claims (9)

  1. 一種積體電路的製作方法,包括:接收一工件,且該工件包括一基板與自該基板延伸的多個鰭狀物;形成一第一層於該些鰭狀物的每一者之側表面上,使該第一層界定的一溝槽延伸於該些鰭狀物之間;形成一切割結構於該溝槽中;形成一第一閘極結構於該些鰭狀物的一第一鰭狀物上,並形成一第二閘極結構於該些鰭狀物的一第二鰭狀物上,使該切割結構位於該第一閘極結構與該第二閘極結構之間;使該第一閘極結構與該第二閘極結構凹陷;以及形成一介電層於該第一閘極結構與該第二閘極結構上,其中該切割結構延伸至該介電層中。
  2. 如請求項1之積體電路的製作方法,更包括在形成該第一閘極結構與該第二閘極結構之前使該第一層凹陷,其中該第一層位於該第一閘極結構與該基板之間,以及該第二閘極結構與該基板之間。
  3. 一種積體電路的製作方法,包括:接收一基板,其具有自該基板延伸的多個鰭狀物;形成一介電層於該些鰭狀物的一第一鰭狀物的側表面上;沿著與該第一鰭狀物相對的該介電層的一側形成一切割結構;在形成該切割結構之後,選擇性地使該介電層凹陷,直到該第一鰭狀物與該切割結構延伸高於凹陷的該介電層;形成一閘極結構於該第一鰭狀物與該切割結構上;以及在形成該閘極結構之後,使該閘極結構凹陷以形成一第二閘極結構與該第一鰭狀物上的一第一閘極結構,且該切割結構電性隔離第二閘極結構與第一閘 極結構,其中凹陷的該介電層位於該第一閘極結構與基板之間,以及該切割結構與該基板之間。
  4. 一種積體電路的製作方法,包括:接收一工件,其包括一基板與自該基板延伸的多個鰭狀物;形成一第一層於該些鰭狀物的每一者之側表面上,使一第一溝槽與一第二溝槽延伸於該些鰭狀物之間,且該第一層界定該第一溝槽與該第二溝槽的每一者;形成一第一切割結構於該第一溝槽中,並形成一第二切割結構於該第二溝槽中;在形成該第一切割結構與該第二切割結構之後,使該第一層選擇性地凹陷,直到該些鰭狀物、該第一切割結構、與該第二切割結構延伸高於凹陷的該第一層;以及形成一第一閘極結構於該些鰭狀物的一第一鰭狀物上,並形成一第二閘極結構於該些鰭狀物的一第二鰭狀物上,使該第一鰭狀物位於該第一切割結構與該第二切割結構之間,且該第二切割結構位於該第一閘極結構與該第二閘極結構之間。
  5. 如請求項4之積體電路的製作方法,其中形成該第一切割結構與該第二切割結構的步驟包括:沉積一第一介電層於該第一溝槽與該第二溝槽中;以及沉積一第二介電層於該第一溝槽與該第二溝槽中的該第一介電層上,其中該第一介電層的組成與該第二介電層的組成不同。
  6. 一種半導體裝置,包括:一基板;一第一鰭狀物與一第二鰭狀物,自該基板延伸; 一介電層,延伸於該第一鰭狀物與該第二鰭狀物之間;一第一切割結構,位於該介電層上,其中該第一切割結構與該基板隔有該介電層,且該第一切割結構的底部低於該介電層的頂部;一第一閘極結構,位於該第一鰭狀物上;以及一第二閘極結構,位於該第二鰭狀物上,使該第一閘極結構與該第二閘極結構隔有該第一切割結構。
  7. 如請求項6之半導體裝置,更包括更包括一第二切割結構位於該介電層上並與該第一鰭狀物相鄰,其中該第一閘極結構的一部份延伸於該第二切割結構上。
  8. 一種半導體裝置,包括:一第一鰭狀結構,位於一基板上;一第一切割結構,與該第一鰭狀結構相鄰並具有一第一上表面;一第一閘極結構,位於該第一鰭狀結構與該第一切割結構上;一第二鰭狀結構,位於該基板上;一第二切割結構,位於該第一鰭狀結構與該第二鰭狀結構之間並具有一第二上表面,且該第二上表面高於該第一上表面;以及一第二閘極結構,位於該第二鰭狀結構上,其中該第二切割結構電性隔離該第二閘極結構與該第一閘極結構,其中該第一切割結構與該基板隔有一介電層,其中該第二切割結構與該基板隔有該介電層,其中該第一切割結構與該第二切割結構的底部低於該介電層的頂部。
  9. 一種半導體結構,包括:一基板;一第一鰭狀物與一第二鰭狀物,延伸自該基板; 一介電層,位於該基板上並延伸於該第一鰭狀物與該第二鰭狀物之間;一第一切割結構,位於該第一鰭狀物與該第二鰭狀物之間,其中該第一切割結構的一部份位於該介電層中並與該第一鰭狀物及該第二鰭狀物隔有該介電層,其中該第一切割結構的上表面高於該第一鰭狀物的上表面;一第一閘極結構,位於該第一鰭狀物上;以及一第二閘極結構,位於該第二鰭狀物上,且該第一切割結構電性隔離該第二閘極結構與該第一閘極結構,其中該第一切割結構與該基板隔有該介電層,且該第一切割結構的底部低於該介電層的頂部。
TW108131454A 2018-09-25 2019-09-02 積體電路的製作方法、半導體裝置、與半導體結構 TWI821384B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862736146P 2018-09-25 2018-09-25
US62/736,146 2018-09-25
US16/362,864 US10872891B2 (en) 2018-09-25 2019-03-25 Integrated circuits with gate cut features
US16/362,864 2019-03-25

Publications (2)

Publication Number Publication Date
TW202015178A TW202015178A (zh) 2020-04-16
TWI821384B true TWI821384B (zh) 2023-11-11

Family

ID=69883660

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108131454A TWI821384B (zh) 2018-09-25 2019-09-02 積體電路的製作方法、半導體裝置、與半導體結構

Country Status (3)

Country Link
US (3) US10872891B2 (zh)
CN (1) CN110943042A (zh)
TW (1) TWI821384B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872891B2 (en) 2018-09-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate cut features
CN117727761A (zh) * 2019-08-20 2024-03-19 联华电子股份有限公司 半导体装置
US11837649B2 (en) * 2020-04-21 2023-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selective removal of gate dielectric from dummy fin
US11837651B2 (en) * 2020-04-28 2023-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having isolation fins
US11316034B2 (en) 2020-05-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Post-formation mends of dielectric features
US11610979B2 (en) * 2020-08-13 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control in forming epitaxy regions for transistors
US11569232B2 (en) 2020-11-16 2023-01-31 Samsung Electronics Co., Ltd. Semiconductor device including self-aligned gate structure and method of manufacturing the same
KR20220147293A (ko) 2021-04-27 2022-11-03 삼성전자주식회사 반도체 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160133632A1 (en) * 2014-11-12 2016-05-12 Hong-bae Park Integrated circuit device and method of manufacturing the same
CN105914206A (zh) * 2015-02-24 2016-08-31 三星电子株式会社 集成电路器件及其制造方法
DE102017118920A1 (de) * 2016-12-30 2018-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und dessen Herstellungsverfahren

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100772114B1 (ko) * 2006-09-29 2007-11-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9559205B2 (en) * 2015-05-29 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
CN106684042B (zh) * 2015-11-05 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102421730B1 (ko) * 2016-04-05 2022-07-18 삼성전자주식회사 레이아웃 방법 및 반도체 소자
KR102544153B1 (ko) * 2017-12-18 2023-06-14 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11217582B2 (en) * 2018-03-30 2022-01-04 Intel Corporation Unidirectional self-aligned gate endcap (SAGE) architectures with gate-orthogonal walls
US10872891B2 (en) 2018-09-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate cut features

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160133632A1 (en) * 2014-11-12 2016-05-12 Hong-bae Park Integrated circuit device and method of manufacturing the same
CN105914206A (zh) * 2015-02-24 2016-08-31 三星电子株式会社 集成电路器件及其制造方法
DE102017118920A1 (de) * 2016-12-30 2018-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und dessen Herstellungsverfahren

Also Published As

Publication number Publication date
US20210296313A1 (en) 2021-09-23
US11848326B2 (en) 2023-12-19
US20200098750A1 (en) 2020-03-26
US20240088145A1 (en) 2024-03-14
US10872891B2 (en) 2020-12-22
CN110943042A (zh) 2020-03-31
TW202015178A (zh) 2020-04-16

Similar Documents

Publication Publication Date Title
TWI821384B (zh) 積體電路的製作方法、半導體裝置、與半導體結構
US10163903B2 (en) FETS and methods of forming FETS
KR101785154B1 (ko) 핀 전계 효과 트랜지스터(finfet) 디바이스 구조체
KR102058219B1 (ko) FinFET 구조체 및 이를 형성하는 방법
TWI597846B (zh) 半導體裝置及其製造方法
KR102209949B1 (ko) 반도체 구조물 커팅 프로세스 및 그로 인하여 형성된 구조물
TW202013518A (zh) 半導體裝置的製作方法
TW201717398A (zh) 半導體裝置及其製造方法
TW201643966A (zh) 鰭式場效電晶體裝置及其形成方法
TW201913748A (zh) 半導體裝置的形成方法
US10483377B2 (en) Devices and methods of forming unmerged epitaxy for FinFet device
US20220029002A1 (en) Method of fabricating a semiconductor device
TW201810664A (zh) 半導體裝置與其形成方法
TW202017057A (zh) 鰭狀場效電晶體裝置
TW202017180A (zh) 積體電路裝置
TW202209414A (zh) 半導體裝置
TW202305943A (zh) 半導體結構
KR102224831B1 (ko) 반도체 FinFET 디바이스 및 방법
KR20210053156A (ko) 핀 전계효과 트랜지스터 디바이스 및 그 형성 방법
CN107706110B (zh) FinFET器件的制造方法
KR102473596B1 (ko) 반도체 FinFET 디바이스 및 방법
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
TW202310164A (zh) 半導體裝置的製作方法
TW202145351A (zh) 半導體元件的製造方法
TW202209671A (zh) 包含鰭式場效電晶體的半導體裝置