TWI830794B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI830794B
TWI830794B TW108138190A TW108138190A TWI830794B TW I830794 B TWI830794 B TW I830794B TW 108138190 A TW108138190 A TW 108138190A TW 108138190 A TW108138190 A TW 108138190A TW I830794 B TWI830794 B TW I830794B
Authority
TW
Taiwan
Prior art keywords
spacer
gate
dummy
dielectric layer
substrate
Prior art date
Application number
TW108138190A
Other languages
English (en)
Other versions
TW202036682A (zh
Inventor
陳書涵
陳宗儒
龔達翔
雄飛 于
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202036682A publication Critical patent/TW202036682A/zh
Application granted granted Critical
Publication of TWI830794B publication Critical patent/TWI830794B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

半導體裝置的製造方法可以包含在基底上方形成虛設介電層以及在虛設介電層上方形成虛設閘極。此方法還可以包含形成第一間隔物鄰近虛設閘極;以及移除虛設閘極以形成空腔,其中空腔至少部分地由第一間隔物界定。此方法還可以包含在第一間隔物的多個部分上進行電漿處理,其中電漿處理使第一間隔物的所述部分的材料組成從第一材料組成改變為第二材料組成。此方法還可以包含蝕刻第一間隔物之具有第二材料組成的部分,以移除第一間隔物之具有第二材料組成的部分;以及使用多個導電材料填充空腔以形成閘極結構。

Description

半導體裝置及其製造方法
本發明實施例是關於半導體製造技術,特別是關於半導體裝置及其製造方法。
半導體裝置用於各種電子應用中,舉例來說,例如個人電腦、手機、數位相機和其他電子設備。半導體裝置的製造通常藉由在半導體基底上方依序沉積絕緣層或介電層、導電層和半導體層的材料,並且使用微影將這些不同材料層圖案化,以在半導體基底上形成電路組件和元件。
半導體產業藉由持續縮減最小部件尺寸來持續提升各種電子部件(例如電晶體、二極體、電阻器、電容器等)的積體密度,這允許將更多部件整合至特定區域中。然而,隨著最小部件尺寸縮減,產生應被解決的其他問題。
根據一些實施例提供半導體裝置的製造方法。此方法包含在基底上方形成虛設介電層;在虛設介電層上方形成虛設閘極;形成第一間隔物鄰近虛設閘極;移除虛設閘極以形成空腔,其中空腔至少部分地由第一間隔物界定;對第一間隔物的多個部分進行電漿處理,其中電漿處理使第一間隔物的所 述部分的材料組成從第一材料組成改變為第二材料組成;蝕刻第一間隔物之具有第二材料組成的部分,以移除第一間隔物之具有第二材料組成的部分;以及使用複數個導電材料填充空腔以形成閘極結構。
根據另一些實施例提供半導體裝置的製造方法。此方法包含在虛設介電層上方沉積虛設閘極並將虛設閘極圖案化;形成複數個間隔物環繞虛設閘極;蝕刻虛設閘極以形成閘極腔,閘極腔係由複數個間隔物界定;對間隔物的第一間隔物的至少一部分進行電漿處理,其中第一間隔物的所述部分是第一間隔物之離虛設介電層最遠的部分;進行蝕刻製程以移除第一間隔物的所述部分和虛設介電層的一部分,其中在完成蝕刻製程之後,閘極腔的頂部開口變寬;經由頂部開口在閘極腔中沉積一或多個閘極介電層和一或多個閘極電極層以形成閘極結構;以及形成層間介電質環繞這些間隔物。
根據又另一些實施例提供半導體裝置。此半導體裝置包含複數個源極/汲極區,形成於基底中;閘極結構,位於這些源極/汲極區之間的基底上方,其中閘極結構包含一或多個閘極介電層和一或多個閘極電極層;以及複數個間隔物,環繞閘極結構,其中這些間隔物的第一間隔物接觸一或多個閘極介電層,其中第一間隔物在第一位置具有第一厚度且在第二位置具有第二厚度,其中第一位置離基底最遠,且第二位置在第一位置和基底之間,且其中接觸一或多個閘極介電層之第一間隔物的第一側壁在第一位置和第二位置之間以一角度延伸,此角度是相對於垂直於基底主表面的方向,且此角度大於或等於3度。
50:基底
50B、50C:區域
51:分隔物
52、58:鰭片
53:製程腔室
54:絕緣材料
55:處理
56:隔離區
60:虛設介電層
62:虛設閘極層
64:遮罩層
72:虛設閘極
74:遮罩
80:閘極密封間隔物
82:源極/汲極區
86:閘極間隔物
87:接觸蝕刻停止層
88、108:層間介電質
90:閘極腔
92:閘極介電層
94:閘極電極
110、112:接觸件
A-A、B-B、C-C:剖面
H1、H2、H3、H4:高度
L1、L2、L3、L4:長度
L5:閘極長度
θ1、θ2:角度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照 比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1圖係根據一些實施例之鰭式場效電晶體(fin field effect transistor,FinFET)的剖面示意圖。
第2~7、8A、8B、9A、9B、10A、10B、10C、10D、11A、11B、11C、12A、12B、13A和13B圖係根據一些實施例之鰭式場效電晶體裝置製造期間的中間階段的剖面示意圖。
第14圖係根據一些實施例之在鰭式場效電晶體裝置製造期間的一中間階段中的鰭式場效電晶體裝置的處理製程的示意圖。
第15A、15B、16A、16B、17A、17B、18A、18B、19A和19B圖係根據一些實施例之鰭式場效電晶體裝置製造期間的中間階段的剖面示意圖。
以下內容提供許多不同實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用於限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件上或上方,可能包含形成第一部件和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一部件和第二部件之間,使得第一部件和第二部件不直接接觸的實施例。另外,本發明實施例在不同範例中可重複使用參考數字及/或字母。此重複是為了簡化和清楚之目的,並非代表所討論的不同實施例及/或組態之間有特定的關係。
此外,本發明實施例可能用到空間相對用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」及類似的用詞,這些空間相對用語係為了便於描述如圖所示之一個(些)元件或部件與 另一個(些)元件或部件之間的關係。這些空間相對用語包含使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則本發明實施例所使用的空間相對形容詞也將依轉向後的方位來解釋。
各種實施例提供半導體裝置及半導體裝置的製造方法。在一些實施例中,形成虛設閘極,並且沿著虛設閘極的側壁形成間隔物。移除虛設閘極,藉此形成具有側壁的閘極腔(cavity),側壁至少部分地由間隔物界定。對露出的間隔物側壁的一部分進行處理。在一些實施例中,處理可以包含電漿處理。處理可以改變間隔物的所述部分的材料組成。在一些實施例中,例如因為閘極腔的深寬比(aspect ratio),相較於間隔物的底部,處理可以更深地穿透到間隔物的頂部。然後,移除間隔物的經處理部分。因為相較於間隔物的底部,處理製程更深地穿透到間隔物的頂部,所以移除間隔物的經處理部分可以使得間隔物的頂部區域比底部部分被移除較大部分。因此,在移除間隔物的經處理部分之後,可以至少使閘極腔頂部中的閘極腔的長度變寬。另外,閘極腔可以具有一或多個漸縮(tapered)側壁。接著,沿著閘極腔的側壁和底表面沉積閘極介電層,然後藉由在閘極腔中沉積一或多個閘極調整層和一或多個閘極電極層來填充閘極腔的剩餘部分。
由於加寬閘極腔頂部的開口,可以更容易進行一或更多個閘極介電層、一或多個閘極調整層以及一或多個閘極電極對閘極腔的填充。舉例來說,在閘極腔由多層結構填充的一些製程中,其中多層結構例如為閘極介電層、閘極調整層或閘極電極層,當閘極空腔具有高深寬比時,閘極腔的填充可能會導致在填充金屬部分中產生一或多個孔隙(voids)及/或接縫(seams)。閘極結構包含一或多個孔隙或接縫可能會導致效能降低。舉例來說,由於存在一或多個孔隙或接縫,閘極結構的閘極電阻可能會增加。由於存在一或多個孔 隙或接縫,閘極結構可能會經歷增加的延遲。根據一些實施例,加寬閘極腔使得能夠以減少的孔隙或接縫、或沒有孔隙或接縫的方式來填充閘極腔。如此一來,可以改善閘極結構的效能。
本發明實施例在特定內文中討論各種實施例,即形成鰭式場效電晶體。然而,各種實施例可以應用於其他半導體裝置/製程,例如平面電晶體和類似的電晶體。
第1圖根據一些實施例以三維示意圖繪示鰭式場效電晶體的範例。鰭式場效電晶體包含在基底50(例如半導體基底)上的鰭片58。隔離區56設置於基底50上,且鰭片58從相鄰的隔離區56之間突出並突出於隔離區56上方。雖然隔離區56被描述/繪示為與基底50隔開,但是本發明實施例所用的用語「基底」可以僅指半導體基底或包含隔離區的半導體基底。閘極介電層92沿著鰭片58側壁且在鰭片58的頂表面上方,以及閘極電極94在閘極介電層92上方。源極/汲極區82相對於閘極介電層92和閘極電極94設置在鰭片58的兩側中。
第1圖更繪示在後續圖式中使用的參考剖面。剖面A-A沿著閘極電極94的縱軸且方向例如垂直於鰭式場效電晶體的源極/汲極區82之間的電流流動方向。剖面B-B垂直於剖面A-A,並且沿著鰭片58的縱軸且方向例如係在鰭式場效電晶體的源極/汲極區82之間的電流流動上。剖面C-C平行於剖面A-A,並且延伸穿過鰭式場效電晶體的源極/汲極區。為了清楚起見,後續圖式參照這些參考剖面。
在此討論的一些實施例是在使用閘極後製(gate-last)製程形成鰭式場效電晶體的背景下討論。在其他實施例中,可以使用閘極先製(gate-first)製程。此外,一些實施例考慮了用於平面裝置(例如平面場效電晶體)的面向。
第2至19A~B圖係根據一些實施例之鰭式場效電晶體製造過程的 中間階段的剖面示意圖。第2至7圖繪示第1圖中繪示的參考剖面A-A,除了多個鰭片/鰭式場效電晶體。在第8A~B至19A~B圖中,以「A」標記結尾的圖式係沿著繪示於第1圖的參考剖面A-A所繪示,並且以「B」標記結尾的圖式係沿著繪示於第1圖的相似剖面B-B所繪示,除了多個鰭片/鰭式場效電晶體。第10C、10D和11C圖係沿著繪示於第1圖的參考剖面C-C所繪示,除了多個鰭片/鰭式場效電晶體。
在第2圖中,提供基底50。基底50可以是半導體基底,例如塊體(bulk)半導體、絕緣體上覆半導體(semiconductor-on-insulator,SOI)基底或類似的基底,可以將基底摻雜(例如以p型或n型摻質)或不摻雜。基底50可以是晶圓,例如矽晶圓。總體而言,絕緣體上覆半導體基底是形成於絕緣體層上的半導體材料層。絕緣體層可以是例如埋入式氧化物(buried oxide,BOX)層、氧化矽層或類似的膜層。絕緣層設置在通常是矽或玻璃基底的基底上。也可以使用其他基底,例如多層基底或梯度變化的(gradient)基底。在一些實施例中,基底50的半導體材料可以包含矽;鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或前述之組合。
基底50具有區域50B和區域50C。區域50B可以用於形成n型裝置,例如N型金屬氧化物半導體(NMOS)電晶體,例如n型鰭式場效電晶體。區域50C可以用於形成p型裝置,例如P型金屬氧化物半導體(PMOS)電晶體,例如p型鰭式場效電晶體。區域50B可以與區域50C物理上隔開(如分隔物(divider)51所示),並且可以在區域50B與區域50C之間設置任何數量的裝置部件(例如其他主動裝置、摻雜區、隔離結構等)。在一些實施例中,區域50B和區域50C兩者都用於形成相同類型的裝置,例如兩個區域都用於n型裝置或p型裝置。
在第3圖中,在基底50中形成鰭片52。鰭片52是半導體條(strips)。在一些實施例中,可以藉由在基底50中蝕刻溝槽以在基底50中形成鰭片52。蝕刻可以是任何合適的蝕刻製程,例如反應離子蝕刻(reactive ion etch,RIE)、中子束蝕刻(neutral beam etch,NBE)、類似的蝕刻製程或前述之組合。蝕刻可以是非等向性的(anisotropic)。
可以藉由任何合適的方法將鰭片52圖案化。舉例來說,可以使用一或多個微影製程來將鰭片52圖案化,微影製程包含雙重圖案化或多重圖案化製程。通常而言,雙重圖案化或多重圖案化製程結合微影和自對準製程,舉例來說,允許產生的圖案的節距(pitches)小於使用單一、直接微影製程可獲得的圖案的節距。舉例來說,在一實施例中,在基底上方形成犧牲層,並且使用微影製程將犧牲層圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後移除犧牲層,接著可以使用剩餘的間隔物將鰭片52圖案化。
在第4圖中,在基底50上方以及在相鄰的鰭片52之間形成絕緣材料54。絕緣材料54可以是氧化物,例如氧化矽、氮化物、類似的材料或前述之組合,並且絕緣材料54的形成可以藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動式化學氣相沉積(flowable CVD,FCVD)或前述之組合。可以使用藉由任何合適的方法形成的其他絕緣材料。在繪示的實施例中,絕緣材料54是藉由可流動式化學氣相沉積製程所形成的氧化矽。在一實施例中,形成絕緣材料54使得過量的絕緣材料54覆蓋鰭片52。
在第5圖中,將平坦化製程應用於絕緣材料54。在一些實施例中,平坦化製程包含化學機械研磨(chemical mechanical polish,CMP)、回蝕刻(etch back)製程、前述之組合或類似的製程。平坦化製程可以露出鰭片52。在平坦化製程露出鰭片52的實施例中,在完成平坦化製程之後,鰭片52和 絕緣材料54的頂表面可以是齊平的。在一些其他實施例中,可以沿著鰭片52的頂表面設置金屬墊(pad)(未繪示)及/或遮罩層(未繪示)。在這種情況下,平坦化製程可以露出金屬墊或遮罩層,並且在完成平坦化製程之後,絕緣材料54可以與金屬墊或遮罩層的頂表面齊平。
在第6圖中,凹蝕絕緣材料54以形成淺溝槽隔離(Shallow Trench Isolation,STI)區(又稱為隔離區)56。凹蝕絕緣材料54,使得區域50B和區域50C中的鰭片58從相鄰的淺溝槽隔離區56之間突出。此外,淺溝槽隔離區56的頂表面可以具有如圖所示的平坦表面、凸表面、凹表面(例如碟形(dishing))或前述之組合。可以藉由合適的蝕刻使淺溝槽隔離區56的頂表面形成為平坦的、凸的及/或凹的。可以使用合適的蝕刻製程凹蝕淺溝槽隔離區56,所述蝕刻製程例如對絕緣材料54的材料具有選擇性的蝕刻製程。舉例來說,使用CERTAS®蝕刻或應用材料公司(Applied Materials)SICONI設備或稀釋的氫氟酸(dilute hydrofluoric,dHF)的化學氧化物移除。
進一步在第6圖中,可以在鰭片58、鰭片52及/或基底50中形成適當的井(未繪示)。在一些實施例中,可以在區域50B中形成P型井,且可以在區域50C中形成N型井。在一些實施例中,在區域50B和區域50C兩者中形成P型井或N型井。
在具有不同井類型的實施例中,可以使用光阻或其他遮罩(未繪示)來實施用於區域50B和區域50C的不同佈植步驟。舉例來說,可以在區域50B中的鰭片58和淺溝槽隔離區56上方形成光阻。將光阻圖案化以露出基底50的區域50C(例如PMOS區)。可以藉由使用旋塗技術來形成光阻,並且可以使用合適的微影技術將光阻圖案化。一旦將光阻圖案化,就在區域50C中進行n型雜質佈植,並且光阻可以作為遮罩以大致上防止n型雜質被佈植到區域50B(例如NMOS區)中。n型雜質可以是磷、砷或類似的雜質,佈植到區域中的濃度等 於或小於1018cm-3,例如約1017cm-3至約1018cm-3。在佈植之後,例如藉由合適的灰化(ashing)製程移除光阻。
在區域50C的佈植之後,在區域50C中的鰭片58和淺溝槽隔離區56上方形成光阻。將光阻圖案化以露出基底50的區域50B(例如NMOS區)。可以藉由使用旋塗技術來形成光阻,並且可以使用合適的微影技術將光阻圖案化。一旦將光阻圖案化,就可以在區域50B中進行p型雜質佈植,並且光阻可以作為遮罩以大致上防止p型雜質被佈植到區域50C(例如PMOS區)中。p型雜質可以是硼、BF2或類似的雜質,佈植到區域中的濃度等於或小於1018cm-3,例如約1017cm-3至約1018cm-3。在佈植之後,可以例如藉由合適的灰化製程移除光阻。
在佈植區域50B和區域50C之後,可以進行退火以活化佈植的p型及/或n型雜質。在一些實施例中,可以在成長期間原位(in situ)摻雜磊晶鰭片的成長材料,其可以免除佈植,但可以一起使用原位和佈植摻雜。
在第7圖中,在鰭片58上形成虛設介電層60。舉例來說,虛設介電層60可以是氧化矽、氮化矽、前述之組合或類似的材料,並且根據合適的技術,可以沉積或熱成長虛設介電層60。在虛設介電層60上方形成虛設閘極層62,並且在虛設閘極層62上方形成遮罩層64。可以在虛設介電層60上方沉積虛設閘極層62,然後將虛設閘極層62平坦化,例如藉由化學機械研磨製程。可以在虛設閘極層62上方沉積遮罩層64。虛設閘極層62可以是導電材料,並且可以選自包含多晶矽(polycrystalline-silicon,polysilicon)、多晶矽鍺(poly-crystalline silicon-germanium,poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物和金屬的群組。在一實施例中,沉積非晶矽,並且使非晶矽再結晶(recrystallized)以產生多晶矽。虛設閘極層62的沉積可以藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、濺鍍(sputter)沉積或發 明所屬技術領域已知且用於沉積導電材料的其他技術。虛設閘極層62可以由對隔離區的蝕刻具有高蝕刻選擇性的其他材料形成。遮罩層64可以包含例如SiN、SiON或類似的材料。在此範例中,形成單個虛設閘極層62和單個遮罩層64橫跨區域50B和區域50C。在一些實施例中,可以在區域50B和區域50C中形成單獨的虛設閘極層,並且可以在區域50B和區域50C中形成單獨的遮罩層。
第8A~B至19A~B圖繪示實施例裝置的製造期間的各種額外步驟。第8A~B至19A~B圖繪示區域50B和區域50C的任何一者中的部件。舉例來說,第8A~B至19A~B圖繪示的結構可適用於區域50B和區域50C兩者。伴隨每個圖式的文字描述區域50B和區域50C的結構上的差異(如果有的話)。
在第8A和8B圖中,可以使用合適的微影和蝕刻技術將遮罩層64圖案化以形成遮罩74。然後,可以藉由合適的蝕刻技術將遮罩74的圖案轉移至虛設閘極層62和虛設介電層60以形成虛設閘極72。虛設閘極72覆蓋鰭片58的各個通道區。遮罩74的圖案可用於將各個虛設閘極72與相鄰的虛設閘極物理性隔開。虛設閘極72的長度方向也可以大致上垂直於相應的磊晶鰭片52/58的長度方向。
進一步在第8A和8B圖中,可以在虛設閘極72、遮罩74和鰭片58上形成閘極密封間隔物80。閘極密封間隔物80的形成可以藉由熱氧化或沉積,隨後進行非等向性蝕刻。
在形成閘極密封間隔物80之後,可以進行用於輕摻雜源極/汲極(lightly doped source/drain,LDD)區(未明確繪示)的佈植。在具有不同裝置類型的實施例中,類似於前述在第6圖中討論的佈植,可以在區域50B上方形成例如光阻的遮罩,同時露出區域50C,並且可以將適當類型(例如n型或p型)的雜質佈植到區域50C中的露出鰭片58。然後可以移除遮罩。隨後,可以在區域50C上方形成例如光阻的遮罩,同時露出區域50B,並且可以將適當類型 的雜質佈植到區域50B中的露出鰭片58。然後可以移除遮罩。n型雜質可以是先前討論的任何n型雜質,且p型雜質可以是先前討論的任何p型雜質。輕摻雜的源極/汲極區可以具有約1015cm-3至約1016cm-3的雜質濃度。可以使用退火來活化佈植的雜質。
在第9A和9B圖中,沿著虛設閘極72和遮罩74的側壁在閘極密封間隔物80上形成閘極間隔物86。閘極間隔物86的形成可以藉由保形地(conformally)沉積材料並隨後非等向性地蝕刻此材料。閘極間隔物86的材料可以是氮化矽、SiCN、前述之組合或類似的材料。
在第10A~10D圖中,在鰭片58中形成磊晶源極/汲極區82。在鰭片58中形成磊晶源極/汲極區82,使得每個虛設閘極72設置在磊晶源極/汲極區82的各個相鄰對之間。在一些實施例中,磊晶源極/汲極區82可以延伸到鰭片52中。在一些實施例中,閘極間隔物86用於將磊晶源極/汲極區82與虛設閘極72隔開適當的橫向距離,使得磊晶源極/汲極區82不會使隨後形成的鰭式場效電晶體的閘極短路。
區域50B(例如NMOS區)中的磊晶源極/汲極區82的形成可以藉由遮蔽區域50C(例如PMOS區),並且蝕刻區域50B中的鰭片58的源極/汲極區以在鰭片58中形成凹槽。然後,在凹槽中磊晶成長區域50B中的磊晶源極/汲極區82。磊晶源極/汲極區82可以包含任何合適的材料,例如適合n型鰭式場效電晶體。舉例來說,如果鰭片58是矽,則區域50B中的磊晶源極/汲極區82可以包含矽、SiC、SiCP、SiP或類似的材料。區域50B中的磊晶源極/汲極區82可以具有從鰭片58的相應表面凸起的表面並且可以具有刻面(facets)。
區域50C(例如PMOS區)中的磊晶源極/汲極區82的形成可以藉由遮蔽區域50B(例如NMOS區),並且蝕刻區域50C中的鰭片58的源極/汲極區以在鰭片58中形成凹槽。然後,在凹槽中磊晶成長區域50C中的磊晶源極/汲 極區82。磊晶源極/汲極區82可以包含任何合適的材料,例如適合p型鰭式場效電晶體。舉例來說,如果鰭片58是矽,則區域50C中的磊晶源極/汲極區82可以包含SiGe、SiGeB、Ge、GeSn或類似的材料。區域50C中的磊晶源極/汲極區82還可以具有從鰭片58的相應表面凸起的表面並且可以具有刻面。
可以使用摻質佈植磊晶源極/汲極區82及/或鰭片58以形成源極/汲極區,類似於先前討論的用於形成輕摻雜源極/汲極區,然後進行退火的製程。源極/汲極區的雜質濃度可以在約1019cm-3至約1021cm-3。用於源極/汲極區的n型及/或p型雜質可以是先前討論的任何雜質。在一些實施例中,可以在成長期間原位摻雜磊晶源極/汲極區82。
由於磊晶製程用於在區域50B和區域50C中形成磊晶源極/汲極區82,磊晶源極/汲極區的上表面具有刻面,這些刻面橫向地向外擴展超過鰭片的側壁。在一些實施例中,如第10C圖所示,這些刻面使得同一鰭式場效電晶體的相鄰源極/汲極區82合併。在其他實施例中,如第10D圖所示,在完成磊晶製程之後,相鄰的源極/汲極區82保持隔開。
在第11A、11B和11C圖中,在第10A~10D圖所示的結構上方沉積層間介電質(interlayer dielectric,ILD)88。層間介電質88可以由介電材料或半導體材料形成,並且層間介電質88的沉積可以藉由任何合適的方法,例如化學氣相沉積、電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)或可流動式化學氣相沉積。介電材料可以包含磷矽玻璃(Phospho-Silicate Glass,PSG)、硼矽玻璃(Boro-Silicate Glass,BSG)、硼摻雜的磷矽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、未摻雜的矽玻璃(undoped Silicate Glass,USG)或類似的材料。半導體材料可以包含非晶矽、矽鍺(SixGe1-x,其中x可以在約0至1)、純鍺或類似的材料。在一些實施例中,可以使用氧化物或氮化物膜。可以使用藉由任何合適的製程形成的其他絕緣或半導體材料。在 一些實施例中,接觸蝕刻停止層(contact etch stop layer,CESL)87設置於層間介電質88與磊晶源極/汲極區82、硬遮罩74和閘極間隔物86之間。接觸蝕刻停止層87可以包含介電材料,例如氮化矽、氧化矽、氮氧化矽或類似的材料。
在第12A和12B圖中,可以進行例如化學機械研磨的平坦化製程以使層間介電質88的頂表面與虛設閘極72的頂表面齊平。平坦化製程還可以移除虛設閘極72上的遮罩74以及沿著遮罩74的側壁的閘極密封間隔物80和閘極間隔物86的一部分。在平坦化製程之後,虛設閘極72、閘極密封間隔物80、閘極間隔物86和層間介電質88的頂表面齊平。因此,經由層間介電質88露出虛設閘極72的頂表面。
在第13A和13B圖中,在一或多個蝕刻步驟中移除虛設閘極72,藉此形成閘極腔90。在一些實施例中,藉由非等向性乾式蝕刻製程移除虛設閘極72。舉例來說,蝕刻製程可以包含使用反應氣體的乾式蝕刻製程,反應氣體選擇性地蝕刻虛設閘極72而不蝕刻層間介電質88、閘極密封間隔物80或閘極間隔物86。每個閘極腔90露出虛設介電層60的上表面。在移除期間,當蝕刻虛設閘極72時,虛設介電層60可以作為蝕刻停止層。如第13A和13B圖所示,由閘極密封間隔物80界定閘極腔90的側壁。
在一些實施例中,閘極高度H1可以為約30奈米至約100奈米。在一些實施例中,相較於磊晶源極/汲極區82的頂表面,鰭片58的頂表面的高度H2可以為約-15奈米至約+15奈米。在一些實施例中,鰭片58在基底50上方的高度H3可以為約20奈米至約50奈米。閘極腔90的長度L1可以為約4奈米至約24奈米。在一些實施例中,閘極密封間隔物80的長度L2可以為約15奈米至約35奈米。在一些實施例中,閘極間隔物86的長度L3可以為約15奈米至約35奈米。
如以下結合第16A~B圖所述,在隨後的製程中,會填充閘極腔90以形成閘極結構。在一些鰭式場效電晶體裝置的製造期間,閘極腔90的填充 可能在閘極結構中產生一或多個孔隙或接縫。舉例來說,在一些鰭式場效電晶體裝置中,可能需要縮減閘極結構的長度。縮減閘極結構的長度可能使得閘極腔90具有高的深寬比,這在一些情況下可能有助於接縫或孔隙形成於閘極結構中。舉例來說,在一些實施例中,在根據結合第13A和13B圖討論的尺寸所製造的閘極腔90可能具有的深寬比中,填充閘極腔90可能導致在填充的閘極腔90中產生一或多個孔隙及/或接縫。在閘極結構中產生的接縫及/或孔隙會導致鰭式場效電晶體裝置的效能降低。舉例來說,接縫或孔隙可以增加閘極電阻及/或導致鰭式場效電晶體裝置的電性能延遲。
根據一些實施例,可以對閘極密封間隔物80的至少一些部分進行處理。在隨後的製程中,可以移除閘極密封間隔物80的經處理的部分。處理和移除閘極密封間隔物的所述部分可以改變閘極腔90的輪廓,例如加寬閘極腔90的開口,其中會經由閘極腔90的開口填充閘極腔90。在一些實施例中,具有加寬的開口的閘極腔90可以填充為具有減少的接縫或孔隙,並且在一些實施例中可以填充為沒有接縫或孔隙。因此,可以改善鰭式場效電晶體裝置的效能。
第14圖描繪對閘極密封間隔物80施加處理55。在一些實施例中,將製造的鰭式場效電晶體裝置放置在用於處理55的製程腔室53中。處理55可以是電漿處理。在一些實施例中,處理55可以包含感應耦合電漿(inductively coupled plasma,ICP)處理。舉例來說,可以將電漿引入處理腔室53中,可以對處理腔室53施加期望的電功率,並且可以在處理腔室53中產生期望的壓力,使電漿與閘極密封間隔物80的一部分反應。在一些實施例中,例如因為閘極腔90的深寬比,電漿可能不會穿透到閘極腔90的底部,或者可能僅以小濃度穿透到閘極腔90的底部。在處理55期間,閘極腔90中的電漿濃度可以根據閘極腔90的高度而改變。因此,電漿進入閘極密封間隔物80的穿透距離可以根據閘極密封間隔物80的高度而改變。
在一些實施例中,電漿可以由氣體形成。舉例來說,在一些實施例中,可以使用氧氣(O2)和惰性氣體(例如氮氣(N2)、氦氣(He)、氖氣(Ne)、氬氣(Ar)、氪氣(Kr)或氙氣(Xe))的組合氣體來形成用於處理55的電漿。在一些實施例中,氧氣對惰性氣體的比例可以為約10%至約90%。在一些實施例中,期望的電功率可以是約200瓦(Watt)至2000瓦。在一些實施例中,處理時間可以是約10秒至約120秒。在一些實施例中,期望的壓力可以為約5毫托(mTorr)至約200mTorr。
如第14圖所示,處理55可以改變閘極密封間隔物80的一部分的材料組成。閘極密封間隔物80之離基底50最遠的頂部可以在整個閘極密封間隔物80中改變,而閘極密封間隔物80的底部可以僅沿著閘極密封間隔物80之面向閘極腔90的表面稍微改變。在一些實施例中,可以根據閘極腔90內的高度改變處理55進入閘極密封間隔物80的穿透距離,最大穿透距離位於閘極密封間隔物80的頂部,而最小穿透距離位於閘極腔90內(例如在閘極密封間隔物80的底部,或沿著閘極密封間隔物80的最低點,其中在處理55中存在電漿)。在一些實施例中,根據高度改變處理55到閘極密封間隔物中的穿透距離,這樣的改變可以相對於各個閘極密封間隔物80的內側壁形成角度θ1。在一些實施例中,θ1可以是約3度至約50度。
如前所述,處理55可以改變閘極密封間隔物80的一部分的材料組成。在處理55之前,閘極密封間隔物80可以包含Si1-x-y-zOxNyCz,其中x為約45%±15%,y約為15%±15%,且z為10%±10%。在處理55之後,閘極密封間隔物80可以包含改變部分和未改變部分。在處理55改變閘極密封間隔物80之材料組成的改變部分中,改變部分可以包含Si1-x-y-zOxNyCz,其中x為約55%±15%,y為約10%±10%,且z為5%±5%。處理55不穿透未改變部分,閘極密封間隔物的材料組成保持為Si1-x-y-zOxNyCz,其中x為約45%±15%,y為約15%±15%,且z為約10% ±10%,並且可以與處理55之前的閘極密封間隔物80的材料組成相同。
在一些實施例中,閘極間隔物86的材料組成可以經過處理55保持不變。舉例來說,在處理55之前,閘極間隔物可以包含Si1-x-y-zOxNyCz,其中x為約45%±15%,y為約15%±15%,且z約為10%±10%。在處理55之後,閘極間隔物86可以仍包含Si1-x-y-zOxNyCz,其中x為約45%±15%,y為約15%±15%,且z為10%±10%,並且材料組成可以相同。
在第15A和15B圖中,在一(些)蝕刻步驟中移除閘極腔90露出的虛設介電層60的一部分和閘極密封間隔物80的經處理部分。在一些實施例中,藉由單一蝕刻製程(例如非等向性乾式蝕刻製程)同時移除閘極腔90露出的虛設介電層60的一部分和閘極密封間隔物80的經處理部分。舉例來說,蝕刻製程可以包含使用反應氣體的乾式蝕刻製程,反應氣體選擇性地蝕刻閘極腔90露出的虛設介電層60的一部分和閘極密封間隔物80的經處理部分,而不蝕刻閘極間隔物86或閘極密封間隔物80的未處理的部分。
如第15A和15B圖所示,移除閘極密封間隔物80的經處理部分產生閘極腔90的錐形側壁,此側壁係由閘極密封間隔物80的未處理部分界定。在移除閘極密封間隔物80的經處理部分之後,可以根據高度改變閘極密封間隔物80的剩餘部分的厚度,其中最薄的部分位於閘極腔90的頂部,而最厚的部分進一步進入閘極腔90,例如位於閘極腔90的底部。閘極腔90可以部分地由閘極間隔物86界定,例如位於閘極腔90的頂部。移除閘極密封間隔物80的經處理部分會使閘極腔的頂部開口變寬。在一些實施例中,在處理55之後,閘極腔90的頂部開口的長度L4可以為約0奈米至約6.0奈米。在一些實施例中,閘極密封間隔物80的一部分的處理55以及隨後的閘極密封間隔物80的經處理部分的移除可以使閘極腔90的頂部開口的長度增加約0%至約30%。
在第16A和16B圖中,形成閘極介電層92和閘極電極94以替換閘 極。在凹槽(又稱為閘極腔)90中保形地沉積閘極介電層92,例如在鰭片58的頂表面和側壁上以及在閘極密封間隔物80/閘極間隔物86的側壁上。閘極介電層92也可以形成於層間介電質88的頂表面上。根據一些實施例,閘極介電層92包含氧化矽、氮化矽或前述之多層結構。在一些實施例中,閘極介電層92是高介電常數(high-k)介電材料,並且在這些實施例中,閘極介電層92的介電常數值可以大於約7.0,並且可以包含金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb及前述之組合的矽酸鹽。閘極介電層92的形成方法可以包含分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積、電漿增強化學氣相沉積和類似的製程。
多個閘極電極94分別沉積於多個閘極介電層92上方,並填充凹槽90的剩餘部分。閘極電極94可以是含金屬材料,例如TiN、TaN、TaC、Co、Ru、Al、前述之組合或前述之多層結構。舉例來說,雖然繪示單個閘極電極94,但可以在凹槽90中沉積任何數量的功函數調整層。
可以同時在區域50B和區域50C中發生閘極介電層92的形成,使得每個區域中的閘極介電層92係由相同材料形成,並且可以同時發生閘極電極94的形成,使得每個區域中的閘極電極94係由相同材料形成。在一些實施例中,可以藉由不同的製程形成每個區域中的閘極介電層92,使得閘極介電層92可以是不同材料,並且可以藉由不同製程形成每個區域中的閘極電極94,使得閘極電極94可以是不同材料。當使用不同製程時,可以使用各種遮罩步驟來遮蔽和露出適當的區域。
在第17A和17B圖中,可以進行例如化學機械研磨的平坦化製程以移除位於層間介電質88的頂表面上方的閘極電極94的材料和閘極介電層92的多餘部分。閘極介電層92和閘極電極94的材料的剩餘部分因而形成所得到的鰭式場效電晶體的取代閘極。閘極電極94和閘極介電層92可以統稱為「閘極」、 「閘極堆疊」或「閘極結構」。閘極結構可以沿著鰭片58的通道區的側壁延伸。
平坦化製程可以繼續直到在鰭片58上方的閘極結構的高度H4是期望的高度。在一些實施例中,期望的高度H4為約10奈米至約30奈米。在平坦化製程之後,閘極結構可以包含漸縮側壁。舉例來說,閘極介電層92和閘極電極94可以包含漸縮側壁。如第17B圖所示,漸縮側壁可相對於垂直於基底50的主表面的方向形成角度θ2。在一些實施例中,θ2為約3度至約50度。在一些實施例中,θ2與θ1大致相同(如前結合第14圖的討論)。沿著最接近鰭片58的閘極結構的底表面的閘極結構的閘極長度L5可以與閘極腔90的長度L1(繪示於第13B圖)大致上相同。舉例來說,沿著閘極結構的底表面的閘極結構的閘極長度L5可以是約4奈米至約24奈米。
在一些實施例中,加寬閘極腔90的頂部開口可以允許閘極介電層92及/或閘極電極94更容易沉積在閘極腔90中。舉例來說,在一些實施例中,閘極介電層92及/或閘極電極94可以沉積為具有較少孔隙或接縫或沒有孔隙或接縫。因此,可以降低閘極結構的電阻及/或可以改善鰭式場效電晶體裝置的效能。在一些實施例中,閘極結構的電阻可以降低約10%至約20%。在一些實施例中,閘極結構的接縫缺陷可以從每個閘極結構中約578個缺陷降低至每個閘極結構中約4個缺陷。在一些實施例中,可以提升製造良率。
在第18A和18B圖中,在層間介電質88上方沉積層間介電質108。在一實施例中,層間介電質108是可流動膜,其係藉由可流動式化學氣相沉積方法形成。在一些實施例中,層間介電質108係由例如磷矽玻璃、硼矽玻璃、硼摻雜的磷矽玻璃、未摻雜的矽玻璃或類似的材料形成,並且可以藉由任何合適的方法來沉積,例如化學氣相沉積和電漿增強化學氣相沉積。
在第19A和19B圖中,形成接觸件110和112穿過層間介電質108和 層間介電質88。在一些實施例中,接觸件110和112係由鎢形成,但可以使用任何合適的金屬材料。在一些實施例中,在形成接觸件112之前,可以進行退火製程以在磊晶源極/汲極區82與接觸件112之間的界面形成矽化物。接觸件110物理性和電性連接至閘極電極94,並且接觸件112物理性和電性連接至磊晶源極/汲極區82。第19A和19B圖以相同的剖面繪示接觸件110和112;但在其他實施例中,接觸件110和112可以設置於不同的剖面中。此外,第19A和19B圖中的接觸件110和112的位置僅是說明性的,而非以任何方式受到限制。舉例來說,接觸件110可以如圖所示與鰭片52垂直對準,或可以設置於閘極電極94上的不同位置。另外,可以在形成接觸件110之前、同時或之後形成接觸件112。
如本發明實施例所述,在一些實施例中,形成虛設閘極,並且沿著虛設閘極的側壁形成間隔物。移除虛設閘極,藉此形成具有側壁的閘極腔,此側壁至少部分地由間隔物界定。對露出的間隔物側壁的一部分進行處理。在一些實施例中,處理可以包含電漿處理。處理可以改變間隔物的一部分的材料組成。在一些實施例中,例如因為閘極腔的深寬比,相較於間隔物的底部,處理可以更深地穿透到間隔物的頂部。然後移除間隔物的經處理的部分。因為相較於間隔物的底部,處理製程更深地穿透到間隔物的頂部,所以移除間隔物的經處理部分可以使得間隔物的頂部區域比底部部分被移除較大部分。因此,在移除間隔物的經處理部分之後,可以至少使閘極腔頂部中的閘極腔的長度變寬。另外,閘極腔可以具有一或多個漸縮側壁。接著,沿著閘極腔的側壁和底表面沉積閘極介電層,然後藉由在閘極腔中沉積一或多個閘極調整層和一或多個閘極電極層來填充閘極腔的剩餘部分。
由於加寬閘極腔頂部中的開口,可以更容易進行一或多個閘極調整層及一或多個閘極電極對閘極腔的填充。舉例來說,在閘極腔由金屬層填充的一些製程中,其中金屬層例如為閘極調整層或閘極電極層,當空腔具有高深 寬比時,閘極腔的填充可能會導致在填充金屬部分中產生一或多個孔隙及/或接縫。閘極結構包含孔隙或接縫可能會經歷降低的效能。舉例來說,由於存在孔隙或接縫,閘極結構的閘極電阻可能會增加。由於存在孔隙或接縫,閘極結構可能會經歷增加的延遲。根據一些實施例,加寬閘極腔使得能夠以減少的孔隙或接縫或沒有孔隙或接縫的方式來填充閘極腔。如此一來,可以改善閘極結構的效能。
根據一些實施例提供一種方法。此方法包含在基底上方形成虛設介電層;在虛設介電層上方形成虛設閘極;形成第一間隔物鄰近虛設閘極;移除虛設閘極以形成空腔,其中空腔至少部分地由第一間隔物界定;對第一間隔物的多個部分進行電漿處理,其中電漿處理使第一間隔物的所述部分的材料組成從第一材料組成改變為第二材料組成;蝕刻第一間隔物之具有第二材料組成的部分,以移除第一間隔物之具有第二材料組成的部分;以及使用複數個導電材料填充空腔以形成閘極結構。在一實施例中,閘極結構沿著第一間隔物的剩餘部分延伸。在一實施例中,此方法更包含蝕刻虛設介電層,其中在單一蝕刻製程中蝕刻虛設介電層和第一間隔物之具有第二材料組成的部分。在一實施例中,電漿處理進入第一間隔物的穿透深度根據第一間隔物的高度而改變。在一實施例中,蝕刻第一間隔物之具有第二材料組成的部分以移除第一間隔物之具有第二材料組成的部分,使得第一間隔物的剩餘部分具有漸縮側壁,其中漸縮側壁面向空腔。在一實施例中,漸縮側壁的第一漸縮側壁相對於垂直於基底的主表面的方向形成一角度,且此角度在3度至50度的範圍。在一實施例中,蝕刻第一間隔物之具有第二材料組成的部分以移除第一間隔物之具有第二材料組成的部分,使空腔的頂部開口變寬。在一實施例中,以多種導電材料經由頂部開口填充空腔。在一實施例中,第一材料組成包含具有第一濃度的氧,第二材料組成包含具有第二濃度的氧,且第二濃度大於第一濃度。在一實施例中,第 一材料組成包含具有第三濃度的氮和具有第四濃度的碳,第二材料組成包含具有第五濃度的氮和具有第六濃度的碳,且第五濃度小於第三濃度,第六濃度小於第四濃度。
根據一些實施例提供一種方法。此方法包含在虛設介電層上方沉積虛設閘極並將虛設閘極圖案化;形成複數個間隔物環繞虛設閘極;蝕刻虛設閘極以形成閘極腔,閘極腔係由複數個間隔物界定;對間隔物的第一間隔物的至少一部分進行電漿處理,其中第一間隔物的所述部分是第一間隔物之離虛設介電層最遠的部分;進行蝕刻製程以移除第一間隔物的所述部分和虛設介電層的一部分,其中在完成蝕刻製程之後,閘極腔的頂部開口變寬;經由頂部開口在閘極腔中沉積一或多個閘極介電層和一或多個閘極電極層以形成閘極結構;以及形成層間介電質(ILD)環繞這些間隔物。在一實施例中,此方法更包含進行平坦化製程以將層間介電質和閘極結構平坦化。在一實施例中,在進行平坦化製程之後,一或多個閘極介電層的側壁相對於垂直於層間介電質的平坦化表面的方向成一角度延伸,且此角度在3度至50度。在一實施例中,進行蝕刻製程以移除第一間隔物的一部分,使第一間隔物的剩餘部分具有漸縮側壁。在一實施例中,一或多個閘極介電層和一或多個閘極電極層包含漸縮側壁,其沿著一或多個閘極介電層和一或多個閘極電極層的一部分,所述部分沿著第一間隔物的剩餘部分延伸。在一實施例中,電漿處理使第一間隔物的所述部分的材料組成從第一材料組成改變為第二材料組成。
根據一些實施例提供一種裝置。此裝置包含形成在基底中的複數個源極/汲極區;在這些源極/汲極區之間的基底上方的閘極結構,其中閘極結構包含一或多個閘極介電層和一或多個閘極電極層;以及環繞閘極結構的複數個間隔物,其中這些間隔物的第一間隔物接觸一或多個閘極介電層,其中第一間隔物在第一位置具有第一厚度且在第二位置具有第二厚度,其中第一位置離 基底最遠,且第二位置在第一位置和基底之間,且其中接觸一或多個閘極介電層之第一間隔物的第一側壁在第一位置和第二位置之間以一角度延伸,此角度是相對於垂直於基底主表面的方向,且此角度大於或等於3度。在一實施例中,接觸第一間隔物之一或多個閘極介電層的側壁以與第一間隔物的第一側壁相同的角度延伸。在一實施例中,第一間隔物的第二側壁在垂直於基底的主表面的方向上延伸,第二側壁與第一側壁相反。在一實施例中,第一間隔物的厚度根據第一間隔物在第一位置和第二位置之間的高度而改變,其中此高度在垂直於基底主表面的方向上。
以上概述數個實施例之部件,使得發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的面向。發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優點。發明所屬技術領域中具有通常知識者也應該理解到,此類等效的結構並未悖離本發明實施例的精神與範圍,且他們能在不違背本發明實施例的精神和範圍下,做各式各樣的改變、取代和置換。
50:基底
52、58:鰭片
82:源極/汲極區
88、108:層間介電質
94:閘極電極
110、112:接觸件

Claims (10)

  1. 一種半導體裝置的製造方法,包括:在一基底上方形成一虛設介電層;在該虛設介電層上方形成一虛設閘極;形成一第一間隔物鄰近該虛設閘極;移除該虛設閘極以形成一空腔,其中該空腔至少部分地由該第一間隔物界定;對該第一間隔物的複數個部分進行一電漿處理,其中該電漿處理使該第一間隔物的該些部分的材料組成從一第一材料組成改變為一第二材料組成;蝕刻該第一間隔物之具有該第二材料組成的該些部分,以移除該第一間隔物之具有該第二材料組成的該些部分;以及使用複數個導電材料填充該空腔以形成一閘極結構。
  2. 如請求項1之半導體裝置的製造方法,更包括蝕刻該虛設介電層,其中在單一蝕刻製程中蝕刻該虛設介電層和該第一間隔物之具有該第二材料組成的該些部分。
  3. 如請求項1之半導體裝置的製造方法,其中該第一材料組成包括具有第一濃度的氧,該第二材料組成包括具有第二濃度的氧,且該第二濃度大於該第一濃度,且其中該第一材料組成包括具有第三濃度的氮和具有第四濃度的碳,且該第二材料組成包括具有第五濃度的氮和具有第六濃度的碳,且其中該第五濃度小於該第三濃度,且該第六濃度小於該第四濃度。
  4. 一種半導體裝置的製造方法,包括:在一虛設介電層上方沉積一虛設閘極並將該虛設閘極圖案化;形成複數個間隔物環繞該虛設閘極;蝕刻該虛設閘極以形成一閘極腔,該閘極腔係由複數個間隔物界定; 對該些間隔物的該第一間隔物的至少一部分進行電漿處理,其中該第一間隔物的該部分是該第一間隔物之離該虛設介電層最遠的部分;在進行該電漿處理之後,進行一蝕刻製程以移除該第一間隔物的該部分和該虛設介電層的一部分,其中在完成該蝕刻製程之後,該閘極腔的一頂部開口變寬;經由該頂部開口在該閘極腔中沉積一或多個閘極介電層和一或多個閘極電極層以形成一閘極結構;以及形成一層間介電質環繞該些間隔物。
  5. 一種半導體裝置的製造方法,包括:在一基底上方形成一第一介電層;在該第一介電層上方形成一虛設閘極;沿著該虛設閘極的一側壁形成一第一間隔物;沿著該第一間隔物的一第一側壁形成一第二介電層,其中該第一間隔物介於該第二介電層與該虛設閘極之間;移除該虛設閘極以暴露出該第一側壁的一第二側壁;對該第一間隔物進行處理以形成該第一間隔物的處理部分,其中該第一間隔物的剩餘部分形成該第一間隔物的未處理部分,其中該第一間隔物的該處理部分具有比該第一間隔物的該未處理部分更高的氧含量;以及形成一閘極電極,其中該第一間隔物的該未處理部分介於該閘極電極和該第二介電層之間。
  6. 一種半導體裝置,包括:複數個源極/汲極區,形成在一基底中;一閘極結構,在該些源極/汲極區之間的該基底上方,其中該閘極結構包括一或多個閘極介電層和一或多個閘極電極層;以及 複數個間隔物,環繞該閘極結構,其中該些間隔物的一第一間隔物接觸該一或多個閘極介電層,其中該第一間隔物在一第一位置具有一第一厚度且在一第二位置具有一第二厚度,其中該第一位置離該基底最遠,且該第二位置在該第一位置和該基底之間,且其中接觸該一或多個閘極介電層之該第一間隔物的一第一側壁在該第一位置和該第二位置之間以一角度延伸,該角度是相對於垂直於該基底的一主表面的方向,且該角度大於或等於3度。
  7. 一種半導體裝置,包括:一第一源極/汲極區和一第二源極/汲極區,形成在一基底中;一閘極結構,在該第一源極/汲極區和該第二源極/汲極區之間的該基底上方,其中該閘極結構包括一閘極介電層和在該閘極介電層上方的一或多個導電層;一第一間隔物,在該閘極間隔物的一側壁上;以及一第二間隔物,在該第一間隔物與該閘極結構相反的一第一側壁上,其中在一剖面圖中,該第一間隔物的一第二側壁相對於垂直於該基底的一表面的線以大於或等於3度的角度逐漸變細。
  8. 一種半導體裝置,包括:一第一源極/汲極區和一第二源極/汲極區,形成在一基底中;一閘極結構,在該第一源極/汲極區和該第二源極/汲極區之間的該基底上方,其中該閘極結構包括一閘極介電層和在該閘極介電層上方的一或多個導電層;一第一間隔物,在該閘極間隔物的一側壁上,該第一間隔物的一第一側壁面向該閘極結構且該第一間隔物的一第二側壁遠離該閘極結構,該第一間隔物的該第一側壁具有一第一斜率;以及一第二間隔物,在該第一間隔物與該閘極結構相反的該第二側壁上,其中 該第二間隔物面向該第一間隔物的一側壁具有不同於該第一斜率的一第二斜率。
  9. 如請求項8之半導體裝置,其中該第一間隔物包括SiONC,其中該第二間隔物包括氮化矽、SiCN或前述之組合。
  10. 如請求項8之半導體裝置,其中該第一間隔物的一厚度隨著該第一間隔物延伸遠離該基底而減少,其中該第二間隔物的一厚度隨著該第二間隔物延伸遠離該基底而減少。
TW108138190A 2018-10-31 2019-10-23 半導體裝置及其製造方法 TWI830794B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753166P 2018-10-31 2018-10-31
US62/753,166 2018-10-31
US16/460,363 US11069531B2 (en) 2018-10-31 2019-07-02 Replacement gate methods that include treating spacers to widen gate
US16/460,363 2019-07-02

Publications (2)

Publication Number Publication Date
TW202036682A TW202036682A (zh) 2020-10-01
TWI830794B true TWI830794B (zh) 2024-02-01

Family

ID=70327626

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108138190A TWI830794B (zh) 2018-10-31 2019-10-23 半導體裝置及其製造方法

Country Status (3)

Country Link
US (3) US11069531B2 (zh)
CN (1) CN111128740A (zh)
TW (1) TWI830794B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020114867A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11398384B2 (en) * 2020-02-11 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for manufacturing a transistor gate by non-directional implantation of impurities in a gate spacer
DE102020132620A1 (de) * 2020-05-15 2021-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und Verfahren
US11769821B2 (en) * 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030020125A1 (en) * 2001-07-20 2003-01-30 International Business Machines Corporation InverseT- gate structure using damascene processing
US20140057427A1 (en) * 2010-10-12 2014-02-27 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8420470B2 (en) * 2010-08-26 2013-04-16 GlobalFoundries, Inc. Method of fabricating a semiconductor device using compressive material with a replacement gate technique
US8772168B2 (en) * 2012-01-19 2014-07-08 Globalfoundries Singapore Pte. Ltd. Formation of the dielectric cap layer for a replacement gate structure
US9147680B2 (en) * 2013-07-17 2015-09-29 GlobalFoundries, Inc. Integrated circuits having replacement metal gates with improved threshold voltage performance and methods for fabricating the same
US9190272B1 (en) * 2014-07-15 2015-11-17 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
CN105990236B (zh) * 2015-02-02 2020-03-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
US9450099B1 (en) * 2015-06-18 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of semiconductor device structure
US11024721B2 (en) * 2018-09-20 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11101365B2 (en) * 2019-01-31 2021-08-24 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device and semiconductor device fabricated by the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030020125A1 (en) * 2001-07-20 2003-01-30 International Business Machines Corporation InverseT- gate structure using damascene processing
US20140057427A1 (en) * 2010-10-12 2014-02-27 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
US20200135474A1 (en) 2020-04-30
US20210343533A1 (en) 2021-11-04
TW202036682A (zh) 2020-10-01
US20240145250A1 (en) 2024-05-02
US11908695B2 (en) 2024-02-20
US11069531B2 (en) 2021-07-20
CN111128740A (zh) 2020-05-08

Similar Documents

Publication Publication Date Title
US20230113320A1 (en) Semiconductor Device and Method
KR102093297B1 (ko) 반도체 디바이스 및 방법
TWI830794B (zh) 半導體裝置及其製造方法
TWI728542B (zh) 半導體裝置的製造方法
US11901455B2 (en) Method of manufacturing a FinFET by implanting a dielectric with a dopant
TWI770789B (zh) 電晶體、半導體裝置及形成方法
TWI807067B (zh) 半導體結構與其形成方法、鰭狀場效電晶體裝置、與閘極結構
TWI725557B (zh) 半導體裝置的製造方法
TWI739147B (zh) 半導體裝置及其形成方法
TWI697052B (zh) 半導體裝置及其製造方法
TWI828962B (zh) 半導體裝置及其形成方法
TW202125708A (zh) 半導體裝置的製造方法
TW202234526A (zh) 半導體裝置及其形成方法
TW202118067A (zh) 半導體裝置
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
TWI821698B (zh) 半導體元件及其製造方法
TWI808458B (zh) 半導體裝置及其形成方法
TWI805326B (zh) 半導體裝置和製造半導體裝置的方法
TWI838669B (zh) 半導體裝置及其形成方法
TWI837812B (zh) 半導體裝置、電晶體以及半導體裝置的形成方法
TW202416360A (zh) 半導體裝置及其形成方法