TWI779833B - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TWI779833B
TWI779833B TW110134331A TW110134331A TWI779833B TW I779833 B TWI779833 B TW I779833B TW 110134331 A TW110134331 A TW 110134331A TW 110134331 A TW110134331 A TW 110134331A TW I779833 B TWI779833 B TW I779833B
Authority
TW
Taiwan
Prior art keywords
layer
mask
mandrel
spacer
forming
Prior art date
Application number
TW110134331A
Other languages
English (en)
Other versions
TW202234474A (zh
Inventor
高浚祐
林頌恩
趙家崢
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202234474A publication Critical patent/TW202234474A/zh
Application granted granted Critical
Publication of TWI779833B publication Critical patent/TWI779833B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Bipolar Transistors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Thin Film Transistor (AREA)

Abstract

一種半導體裝置的形成方法,包括:沉積第一罩幕於目標層之上;形成第一心軸及第二心軸於第一罩幕之上;形成第一間隔物於第一心軸的側壁上,及第二間隔物於第二心軸的側壁上;以及當遮蔽第一間隔物時,選擇性地移除第二間隔物。遮蔽第一間隔物包括以第二罩幕以及第二罩幕上的蓋層覆蓋第一間隔物,且蓋層包括碳。方法更包含圖案化第一罩幕,並轉移第一罩幕的圖案至目標層。圖案化第一罩幕包括以第二心軸、第一心軸、及第一間隔物遮蔽第一罩幕。

Description

半導體裝置的形成方法
本發明實施例係有關於一種半導體裝置的形成方法,且特別有關於一種包括圖案化半導體結構的方法。
隨著半導體裝置逐漸縮小,採用各種製程技術(例如微影)以允許製造漸小尺寸的裝置。例如,當閘極密度增加,採用裝置(例如重疊的內連部件)中的各種部件的製造製程整體以相容於縮小的裝置部件。然而,當半導體製程具有漸小的製程容許範圍,製造這些裝置已接近或甚至超越了光微影設備的理論極限。當半導體裝置持續縮小,裝置的元件之間所需的間距(亦即節距)小於使用傳統光罩幕及光微影設備可製造的節距。
本發明實施例包括一種半導體裝置的形成方法,包括:沉積第一罩幕於目標層的第一區域及目標層的第二區域之上;形成心軸於第一罩幕之上;形成間隔物於心軸的側壁上;沉積第二罩幕於心軸及間隔物之上;形成蓋層於第二罩幕之上,蓋層包括碳;以及圖案化第二罩幕及蓋層以露出心軸的第一心軸及間隔物的第一間隔物,第一心軸及第一間隔物與目標層的第一區域重 疊。
本發明實施例亦包括一種半導體裝置的形成方法,包括:沉積第一硬罩幕於目標層上;形成第一心軸及第二心軸於第一硬罩幕上;形成第一間隔物於第一心軸的側壁上,及第二間隔物於第二心軸的側壁上;沉積氧化層於第一心軸、第二心軸、第一間隔物、及第二間隔物之上;形成含碳蓋層於氧化層之上;圖案化含碳蓋層以露出氧化層;圖案化氧化層以露出第二心軸及第二間隔物,同時以含碳蓋層遮蔽第一間隔物及第一心軸;移除第二間隔物;在移除第二間隔物之後,移除含碳蓋層及氧化層的餘留部分;轉移第一間隔物、第一心軸、及第二心軸的圖案至第一硬罩幕;以及使用第一硬罩幕為罩幕以圖案化目標層。
本發明實施例又包括一種半導體裝置的形成方法,包括:沉積第一罩幕於目標層之上;形成第一心軸及第二心軸於第一罩幕之上;形成第一間隔物於第一心軸上,及第二間隔物於第二心軸上;當遮蔽第一間隔物時,選擇性地移除第二間隔物,遮蔽第一間隔物包括以第二罩幕以及第二罩幕上的蓋層覆蓋第一間隔物,且蓋層具有至少30%的碳;圖案化第一罩幕,圖案化第一罩幕包括以第二心軸、第一心軸、及第一間隔物遮蔽第一罩幕;以及轉移第一罩幕的圖案至目標層。
50:基板
55:奈米結構
56:隔離區域
66:鰭片
68:隔離區域
82:源極/汲極區域
92:閘極介電層
94:閘極電極
100:半導體裝置
100A,100B,100C:區域
102:附著層
104:目標層
104A:半導體層
104B:半導體層
104C:半導體基板
106:硬罩幕層
108:硬罩幕
112:心軸層
114:底層
116:中間層
118:上層
120:光阻
122:開口
124:心軸
124A,124B,124C:心軸
126:間隔物層
128:間隔物
140:開口
142:鰭片
150:罩幕
152:蓋層
154:光阻
156:光阻
200:裝置
300:裝置
以下將配合所附圖式詳述本發明實施例。應注意的是,各種特徵部件並未按照比例繪製且僅用以說明例示。事實上,元件的尺寸可能經放大或縮小,以清楚地表現出本發明實施例的技術特徵。
第1、2、3、4、5、6、7、8、9、10、11、12、13、14、15、16、17、18、19及20圖係根據一些實施例繪示出製造半導體裝置的各中間階段的剖面圖及透視圖。
第21、22、及23圖係根據另一些實施例繪示出製造半導體裝置的各中間階段的剖面圖及透視圖。
第24及25圖係根據另一些實施例繪示出製造半導體裝置的各中間階段的剖面圖及透視圖。
第26及27圖係根據另一些實施例繪示出製造半導體裝置的各中間階段的剖面圖及透視圖。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本發明實施例敘述了一第一特徵部件形成於一第二特徵部件之上或上方,即表示其可能包含上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦可能包含了有附加特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與第二特徵部件可能未直接接觸的實施例。
此外,其中可能用到與空間相對用詞,例如「在...下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,這些空間相對用詞係為了便於描述圖示中一個(些)元件或特徵部件與另一個(些)元件或特徵部件之間的關係,這些空間相對用詞包括使用中或操作中的裝置之不同方位,以及圖 式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
關於具體的圖案化製程,即自對準雙重圖案化(self-aligned double patterning,SADP)製程,描述了各種圖案化心軸的實施例,沿著心軸的側壁形成了間隔物,且移除了至少一部分心軸,留下間隔物以在心軸的一半的節距定義圖案。然而,不同實施例可指其他圖案化製程,例如自對準四重圖案化(self-aligned quadruple patterning,SAQP)、及其相似製程。
根據一些實施例,提供了半導體裝置及方法。特別是,進行自對準雙重圖案化製程以圖案化部件(例如半導體鰭片、閘極結構、導電線、或其相似物)於半導體裝置的目標層中。至少一些圖案化部件具有使用光微影製程所可達成的最小節距的至少一半的節距。圖案化的部件可包括使用上述的心軸、間隔物、及/或上述之組合所圖案化的部件。具體而言,可使用心軸及間隔物的不同組合以圖案化目標層的不同區域,以達成具有不同尺寸及/或間距的圖案化的部件。
可選擇性地從目標層上的不同區域移除心軸及間隔物,取決於在目標層中所想要的最後部件尺寸。為達成選擇性移除,可沉積一或多個罩幕於心軸及間隔物之上。在各實施例中,可沉積富碳層於間隔物之上(例如在不同的罩幕之間)以降低選擇性移除心軸及/或間隔物的蝕刻損害(例如間隔物材料損失)。因此,可精準圖案化所想要的尺寸的心軸及/間隔物,且可改善圖案化目標層時的臨界尺寸控制。
根據一些示例性實施例,第1至19圖繪示出在半導體裝置100的目標層104中形成部件的中間階段的剖面圖。根據本發明實施例,目標層104為將 在其中形成複數個圖案的層。在一些實施例中,半導體裝置100作為更大晶圓的一部分處理。在這樣的實施例中,在形成半導體裝置100的各部件(例如主動裝置、內連結構、及其相似物)之後,可對晶圓的切割道區域施以切割製程,以從晶圓分離單獨的半導體晶粒(亦稱為切割)。
在一些實施例中,目標層104為半導體基板。半導體基板可包括摻雜的或非摻雜的矽、或絕緣層覆半導體(semiconductor-on-insulator,SOI)基板的主動層。目標層104可包括其他半導體材料,例如鍺(germanium);化合物半導體包括碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、及/或銻化銦(indium antimonide);合金半導體包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;或上述之組合。亦可使用其他基板,例如多層或梯度基板。可透過實施例製程圖案化半導體基板,且可使用後續製程步驟在基板中形成淺溝槽隔離(shallow trench isolation,STI)區域。半導體鰭片可突出於所形成的淺溝槽隔離區域之間。可在半導體鰭片中形成源極/汲極區域,且可形成閘極介電質及電極層於鰭片的通道區域之上,從而形成半導體裝置,例如鰭狀場效電晶體(fin field effect transistors,finFETs)。
在一些實施例中,目標層104為導電層,例如毯覆性沉積的金屬層或多晶矽層。可對目標層104施以實施例圖案化製程以圖案化鰭狀場效電晶體的半導體閘極及/或虛置閘極。藉由使用實施例圖案化製程以圖案化導電目標層104,可減少鄰近閘極之間的間距,以及可增加閘極密度。在這樣的實施例中,可形成目標層104於例如上述半導體基板之上。
在一些實施例中,目標層104為金屬間介電(inter-metal dielectric,IMD)層。在這樣的實施例中,目標層104包括具有介電常數(k值)例如低於3.8、低於約3.0、或低於約2.5的低介電常數材料。在另一實施例中,目標層104為金屬間介電層,包括具有高於3.8的k值的高介電常數材料。可以實施例製程圖案化開口於目標層104中,且可在開口中形成導電線及/或導孔。在這樣的實施例中,可形成目標層於半導體基板(例如上述)之上,以及可形成裝置例如電晶體、二極體、電容、電阻等等在半導體基板的主動表面之中及/或之上。
裝置100包括三個區域100A、100B、及100C。可在三個區域100A、100B、及100C中的每一個之中形成不同類型的裝置及/或不同幾何形狀(例如尺寸)的裝置。例如,可處理區域100A以在目標層104中形成第一寬度的部件(例如半導體鰭片);可處理區域100B以在目標層104中形成大於第一寬度的第二寬度的部件;以及可處理區域100C以在目標層104中形成大於第一寬度但小於第二寬度的第三寬度的部件。例如,第一寬度可小於約15nm;第二寬度可大於約15nm至約30nm;以及第三寬度可在約15nm至約30nm的範圍之內。在一些實施例中,可形成n型裝置於區域100A及100C之中,而形成p型裝置於區域100B之中。在其他實施例中,可形成其他部件尺寸及/或類型的裝置於裝置100的不同區域之中。此外,雖然區域100A、100B、及100C繪示為彼此直接抵接,在每一區域100A、100B、及100C之間可放置任意數目的中間部件及/或其間距。
沉積附著層102於目標層104之上。可以物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、或其相似製程沉積附著層102。在一些實施例中,附著層102可作為附著層,且可作為後續形成鰭片時的蝕刻停止層。雖然第1圖繪示附著層102物理性接觸目標層104,任意數目的中間層可設置在附著 層102及目標層104之間。
膜層堆疊更包括形成硬罩幕層106於附著層102之上。可以相對於附著層102可選擇性蝕刻的材料形成硬罩幕層106。例如,在附著層102包括氧化物的實施例中,硬罩幕層106可為氮化物例如氮化矽(silicon nitride)、或其相似物。硬罩幕層106可以例如物理氣相沉積、化學氣相沉積、原子層沉積、或其相似製程沉積。在一些實施例中,硬罩幕層106可例如具有約200Å至約300Å的範圍的厚度。
膜層堆疊更包括硬罩幕108於硬罩幕層106上。在一些實施例中,硬罩幕108可包括可以相對於硬罩幕層106選擇性蝕刻的材料。例如,在硬罩幕層106包括氮化物的實施例中,多層硬罩幕108可包括氧化物。具體而言,在一些實施例中,在一些實施例中,硬罩幕層108包括氧化矽(silicon oxide)(例如SiO2或其相似物)、氮氧化矽(silicon oxynitride,SiON)、碳氧氮化矽(silicon oxycarbon nitride,SiOCN)、上述之組合、或其相似物。可以例如物理氣相沉積、化學氣相沉積、原子層沉積、或其相似製程沉積硬罩幕層108。
膜層堆疊更包括形成心軸層112於硬罩幕108之上。心軸層112可包括矽(例如非晶矽)、或其相似物。可使用任意合適的製程沉積心軸層112,例如原子層沉積、化學氣相沉積、物理氣相沉積、或其相似製程。
形成三層光阻120於心軸層112上的膜層堆疊之上。三層光阻120包括底層114、底層114上的中間層116、及中間層116上的上層118。可以光阻(例如光敏材料)包括有機材料形成底層114及上層118。在一些實施例中,底層114亦可為底部抗反射塗層(bottom anti-reflective coating,BARC)。中間層116可包括無機材料,可為氮化物(例如氮化矽)、氮氧化物(例如氮氧化矽)、氧化物(例如氧 化矽)、或其相似物。中間層116相對於上層118及底層114具有高蝕刻選擇比。可使用例如旋塗製程依序毯覆沉積三層光阻120的各層。即使在此討論三層光阻120,在其他實施例中,光阻120可為單層或雙層(例如僅包括底層114及上層118而無中間層116)光阻。使用的光阻類型(例如單層、雙層、或三層)可取決於用以圖案化心軸層112的微影製程。例如,在先進極紫外光(extreme ultraviolet,EUV)製程中,可使用單層或雙層光阻120。
在一些實施例中,使用微影製程圖案化上層118。接著,使用上層118作為蝕刻罩幕圖案化中間層116(見第2圖)。接著使用中間層116作為蝕刻罩幕圖案化底層114,且接著使用底層114圖案化心軸層112(見第3及4圖)。已觀察到的是,藉由使用三層光阻(例如三層光阻120)蝕刻目標層(例如心軸層112),可在目標層(例如心軸層112)中細節距圖案中達到改善的清晰度。
使用任意適合的微影製程圖案化上層118以在其中形成開口122。作為在上層118中圖案化開口122的例子,光罩可位於上層118之上。當光罩遮蔽上層118的區域時,可接著暴露上層118於輻射束,包括紫外線(ultraviolet,UV)或準分子雷射,例如來自於氟化氪(Krypton Fluoride,KrF)準分子雷射的248nm光束、來自於氟化氬(Argon Fluoride,ArF)準分子雷射的193nm光束、或來自於F2準分子雷射的157nm光束、或其相似光束。可使用浸潤式微影系統進行頂光阻層的曝光,以增加解析度並減少可實現的最小節距。可進行烘烤或固化操作以硬化上層118,且可根據使用正型或負型光阻,使用顯影劑以移除上層118露出的或未露出的部分。
在圖案化上層118之後,在蝕刻製程中,上層118的圖案轉移至中間層116。蝕刻製程為非等向性的,使得上層118中開口122延伸穿過中間層116, 且在中間層116中具有與在上層118中大約相同的尺寸。所得的結構繪示於第2圖中。
可選擇地,可進行修整製程以增加中間層116中開口122的尺寸。在一實施例中,修整製程為非等向性電漿蝕刻製程,製程氣體包括O2、CO2、N2/H2、H2、相似氣體、上述之組合、或任何其他適合修整中間層116的氣體。
在第3圖中,進行蝕刻製程以轉移中間層116的圖案至底層114,因而延伸開口122穿過底層114。底層114的蝕刻製程為非等向性的,因此中間層116中的開口122延伸穿過底層114,且在中間層116中與在底層114中具有大約相同的尺寸。蝕刻底層114的一部分為可能耗損上層118(見第1及2圖)。
在第4圖中,使用蝕刻製程以轉移底層114的圖案(見第3圖)至心軸層112。心軸層112的蝕刻製程為非等向性的,因此底層114中開口122延伸穿過心軸層112。開口122在心軸層112中具有與在底層114中大約相同的寬度。蝕刻可為乾蝕刻(例如電漿蝕刻)、或相似製程。
當圖案化心軸層112時,緊接在心軸層112(例如硬罩幕108)下方的層可用以作為蝕刻停止層。具體而言,蝕刻製程可使用蝕刻劑選擇性地蝕刻心軸層112,而不顯著地蝕刻硬罩幕108。例如,在心軸層112包括矽及硬罩幕層包括氧化矽的實施例中,蝕刻製程可使用HBr、CF4、Cl2、NF3、或其相似物作為蝕刻劑。
因此,由心軸層112的剩餘部分(例如心軸層112在開口122之間的部分)定義心軸124。如圖所繪示,心軸124在每一區域100A、100B、及100C可具有不同尺寸,其可對應至後續在目標層104中圖案化的部件所想要的尺寸及/或間距。例如,在區域100A及100C中的心軸124A及124C可比區域100B中的心軸124B 更細。在一些其他實施例中,每一區域100A、100B、及100C中的心軸124可具有不同的相對尺寸。例如,區域100C中的心軸124C可比心軸124A寬,但在其他實施例中,比心軸124A細。在蝕刻心軸層112時,耗損了中間層116,且至少部分耗損了底層114。
在蝕刻心軸層112時未完全損耗底層114的實施例中,可進行灰化製程以移除底層114的殘留物。灰化製程可包括氧電漿剝除,將心軸124暴露於氧電漿。
在第5圖中,形成間隔物層126於心軸124之上,以及沿著心軸124的側壁。間隔物層126更可沿著開口122中的硬罩幕108的頂表面延伸。選擇與硬罩幕108及心軸124具有高蝕刻選擇比的間隔物層126材料。例如,間隔物層126可包括SiN、SiCON、SiON、金屬、金屬合金、及其相似物,且可使用任意合適的製程例如原子層沉積、化學氣相沉積、或其相似製程沉積。在一些實施例中,間隔物層126的沉積製程為順應性的,因此間隔物層126在心軸124的側壁上與間隔物層126在心軸124的頂表面上及開口122的底表面上的厚度大抵相等(例如在製造容許範圍之內)。
在第6圖中,圖案化間隔物層126以移除間隔物層126的側向部分,而留下心軸124側壁上的間隔物128。蝕刻間隔物層126露出心軸124以及心軸124下方的部分層(例如硬罩幕108)。圖案化間隔物層126可包括乾蝕刻製程,其以相對於心軸124較高速率選擇性地蝕刻間隔物層126。蝕刻間隔物層126的範例蝕刻劑可包括氟反應氣體例如CF4、NF3、HCl、HBr、或其相似物。其他製程氣體可與蝕刻劑結合使用,例如氧氣(oxygen,O2)、氮氣(nitrogen,N2)、氬氣(argon,Ar)、或上述之組合、或其相似物。乾蝕刻製程可為非等向性的,且蝕 刻間隔物層126露出的側向部分,留下心軸124上間隔物層126(間隔物128)的垂直部分。
在第7圖中,形成罩幕150於心軸124及間隔物128之上,及沿著心軸124及間隔物128的側壁。罩幕150更可沿著開口122中硬罩幕108的頂表面延伸。選擇罩幕150的材料,以與後續形成於心軸124及間隔物128之上的蓋層具有高蝕刻選擇比(參見例如第8圖)。例如,罩幕150可包括氧化矽、或其相似物,且可使用任意合適的製程例如原子層沉積、化學氣相沉積、或其相似製程沉積。
罩幕150的沉積製程可為順應性的,因此罩幕150在間隔物128的側壁上與間隔物層126在心軸124的頂表面上及開口122的底表面上的厚度大抵相等(例如在製造容許範圍之內)。在一些實施例中,沉積罩幕150可包括於原子層沉積腔室中流通第一含碳前驅物及氧(例如O2、N2O、CO2、或其相似物)。第一含碳前驅物可為雙(二乙氨基)矽烷(Bis(diethylamino)silane)、SiH4、SiH2Cl2、或其相似物,以及第一含碳前驅物可為化合物,例如具有4:1的碳氮比。舉例而言,第一含碳前驅物與氧反應,以在裝置100的露出表面上形成單層氧化矽。可循環脈衝及清除第一含碳前驅物及氧,在每一脈衝及清除循環之間施加RF功率(例如在約200W至800W的範圍內)。RF功率可改善每一原子單層的表面狀況,以在原子層沉積時促進後續單層的成長。
在第8圖中,形成蓋層152於罩幕150之上。蓋層152可為純碳層或富碳複合層(例如SiOCN層、SiOC層、或其相似物)。例如,蓋層152的碳濃度可至少為30%,其有利於蓋層152在後續製程步驟(例如後續蝕刻步驟以選擇性地移除間隔物128及/或心軸124)時充分保護其下的間隔物128。已觀察到的是,相較於罩幕150的材料,足夠高的碳濃度改善了抗蝕刻性。例如,使用稀氫氟酸(diluted hydrogen fluoride,dHF)蓋層152的濕蝕刻速率可為罩幕150的兩倍或更慢。
在一些實施例中,蓋層152可具有小於約2nm的厚度,例如在約5Å至約15Å,或約8Å至約9Å的範圍。已觀察到的是,形成上述厚度範圍內的蓋層152,可獲得好處。例如,形成厚度小於約5Å的蓋層152可能在後續蝕刻步驟時對其下的部件(例如間隔物128)提供不足的保護,導致其下的部件損壞。此外,形成厚度大於約2nm的蓋層152可能增加移除蓋層152的困難度,特別是在小臨界尺寸的區域中,導致在後續製程步驟中餘留不想要的蓋層殘餘物。
在一些實施例中,原位形成蓋層152與罩幕150。例如,可與罩幕150於相同製程腔室之中形成蓋層152,而不破壞真空。在這樣的實施例中,形成蓋層152可包括關閉氧氣流入製程腔室中,而流通第二含碳前驅物於沉積腔室之中以沉積純碳的單層或富碳複合材料的單層(例如具有碳濃度大於30%)。在一些實施例中,用以沉積蓋層152的第二含碳前驅物可與前述的在沉積罩幕150時流通的第一含碳前驅物相同。例如,第二含碳前驅物可為雙(二乙氨基)矽烷、SiH4、SiH2Cl2、或其相似物,以及第二含碳前驅物可為化合物,具有4:1的碳氮比。在其他實施例中,第二含碳前驅物與用以沉積罩幕150的第一含碳前驅物為不同的化合物。此外,第二含碳前驅物的碳氮比大於第一含碳前驅物的碳氮比。此外,可任選地與第二含碳前驅物同時流通一或多種額外的含碳前驅物(例如四乙氧基矽烷(Tetraethoxysilane,TEOS)、原矽酸四甲酯(Tetramethyl orthosilicate)、或其相似物)沉積蓋層152。藉由控制第二含碳前驅物之碳的比例及/或流通一或多種額外的含碳前驅物,可調整蓋層152的碳濃度至想要的程度。在其他實施例中,可使用不同製程以形成蓋層152,例如非原位沉積製程,在不同製程腔室中形成蓋層152與罩幕150。
在第9圖中,在區域100A、100B、及100C中沉積光阻154於蓋層152之上。例如,可使用旋塗製程沉積光阻154。雖然繪示光阻154為單層,在一些實施例中,光阻154可具有類似於上述第1圖中三層光阻120的三層結構。
在第10圖中,圖案化圖案光阻154及蓋層152以露出區域100C中的罩幕150。可使用光微影製程進行圖案化光阻154。例如,可曝光並顯影光阻154以從區域100C移除光阻154,而在區域100A及100B中留下光阻154。此外,在光阻154具有三層結構的實施例中,圖案化光阻154可進一步包括如上所述關於圖案化光阻120之合適的蝕刻製程。在一些實施例中,從區域100C移除光阻154可包括O2灰化製程,其可進一步從區域100C移除蓋層152。區域100A及100B中光阻154的餘留部分可覆蓋蓋層152的部分,使得蓋層152亦餘留於區域100A及100B之中。
在第11圖中,選擇性地從區域100C移除罩幕150。可使用光阻154及蓋層152作為罩幕以濕蝕刻製程進行圖案化罩幕150。濕蝕刻製程可使用dHF,或其相似物作為蝕刻劑。因此,在區域100C中露出心軸124及間隔物128。在不同實施例中,在圖案化罩幕150時,在區域100A及100B中蓋層152保護間隔物128。例如,使用濕蝕刻劑(例如dHF)以蝕刻罩幕150可能會穿透光阻154以及蝕刻區域100A及100B中的罩幕150,導致間隔物128的損傷(例如材料損失)。如上所述,蓋層152(例如富碳材料)能抵抗這種蝕刻劑(例如dHF),且蓋層152提供了區域100A及100B中區域100A及100B中額外的保護層,減少了對於間隔物128的損害(例如降低間隔物128中的材料損失)。因此,可改善圖案化精確度。此外,可在後續製程步驟中從區域100C移除間隔物128,且因圖案化罩幕150而對區域100C中間隔物128所造成的損害可能不會導致減少圖案化控制。
在第12圖中,以蝕刻區域100A及100B移除光阻154及蓋層152的餘留部分。移除光阻154及蓋層152可包括使用例如高溫過氧化硫混合物(high temperature sulfuric peroxide mixture,HTSPM)的清潔製程,其移除光阻154及蓋層152兩者。於是,在區域100A及100B中露出罩幕150。可選擇地,可使用dHF進行相對快速(例如進行時間小於30秒)的清潔製程以清潔罩幕150露出的表面,而不損害下方的間隔物128。清潔可促進從罩幕150的表面移除殘留光阻154。
在第13圖中,使用合適的蝕刻製程選擇性地移除間隔物128而不移除罩幕150以從區域100C移除間隔物128。在一些實施例中,可使用H3PO4、臭氧、或其相似物作為蝕刻劑。區域100A及100C中的間隔物128被罩幕150遮蔽而未被移除。
在第14圖中,以蝕刻製程移除區域100A及100B。在一些實施例中,移除罩幕150(例如氧化物)可使用蝕刻製程以低於下方的硬罩幕層106(例如氮化物層)的速率蝕刻罩幕150。例如,移除罩幕150的蝕刻製程使用dHF或其相似物作為蝕刻劑。在其他實施例中,可使用其他蝕刻製程。
在第15圖中,沉積光阻156於區域100A、100B、及100C中的間隔物128及心軸124之上。例如,可使用旋塗製程沉積光阻156。雖然光阻156繪示為單層,在一些實施例中,光阻156可具有類似於上述第1圖中三層光阻120的三層結構。如第15圖中所更進一步繪示的,可圖案化光阻156以在區域100A中露出間隔物128及心軸124。可使用如上述合適的微影及/或蝕刻製程(例如與圖案化光阻120相關的)圖案化光阻156。
在第16圖中,從區域100A移除心軸124。使用蝕刻製程移除心軸124。由於對於相同蝕刻製程而言,心軸124及間隔物128具有蝕刻選擇比,可移 除心軸124而不移除間隔物128。蝕刻心軸124露出下方的硬罩幕108,其可作為蝕刻停止層。在一些實施例中,蝕刻心軸124可降低間隔物128的高度,而不移除間隔物128。移除心軸124可包括乾蝕刻製程,類似於第4圖中用以圖案化心軸124的製程。接著,使用可接受的清潔及/或O2灰化製程移除光阻156。所得的結構如第17圖中所繪示。
在第18圖中,使用間隔物128及心軸124作為蝕刻罩幕蝕刻硬罩幕108。具體而言,在區域100A中使用間隔物128作為罩幕圖案化硬罩幕108;在區域100B中使用間隔物128及心軸124的組合圖案化硬罩幕108;以及在區域100C中使用心軸124作為罩幕圖案化硬罩幕108。因此,可使用間隔物128及/或心軸124的不同組合在每一區域100A、100B、及100C中圖案化硬罩幕108以具有不同的間距及部件尺寸。
在一些實施例中,蝕刻硬罩幕108包括非等向性乾蝕刻及/或濕蝕刻。例如,可以乾蝕刻(例如使用CF4、NF3、HCl、HBr、或其相似物),接著濕蝕刻(例如使用稀氫氟酸(diluted hydrogen fluoride,DHF)、過氧化硫混合物(sulfur peroxide mix,SPM)、或其相似物)以移除副產物,以及清潔製程(例如標準清潔1(standard clean 1,SC-1)、或其相似物)以清潔顆粒而圖案化硬罩幕108。蝕刻硬罩幕108可能損耗間隔物128。
接著,在第19圖中,使用硬罩幕108作為蝕刻罩幕以圖案化開口140於目標層104中,其可定義鰭片142。蝕刻目標層104可包括非等向性乾蝕刻製程及/或濕蝕刻製程。目標層104的餘留部分可具有與第17圖中的間隔物128及心軸124相同的圖案。由於使用不同組合的間隔物128及心軸124作為罩幕,可實現每一區域100A、100B、及100C中目標層104中不同尺寸及間距的鰭片142。
可對結構100施加額外的製程步驟以形成鰭狀場效電晶體(fin field effect transistor,FinFET)裝置。第20圖繪示出鰭狀場效電晶體裝置的透視圖。在不同實施例中,可沉積隔離區域於鰭片142周圍,且可接著凹蝕隔離區域以露出鰭片142的上部。可在鰭片142的上部中圖案化開口,且可在開口中成長磊晶源極/汲極區域。此外,可形成閘極結構於鰭片142上部之上以及沿著其側壁。鰭狀場效電晶體包括鰭片142,其可根據上述的第1-19圖中所述的製程圖案化。鰭片142突出於隔離區域56之上以及相鄰隔離區域56之間。閘極介電層92沿著鰭片142的側壁以及鰭片142的頂表面,且閘極電極94位於閘極介電層92之上。源極/汲極區域82相對於閘極介電層92及閘極電極94位於鰭片142的相對側。
在上述實施例中,目標層104為單層材料。在其他實施例中,目標層104可具有多層結構,或在不同區域100A、100B、或100C中的單層/多層結構的組合。
例如,第21和22圖繪示出目標層104具有交替半導體層104A及104B多層結構的實施例。半導體層104A可包括第一半導體材料,以及半導體層104B可包括相對於第一半導體材料可選擇性蝕刻的第二半導體材料。例如,半導體層104A可包括矽,而半導體層104B可包括矽鍺。可接著移除半導體層104B,且可圖案化半導體層104A以形成奈米結構電晶體裝置的通道區域。在一些實施例中,奈米結構電晶體可為奈米線電晶體、奈米片電晶體、全繞式閘極電晶體、或其相似物。
第21圖繪示出類似於第1圖的裝置100的裝置200,其中相似標號表示使用相似製程的零件。第22圖繪示出在使用上述關於第1-19圖相似的製程圖案化目標層104以定義鰭片142後的裝置200。例如,使用不同的間隔物及/或心軸 的組合以定義裝置200的不同區域100A、100B、及100C中不同尺寸及間距的鰭片142。可使用碳層或富碳層作為蓋層以保護區域100A及100B中的間隔物,而從區域100C選擇性地移除了間隔物。因此,可實現對於鰭片142改善的圖案化控制。
可對裝置200施加額外的製程步驟以形成奈米結構電晶體裝置。例如,可沉積隔離區域於鰭片周圍,且可接著凹蝕隔離區域以露出鰭片的上部。可在鰭片的上部中圖案化開口,且可在開口中成長磊晶源極/汲極區域。此外,可移除半導體層104A,且可圖案化半導體層104B以定義通道區域。可形成閘極結構圍繞通道區域。根據一些實施例,第23圖繪示出三維視圖中奈米結構電晶體的範例。奈米結構電晶體包括奈米結構55(例如奈米片、奈米線、或其相似物)位於基板50(例如半導體基板)上的鰭片之上,其中奈米結構55作為奈米結構電晶體的通道區域。可以圖案化半導體層104A形成奈米結構55。奈米結構55可包括p型奈米結構、n型奈米結構、或上述之組合。隔離區域68位於鄰近鰭片66之間,鰭片66可突出於隔離區域68之上及相鄰隔離區域68之間。如本文所用,雖然隔離區域68描述/繪示為與基板50相隔,用語「基板」可單獨指半導體基板或半導體基板及隔離區域的組合。此外,雖然鰭片66的底部繪示為單一與基板50連續的材料,鰭片66的底部及/或基板50可包括單一材料或複數材料。在此文中,鰭片66指延伸於相鄰隔離區域68之間的部分。
第24及25圖繪示出另一實施例,其目標層104包括多層結構(例如半導體層104A/104B)埋藏於半導體基板104C之中。多層結構可位於區域100B中,且多層結構可不延伸於區域100A或100C之中。半導體基板104C可包括摻雜的或未摻雜的矽,或絕緣層上覆半導體(semiconductor-on-insulator,SOI)基板的主動層。半導體基板104可包括其他半導體材料,例如鍺;化合物半導體包括碳 化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;合金半導體包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;或上述之組合。可以例如使用微影及蝕刻圖案化凹槽於區域100B中的半導體基板104C之中以形成第24及25圖的目標層104。接著,可交替成長半導體層104A及104B於半導體基板104C的凹槽之中。半導體層104A及104B可與上述關於第21及22圖者相似。
第24圖繪示出類似於第1圖的裝置100的裝置300,其中相似標號表示使用相似製程的零件。第25圖繪示出在使用上述關於第1-19圖相似的製程圖案化目標層104以定義鰭片142的裝置300。例如,使用不同的間隔物及/或心軸的組合以定義裝置300的不同區域100A、100B、及100C中不同尺寸及間距的鰭片142。可使用碳層或富碳層作為蓋層以保護區域100A及100B中的間隔物,而從區域100C選擇性地移除了間隔物。鰭片142在區域100A及100C中可具有整體組成,而鰭片142在區域100B中具有多層結構(例如包括半導體層104A及104B)。可對裝置300施以如上述額外的製程步驟以在區域100B中形成奈米結構電晶體裝置,以及在區域100A及100C中形成鰭狀場效電晶體。
在任一區域100A、100B、或100C中,目標層104可包括多層結構。例如,第26及27圖繪示出裝置400,其目標層104包括多層結構(例如半導體層104A/104B)埋藏於半導體基板104C之中。多層結構可位於區域100B及100C中,且多層結構可不延伸於區域100A之中。
第26圖繪示出類似於第24及25圖的裝置100的起始裝置400,其中相似標號表示使用相似製程的零件。第27圖繪示出在使用上述關於第1-19圖相似的製程圖案化目標層104以定義鰭片142後的裝置400。例如,使用不同的間隔物及/或心軸的組合以定義裝置400的不同區域100A、100B、及100C中不同尺寸及 間距的鰭片142。可使用碳層或富碳層作為蓋層以保護區域100A及100B中的間隔物,而從區域100C選擇性地移除了間隔物。鰭片142在區域100A及100C中可具有整體組成,而鰭片142在區域100B中具有多層結構(例如包括半導體層104A及104B)。可對裝置400施以如上述額外的製程步驟以在區域100B及100C中形成奈米結構電晶體裝置,以及在區域100A中形成鰭狀場效電晶體。
各種實施例提供了雙重圖案化方法,形成間隔物於心軸的側壁之上。可從目標層上不同區域選擇性地移除心軸及間隔物,取決於不同區域中所想要的目標層所得的部件尺寸。為達成選擇性移除,可沉積一或多個罩幕於心軸及間隔物上。在不同實施例中,可沉積富碳層於間隔物上(例如在不同的罩幕之間)以減少由於選擇性移除心軸及/或間隔物造成的蝕刻損害(例如間隔物材料損失)。因此,可以正確尺寸圖案化心軸及間隔物,且可改善圖案化目標層時關鍵尺寸控制。
在一些實施例中,一種半導體裝置的形成方法包括:沉積第一罩幕於目標層的第一區域及目標層的第二區域之上;形成複數個心軸於第一罩幕之上;形成複數個間隔物於複數個心軸的側壁上;沉積第二罩幕於複數個心軸及複數個間隔物之上;形成蓋層於第二罩幕之上,蓋層包括碳;以及圖案化第二罩幕及蓋層以露出複數個心軸的第一心軸及複數個間隔物的第一間隔物,第一心軸及第一間隔物與目標層的第一區域重疊。在一些實施例中,半導體裝置的形成方法更包括:移除第一間隔物;在移除第一間隔物之後,移除蓋層的餘留部分及第二罩幕的餘留部分;在移除蓋層的餘留部分及第二罩幕的餘留部分之後,圖案化第一罩幕,圖案化第一罩幕包括轉移第一心軸的圖案至第一罩幕;以及轉移第一罩幕的圖案至目標層。在一些實施例中,圖案化第一罩幕包括轉 移第二間隔物的一圖案至第一罩幕,第二間隔物包括複數個間隔物,且第二間隔物與目標層的第二區域重疊。在一些實施例中,圖案化第一罩幕包括轉移第二心軸的圖案至第一罩幕,第二心軸位於第二間隔物之間。在一些實施例中,蓋層具有至少30%的碳濃度。在一些實施例中,形成蓋層包括在與沉積第二罩幕相同的製程腔室中形成蓋層。在一些實施例中,沉積第二罩幕包括流通第一含碳前驅物及氧氣於複數個間隔物及該複數個心軸上,形成蓋層包括流通第二含碳前驅物於第二罩幕之上而不流通氧氣。在一些實施例中,第二含碳前驅物為與第一含碳前驅物相同的化學化合物。在一些實施例中,第二含碳前驅物為與第一含碳前驅物不同的化學化合物。
在一些實施例中,一種半導體裝置的形成方法包括:沉積第一硬罩幕於目標層上;形成第一心軸及第二心軸於第一硬罩幕上;形成第一間隔物於第一心軸的側壁上,及第二間隔物於第二心軸的側壁上;沉積氧化層於第一心軸、第二心軸、第一間隔物、及第二間隔物之上;形成含碳蓋層於氧化層之上;圖案化含碳蓋層以露出氧化層;圖案化氧化層以露出第二心軸及第二間隔物,同時以含碳蓋層遮蔽第一間隔物及第一心軸;移除第二間隔物;在移除第二間隔物之後,移除含碳蓋層及氧化層的餘留部分;轉移第一間隔物、第一心軸、及第二心軸的圖案至第一硬罩幕;以及使用第一硬罩幕為罩幕以圖案化目標層。在一些實施例中,半導體裝置的形成方法更包括:形成光阻於含碳蓋層之上;以及圖案化光阻,圖案化光阻包括灰化製程,以及圖案化含碳蓋層包括使用灰化製程以移除含碳蓋層與第二間隔物及第二心軸重疊的部份。在一些實施例中,圖案化氧化層包括稀氫氟酸(diluted hydrogen fluoride,dHF)濕蝕刻製程。在一些實施例中,含碳蓋層具有至少30%的碳濃度。在一些實施例中,形成 含碳蓋層包括在與沉積氧化層相同的原子層沉積(atomic layer deposition,ALD)腔室中形成含碳蓋層。在一些實施例中,含碳蓋層的厚度在5Å至15Å的範圍內。在一些實施例中,沉積氧化層包括流通第一含碳前驅物,形成含碳蓋層包括流通第二含碳前驅物,且第一含碳前驅物及第二含碳前驅物相同。在一些實施例中,沉積氧化層包括流通第一含碳前驅物,形成含碳蓋層包括流通第二含碳前驅物,且第二含碳前驅物具有比第一含碳前驅物更高的碳氮比。
在一些實施例中,一種半導體裝置的形成方法包括:沉積第一罩幕於目標層之上;形成第一心軸及第二心軸於第一罩幕之上;形成第一間隔物於第一心軸上,及第二間隔物於第二心軸上;當遮蔽第一間隔物時,選擇性地移除第二間隔物,遮蔽第一間隔物包括以第二罩幕以及第二罩幕上的蓋層覆蓋第一間隔物,且蓋層具有至少30%的碳;圖案化第一罩幕,圖案化第一罩幕包括以第二心軸、第一心軸、及第一間隔物遮蔽第一罩幕;以及轉移第一罩幕的圖案該目標層。在一些實施例中,目標層包括多層結構。在一些實施例中,半導體裝置的形成方法更包括:形成第三心軸;形成第三間隔物於第三心軸上;以及在選擇性地移除第二間隔物之後,當遮蔽第一心軸、第一間隔物、及第二心軸時,選擇性地移除第三心軸,圖案化第一罩幕更包括以第三間隔物遮蔽第一罩幕。
前述內文概述了許多實施例的特徵部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明實施例的發明 精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。另外,雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,且並非所有優點都已於此詳加說明。
100:半導體裝置
100A,100B,100C:區域
102:附著層
104:目標層
106:硬罩幕層
108:硬罩幕

Claims (15)

  1. 一種半導體裝置的形成方法,包括:沉積一第一罩幕於一目標層的一第一區域及該目標層的一第二區域之上;形成複數個心軸於該第一罩幕之上;形成複數個間隔物於該複數個心軸的側壁上;沉積一第二罩幕於該複數個心軸及該複數個間隔物之上;形成一蓋層於該第二罩幕之上,其中該蓋層包括碳;以及圖案化該第二罩幕及該蓋層以露出該複數個心軸的一第一心軸及該複數個間隔物的第一間隔物,該第一心軸及該第一間隔物與該目標層的該第一區域重疊。
  2. 如請求項1之半導體裝置的形成方法,更包括:移除該第一間隔物;在移除該第一間隔物之後,移除該蓋層的餘留部分及該第二罩幕的餘留部分;在移除該蓋層的餘留部分及該第二罩幕的餘留部分之後,圖案化該第一罩幕,其中圖案化該第一罩幕包括轉移該第一心軸的一圖案至該第一罩幕;以及轉移該第一罩幕的一圖案至該目標層。
  3. 如請求項2之半導體裝置的形成方法,其中圖案化該第一罩幕包括轉移第二間隔物的一圖案至該第一罩幕,其中該第二間隔物包括複數個間隔物,且其中該第二間隔物與該目標層的該第二區域重疊。
  4. 如請求項3之半導體裝置的形成方法,其中圖案化該第一罩幕包括轉移一第二心軸的一圖案至該第一罩幕,其中該第二心軸位於該第二間隔物 之間。
  5. 如請求項1之半導體裝置的形成方法,其中形成該蓋層包括在與沉積該第二罩幕相同的一製程腔室中形成該蓋層。
  6. 如請求項5之半導體裝置的形成方法,其中沉積該第二罩幕包括流通一第一含碳前驅物及氧氣於該複數個間隔物及該複數個心軸上,其中形成該蓋層包括流通一第二含碳前驅物於該第二罩幕之上而不流通氧氣。
  7. 如請求項6之半導體裝置的形成方法,其中該第二含碳前驅物為與該第一含碳前驅物相同的化學化合物。
  8. 一種半導體裝置的形成方法,包括:沉積一第一硬罩幕於一目標層的一第一區域及一第二區域上;形成一第一心軸及一第二心軸於該第一硬罩幕上;形成第一間隔物於該第一心軸的側壁上,及第二間隔物於該第二心軸的側壁上;沉積一氧化層於該第一心軸、該第二心軸、該第一間隔物、及該第二間隔物之上;形成一含碳蓋層於該氧化層之上;圖案化該含碳蓋層以露出該氧化層;圖案化該氧化層以露出該第二心軸及該第二間隔物,同時以該含碳蓋層遮蔽該第一間隔物及該第一心軸,該第二心軸及該第二間隔物與該目標層的該第一區域重疊;移除該第二間隔物;在移除該第二間隔物之後,移除該含碳蓋層及該氧化層的餘留部分; 轉移該第一間隔物、該第一心軸、及該第二心軸的一圖案至該第一硬罩幕;以及使用該第一硬罩幕為一罩幕以圖案化該目標層。
  9. 如請求項8之半導體裝置的形成方法,更包括:形成一光阻於該含碳蓋層之上;以及圖案化該光阻,其中圖案化該光阻包括一灰化製程,以及其中圖案化該含碳蓋層包括使用該灰化製程以移除該含碳蓋層與該第二間隔物及該第二心軸重疊的一部份。
  10. 如請求項8之半導體裝置的形成方法,其中圖案化該氧化層包括一稀氫氟酸(diluted hydrogen fluoride,dHF)濕蝕刻製程。
  11. 如請求項8-10中任一項之半導體裝置的形成方法,其中沉積該氧化層包括流通一第一含碳前驅物,其中形成該含碳蓋層包括流通一第二含碳前驅物,且其中該第一含碳前驅物及該第二含碳前驅物相同。
  12. 如請求項8-10中任一項之半導體裝置的形成方法,其中沉積該氧化層包括流通一第一含碳前驅物,其中形成該含碳蓋層包括流通一第二含碳前驅物,且其中該第二含碳前驅物具有比該第一含碳前驅物更高的碳氮比。
  13. 一種半導體裝置的形成方法,包括:沉積一第一罩幕於一目標層的一第一區域及一第二區域之上;形成一第一心軸及一第二心軸於該第一罩幕之上;形成第一間隔物於該第一心軸上,及第二間隔物於該第二心軸上;當遮蔽該第一間隔物時,選擇性地移除該第二間隔物,其中遮蔽該第一間隔物包括以一第二罩幕以及該第二罩幕上的一蓋層覆蓋該第一間隔物並露出該第 二間隔物,該第二間隔物與該目標層的該第一區域重疊,且其中該蓋層具有至少30%的碳;圖案化該第一罩幕,其中圖案化該第一罩幕包括以該第二心軸、該第一心軸、及該第一間隔物遮蔽該第一罩幕;以及轉移該第一罩幕的一圖案至該目標層。
  14. 如請求項13之半導體裝置的形成方法,其中該目標層包括一多層結構。
  15. 如請求項13-14中任一項之半導體裝置的形成方法,更包括:形成一第三心軸;形成第三間隔物於該第三心軸上;以及在選擇性地移除該第二間隔物之後,當遮蔽該第一心軸、該第一間隔物、及該第二心軸時,選擇性地移除該第三心軸,其中圖案化該第一罩幕更包括以該第三間隔物遮蔽該第一罩幕。
TW110134331A 2021-02-26 2021-09-15 半導體裝置的形成方法 TWI779833B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163154001P 2021-02-26 2021-02-26
US63/154,001 2021-02-26
US17/314,445 2021-05-07
US17/314,445 US11848209B2 (en) 2021-02-26 2021-05-07 Patterning semiconductor devices and structures resulting therefrom

Publications (2)

Publication Number Publication Date
TW202234474A TW202234474A (zh) 2022-09-01
TWI779833B true TWI779833B (zh) 2022-10-01

Family

ID=82799362

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110134331A TWI779833B (zh) 2021-02-26 2021-09-15 半導體裝置的形成方法

Country Status (5)

Country Link
US (2) US11848209B2 (zh)
KR (1) KR102647990B1 (zh)
CN (1) CN114975104A (zh)
DE (1) DE102021112567A1 (zh)
TW (1) TWI779833B (zh)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201715726A (zh) * 2015-10-28 2017-05-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TW201820483A (zh) * 2016-11-29 2018-06-01 台灣積體電路製造股份有限公司 鰭式場效應電晶體裝置之形成方法
TW201838022A (zh) * 2017-04-10 2018-10-16 台灣積體電路製造股份有限公司 半導體裝置之形成方法
TW201906008A (zh) * 2017-06-30 2019-02-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法
US20190157094A1 (en) * 2017-11-21 2019-05-23 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor devices and structures thereof
US20190385915A1 (en) * 2018-06-18 2019-12-19 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
TW202034381A (zh) * 2018-11-30 2020-09-16 台灣積體電路製造股份有限公司 半導體裝置的製造方法
US20200343140A1 (en) * 2018-10-30 2020-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with nanostructure and method for manufacturing the same
TW202101546A (zh) * 2019-01-29 2021-01-01 台灣積體電路製造股份有限公司 半導體裝置結構及其形成方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8586482B2 (en) 2011-06-29 2013-11-19 International Business Machines Corporation Film stack including metal hardmask layer for sidewall image transfer fin field effect transistor formation
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9620380B1 (en) * 2015-12-17 2017-04-11 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9881794B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US9941164B1 (en) * 2016-12-05 2018-04-10 Samsung Electronics Co., Ltd. Self-aligned block patterning with density assist pattern
US10304728B2 (en) * 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
DE102017127390B4 (de) 2017-06-30 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung
TW201921498A (zh) 2017-09-27 2019-06-01 美商微材料有限責任公司 選擇性氧化鋁蝕刻的使用
KR102630349B1 (ko) * 2018-01-30 2024-01-29 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
US10685845B2 (en) * 2018-11-06 2020-06-16 Nanya Technology Corporation Method for preparing a semiconductor structure

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201715726A (zh) * 2015-10-28 2017-05-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TW201820483A (zh) * 2016-11-29 2018-06-01 台灣積體電路製造股份有限公司 鰭式場效應電晶體裝置之形成方法
TW201838022A (zh) * 2017-04-10 2018-10-16 台灣積體電路製造股份有限公司 半導體裝置之形成方法
TW201906008A (zh) * 2017-06-30 2019-02-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法
US20190157094A1 (en) * 2017-11-21 2019-05-23 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor devices and structures thereof
US20200258754A1 (en) * 2017-11-21 2020-08-13 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor devices and structures thereof
US20190385915A1 (en) * 2018-06-18 2019-12-19 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
US20200343140A1 (en) * 2018-10-30 2020-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with nanostructure and method for manufacturing the same
TW202034381A (zh) * 2018-11-30 2020-09-16 台灣積體電路製造股份有限公司 半導體裝置的製造方法
TW202101546A (zh) * 2019-01-29 2021-01-01 台灣積體電路製造股份有限公司 半導體裝置結構及其形成方法

Also Published As

Publication number Publication date
KR20220122444A (ko) 2022-09-02
US20240063020A1 (en) 2024-02-22
CN114975104A (zh) 2022-08-30
US20220277958A1 (en) 2022-09-01
DE102021112567A1 (de) 2022-09-01
US11848209B2 (en) 2023-12-19
TW202234474A (zh) 2022-09-01
KR102647990B1 (ko) 2024-03-14

Similar Documents

Publication Publication Date Title
US10049919B2 (en) Semiconductor device including a target integrated circuit pattern
TWI698929B (zh) 半導體裝置的圖案化方法
US10340141B2 (en) Patterning method for semiconductor device and structures resulting therefrom
CN110739210B (zh) 半导体结构及其形成方法
US8802510B2 (en) Methods for controlling line dimensions in spacer alignment double patterning semiconductor processing
KR102650776B1 (ko) 반도체 패터닝 및 형성된 구조
CN110875176A (zh) 半导体装置的形成方法
US10867794B2 (en) Patterning method for semiconductor devices and structures resulting therefrom
KR20190003300A (ko) 반도체 디바이스 및 방법
TW201946100A (zh) 半導體裝置之製造方法
US11887851B2 (en) Method for forming and using mask
KR20010106923A (ko) 반도체소자의 제조방법
TWI779833B (zh) 半導體裝置的形成方法
KR20070113604A (ko) 반도체 소자의 미세패턴 형성방법
TW202203295A (zh) 半導體裝置的形成方法及其用於製造積體電路的方法
TW202147398A (zh) 半導體裝置的形成方法
US8524608B1 (en) Method for fabricating a patterned structure of a semiconductor device
US20240136184A1 (en) Method for forming and using mask
US20230230884A1 (en) Interconnect structures for semiconductor devices and methods of manufacturing the same
KR20090044878A (ko) 반도체 소자의 미세패턴 형성방법

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent