KR102647990B1 - 반도체 디바이스들의 패터닝 및 그로부터 발생하는 구조물들 - Google Patents

반도체 디바이스들의 패터닝 및 그로부터 발생하는 구조물들 Download PDF

Info

Publication number
KR102647990B1
KR102647990B1 KR1020210087095A KR20210087095A KR102647990B1 KR 102647990 B1 KR102647990 B1 KR 102647990B1 KR 1020210087095 A KR1020210087095 A KR 1020210087095A KR 20210087095 A KR20210087095 A KR 20210087095A KR 102647990 B1 KR102647990 B1 KR 102647990B1
Authority
KR
South Korea
Prior art keywords
spacers
mask
layer
mandrel
carbon
Prior art date
Application number
KR1020210087095A
Other languages
English (en)
Other versions
KR20220122444A (ko
Inventor
춘-유 카오
숭-엔 린
치아-쳉 차오
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20220122444A publication Critical patent/KR20220122444A/ko
Application granted granted Critical
Publication of KR102647990B1 publication Critical patent/KR102647990B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Bipolar Transistors (AREA)

Abstract

방법은 타겟 층 위에 제 1 마스크를 성막하는 단계; 제 1 마스크 위에 제 1 맨드릴 및 제 2 맨드릴을 형성하는 단계; 제 1 맨드릴 상에 제 1 스페이서들을 형성하고 제 2 맨드릴 상에 제 2 스페이서들을 형성하는 단계; 및 제 1 스페이서들을 마스킹하면서 제 2 스페이서들을 선택적으로 제거하는 단계를 포함한다. 제 1 스페이서들을 마스킹하는 단계는 제 2 마스크 및 제 2 마스크 위의 캡핑 층으로 제 1 스페이서들을 덮는 단계를 포함하고, 캡핑 층은 탄소를 포함한다. 방법은 제 1 마스크를 패터닝하는 단계 및 제 1 마스크의 패턴을 타겟 층에 전사하는 단계를 더 포함한다. 제 1 마스크를 패터닝하는 단계는 제 2 맨드릴, 제 1 맨드릴, 및 제 1 스페이서들로 제 1 마스크를 마스킹하는 단계를 포함한다.

Description

반도체 디바이스들의 패터닝 및 그로부터 발생하는 구조물들{PATTERNING SEMICONDUCTOR DEVICES AND STRUCTURES RESULTING THEREFROM}
본 출원은 2021년 2월 26일 출원된 미국 가출원 번호 제65/154,001호에 대한 우선권을 주장하며, 이 미국 가출원은 본원에 참고로 포함된다.
반도체 디바이스들의 다운 스케일링이 증가함에 따라 다양한 공정 기법들(예컨대, 포토리소그래피)은 점점 더 작은 치수들의 디바이스들을 제조할 수 있도록 적응되고 있다. 예를 들어, 게이트들의 밀도가 증가함에 따라, 디바이스 내의 다양한 피처들(예컨대, 상부의 인터커넥트 피처들)의 제조 공정들은 대체로 디바이스 피처들의 다운 스케일링과 호환 가능하도록 적응되고 있다. 그러나, 반도체 공정들의 공정 윈도우들(process windows)이 점점 더 작아지면서, 이러한 디바이스들의 제조는 포토리소그래피 장비의 이론적 한계에 도달했거나 심지어는 이를 능가하게 되었다. 반도체 디바이스들이 계속 축소됨에 따라, 디바이스의 요소들 간에 요구되는 간격(즉, 피치)은 기존의 광학 마스크들 및 포토리소그래피 장비를 사용하여 제조될 수 있는 피치보다 작다.
본 개시 내용의 양태들은 첨부된 도면들과 함께 읽게 되면 다음의 상세한 설명으로부터 최상으로 이해된다. 주목할 것은 본 산업의 표준 관행에 따라 다양한 피처들(features)이 축척대로 도시되는 것은 아니라는 것이다. 실제로, 다양한 피처들의 치수들은 설명의 명확성을 위해 임의로 증가 또는 감소될 수 있다.
도 1 내지 도 20은 다양한 실시예들에 따라 반도체 디바이스를 제조하는 다양한 중간 스테이지들의 단면도들 및 사시도들을 도시한 것이다.
도 21 내지 도 23은 다양한 다른 실시예들에 따라 반도체 디바이스를 제조하는 다양한 중간 스테이지들의 단면도들 및 사시도들을 도시한 것이다.
도 24 및 도 25는 다양한 다른 실시예들에 따라 반도체 디바이스를 제조하는 다양한 중간 스테이지들의 단면도 및 사시도를 도시한 것이다.
도 26 및 도 27은 다양한 다른 실시예들에 따라 반도체 디바이스를 제조하는 다양한 중간 스테이지들의 단면도 및 사시도를 도시한 것이다.
이하의 개시 내용은 본 발명의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예들을 제공한다. 이하에서는 본 개시 내용을 단순화하기 위해 특정 예들의 컴포넌트들 및 배열체들이 기술된다. 이들은 물론 예시에 불과할 뿐이며 제한하려는 것이 아니다. 예를 들어, 이하의 설명에서 제 2 피처 위에 또는 제 2 피처 상에 제 1 피처를 형성하는 것은 제 1 피처 및 제 2 피처가 직접 접촉하여 형성되는 실시예들을 포함할 수 있고, 또한 제 1 피처 및 제 2 피처가 직접 접촉하지 않을 수 있도록 제 1 피처와 제 2 피처 사이에 추가적인 피처들이 형성될 수 있는 실시예들을 포함할 수 있다. 또한, 본 개시 내용은 다양한 예들에서 참조 번호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 단순성 및 명확성을 위한 것이며, 그 자체가 논의된 다양한 실시예들 및/또는 구성들 간의 관계를 나타내는 것은 아니다.
또한, "바로 아래", "아래", "하부", "위", "상부" 등과 같은 공간 관련 용어는 본원에서 하나의 요소 또는 피처의 다른 요소(들) 또는 피처(들)에 대한 관계를 도면에 도시한 바와 같이 기술하기 위한 설명의 편의를 위해 사용될 수 있다. 이들 공간 관련 용어는 도면에 도시된 방향 외에도 사용 중인 또는 동작 중인 디바이스의 다양한 방향을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수 있고(90도 또는 다른 방향으로 회전될 수 있고), 그에 따라 본원에서 사용되는 공간 관련 서술자가 마찬가지로 해석될 수 있다.
다양한 실시예들은 특정 패터닝 공정, 즉 자체 정렬 이중 패터닝(self-aligned double patterning)(SADP) 공정과 관련하여 기술되며, 이 공정에서는 맨드릴들을 패터닝하고, 맨드릴들의 측벽들을 따라 스페이서들을 형성하고, 그리고 스페이서들은 남겨두되 맨드릴들의 적어도 일부를 제거하여, 맨드릴들의 피치의 절반인 패턴을 정의한다. 그러나, 다양한 실시예들은 자체 정렬 사중 패터닝(self-aligned quadruple patterning)(SAQP) 등과 같은 다른 패터닝 공정들에 관한 것일 수 있다.
일부 실시예에 따라 반도체 디바이스 및 방법이 제공된다. 특히, 자체 정렬 이중 패터닝 공정(self-aligned double patterning process)은 피처들(예컨대, 반도체 핀들, 게이트 구조물들, 도전성 라인들 등)을 반도체 디바이스 내의 타겟 층 내로 패터닝하기 위해 수행된다. 패터닝된 피처들 중 적어도 일부는 포토리소그래픽 공정들을 사용하여 달성될 수 있는 최소 피치의 적어도 절반인 피치를 갖는다. 패터닝된 피처들은 전술한 맨드릴들, 스페이서들, 및/또는 이들의 조합을 사용하여 패터닝된 피처들을 포함할 수 있다. 구체적으로, 맨드릴들 및 스페이서들의 상이한 조합들은 상이한 사이즈들 및/또는 간격들을 갖는 패터닝된 피처들을 달성하기 위해 타겟 층의 상이한 구역들을 패터닝하는 데 사용될 수 있다.
맨드릴들 및 스페이서들은 타겟 층에서 원하는 결과적인 피처 사이즈에 따라 타겟 층 위의 상이한 영역들에서 선택적으로 제거될 수 있다. 선택적 제거를 달성하기 위해, 하나 이상의 마스크들이 맨드릴들과 스페이서들 위에 성막될 수 있다. 다양한 실시예들에서, 맨드릴들 및/또는 스페이서들의 선택적인 제거의 결과로서의 에칭 손상(예컨대, 스페이서 재료 손실)을 감소시키기 위해 탄소 풍부 층(carbon-rich layer)이 스페이서들 위에 (예컨대, 상이한 마스크들 사이에) 성막될 수 있다. 따라서, 원하는 치수의 맨드릴들 및 스페이서들을 정밀하게 패터닝할 수 있으며, 타겟 층을 패터닝하는 동안 임계 치수 제어(critical dimension control)를 향상시킬 수 있다.
도 1 내지 도 19는 일부 실시예에 따른 반도체 디바이스(100)의 타겟 층(104) 내의 피처들의 형성시의 중간 스테이지들의 단면도들을 도시한 것이다. 타겟 층(104)은 본 개시 내용의 실시예들에 따라 복수의 패턴들이 형성될 층이다. 일부 실시예에서, 반도체 디바이스(100)는 보다 큰 웨이퍼의 일부로서 처리된다. 이러한 실시예들에서, 반도체 디바이스(100)의 다양한 피처들(예컨대, 능동 디바이스들, 인터커넥트 구조물들 등)이 형성된 후에, 웨이퍼로부터 개별 반도체 다이들을 분리(싱귤레이션(singulation)이라고도 지칭됨)하기 위해 웨이퍼의 스크라이브 라인 영역들(scribe line regions)에 대해 싱귤레이션 공정이 적용될 수 있다.
일부 실시예에서, 타겟 층(104)은 반도체 기판이다. 반도체 기판은 반도체 온 절연체(semiconductor-on-insulator)(SOI) 기판의 도핑되거나 도핑되지 않은 실리콘, 또는 활성 층을 포함할 수 있다. 타겟 층(104)은 다른 반도체 재료들, 예를 들어, 게르마늄; 실리콘 탄화물, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비화물, 및/또는 인듐 안티몬화물을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 합금 반도체; 또는 이들의 조합들을 포함할 수 있다. 다른 기판들, 예를 들어, 다중 층 또는 구배 기판들(multi-layered or gradient substrates)이 또한 사용될 수 있다. 반도체 기판은 실시예 공정으로 패터닝될 수 있고, 후속 공정 단계들을 사용하여 기판 내에 얕은 트렌치 격리(shallow trench isolation)(STI) 영역들을 형성할 수 있다. 반도체 핀들은 형성된 STI 영역들 사이에서 돌출될 수 있다. 소스/드레인 영역들은 반도체 핀들 내에 형성될 수 있고, 게이트 유전체 및 전극 층들은 핀들의 채널 영역들 위에 형성될 수 있으며, 이에 따라 핀 전계 효과 트랜지스터(finFET)들과 같은 반도체 디바이스들을 형성할 수 있다.
일부 실시예에서, 타겟 층(104)은 블랭킷으로 성막되는 금속 층 또는 폴리실리콘 층과 같은 도전성 층이다. finFET들의 반도체 게이트들 및/또는 더미 게이트들을 패터닝하기 위해 타겟 층(104)에 대해 실시예 패터닝 공정들이 적용될 수 있다. 도전성 타겟 층(104)을 패터닝하기 위해 실시예 공정들을 사용함으로써, 인접한 게이트들 간의 간격이 감소될 수 있고, 게이트 밀도가 증가될 수 있다. 이러한 실시예들에서, 타겟 층(104)은, 예컨대, 전술한 바와 같이, 반도체 기판 위에 형성될 수 있다.
일부 실시예들에서, 타겟 층(104)은 금속간 유전체(inter-metal dielectric)(IMD) 층이다. 이러한 실시예들에서, 타겟 층(104)은, 예를 들어, 3.8 미만, 약 3.0 미만, 또는 약 2.5 미만의 유전 상수(k 값)를 갖는 로우-k 유전체 재료를 포함한다. 대안적인 실시예들에서, 타겟 층(104)은 3.8보다 높은 k 값을 갖는 하이-k 유전체 재료를 포함하는 IMD 층이다. 개구부들은 실시예 공정들을 사용하여 타겟 층(104) 내에서 패터닝될 수 있고, 도전성 라인들 및/또는 비아들이 개구부들 내에 형성될 수 있다. 이러한 실시예들에서, 타겟 층은 반도체 기판 위에 (예컨대, 전술한 바와 같이) 형성될 수 있고, 트랜지스터들, 다이오드들, 캐패시터들, 저항기들 등과 같은 디바이스들은 반도체 기판의 활성 표면 내 및/또는 상에 형성될 수 있다.
디바이스(100)는 3 개의 영역들(100A, 100B, 및 100C)을 포함한다. 3 개의 영역들(100A, 100B, 및 100C)의 각각에는 상이한 타입들의 디바이스들 및/또는 상이한 지오메트리들(예컨대, 사이즈들)의 디바이스들이 형성될 수 있다. 예를 들어, 영역(100A)은 타겟 층(104) 내에 제 1 폭의 피처들(예컨대, 반도체 핀들)을 형성하도록 처리될 수 있으며; 영역(100B)은 타겟 층(104) 내에 제 1 폭보다 큰 제 2 폭의 피처들을 형성하도록 처리될 수 있고; 그리고 영역(100C)은 타겟 층(104) 내에 제 1 폭보다 크지만 제 2 폭보다 작은 제 3 폭의 피처들을 형성하도록 처리될 수 있다. 예를 들어, 제 1 폭은 약 15 nm보다 작을 수 있으며; 제 2 폭은 약 15 nm 내지 약 30 nm보다 클 수 있고; 제 3 폭은 약 15 nm 내지 약 30 nm의 범위 내일 수 있다. 일부 실시예들에서, 영역들(100A 및 100C)에는 n 타입 디바이스들이 형성될 수 있고, 영역(100B)에는 p 타입 디바이스들이 형성될 수 있다. 다른 실시예들에서의 디바이스(100)의 상이한 영역들 내에는 다른 피처 사이즈들 및/또는 타입들의 디바이스들이 형성될 수 있다. 또한, 영역들(100A, 100B, 및 100C)이 서로 직접 인접하는 것으로 도시되어 있지만, 영역들(100A, 100B, 및 100C)의 각각의 사이에는 임의의 수의 중간 피처들 및/또는 간격이 배치될 수 있다.
타겟 층(104) 위에는 접착 층(102)이 성막된다. 접착 층(102)은 물리 기상 증착(PVD), 화학 기상 증착(CVD), 또는 원자 층 성막(atomic layer deposition)(ALD) 등에 의해 성막될 수 있다. 일부 실시예에서, 접착 층(102)은 접착 층으로서 기능할 수도 있고, 후속 핀 형성 동안 에칭 정지 층으로서 기능할 수도 있다. 도 1은 접착 층(102)이 타겟 층(104)과 물리적으로 접촉하는 것으로 도시하지만, 임의의 수의 개재 층들이 접착 층(102)과 타겟 층(104) 사이에 배치될 수 있다.
막 스택(film stack)은 접착 층(102) 위에 형성된 하드 마스크 층(106)을 더 포함한다. 하드 마스크 층(106)은 접착 층(102)과 비교하여 선택적으로 에칭될 수 있는 재료로 형성될 수 있다. 예를 들어, 접착 층(102)이 산화물을 포함하는 실시예들에서, 하드 마스크 층(106)은 실리콘 질화물 등과 같은 질화물일 수 있다. 하드 마스크 층(106)은, 예를 들어, PVD, CVD, 또는 ALD 등에 의해 성막될 수 있다. 일부 실시예에서, 하드 마스크 층(106)은, 예를 들어, 약 200 Å 내지 약 300 Å의 범위를 가질 수 있다.
막 스택은 하드 마스크 층(106) 위의 하드 마스크(108)를 더 포함한다. 일부 실시예에서, 하드 마스크(108)는 하드 마스크 층(106)과 비교하여 선택적으로 에칭될 수 있는 재료를 포함할 수 있다. 예를 들어, 하드 마스크 층(106)이 질화물을 포함하는 실시예들에서, 다중 층 하드 마스크(108)는 산화물을 포함할 수 있다. 구체적으로, 일부 실시예에서, 하드 마스크(108)는 실리콘 산화물(예컨대, SiO2 등), 실리콘 옥시 질화물(SiON), 실리콘 옥시 탄소 질화물(SiOCN), 또는 이들의 조합들 등을 포함한다. 하드 마스크(108)는, 예를 들어, PVD, CVD, 또는 ALD 등에 의해 성막될 수 있다.
막 스택은 하드 마스크(108) 위에 형성된 맨드릴 층(112)을 더 포함한다. 맨드릴 층(112)은 실리콘(예컨대, 비정질 실리콘) 등을 포함할 수 있다. 맨드릴 층(112)은 ALD, CVD, 또는 PVD 등과 같은 임의의 적합한 공정을 사용하여 성막될 수 있다.
삼중 층 포토레지스트(tri-layer photoresist)(120)는 맨드릴 층(112) 위의 막 스택 상에 형성된다. 삼중 층 포토레지스트(120)는 하단 층(114), 하단 층(114) 위의 중간 층(116), 및 중간 층(116) 위의 상부 층(118)을 포함한다. 하단 층(114) 및 상부 층(118)은 유기 재료들을 포함하는 포토레지스트들(예컨대, 감광성 재료들)로 형성될 수 있다. 일부 실시예에서, 하단 층(114)은 또한 하단 반사 방지 코팅(bottom anti-reflective coating)(BARC) 층일 수 있다. 중간 층(116)은, 질화물(예를 들어, 실리콘 질화물), 옥시 질화물(예를 들어, 실리콘 옥시 질화물), 또는 산화물(예를 들어, 실리콘 산화물) 등일 수 있는 무기 재료를 포함할 수 있다. 중간 층(116)은 상부 층(118) 및 하단 층(114)에 비해 높은 에칭 선택도를 갖는다. 삼중 층 포토레지스트(120)의 다양한 층들은, 예를 들어, 스핀-온 공정들(spin-on processes)을 사용하여 순차적으로 블랭킷으로 성막될 수 있다. 삼중 층 포토레지스트(120)가 본원에서 논의되지만, 다른 실시예들에서, 포토레지스트(120)는 단일 층 또는 이중 층(예컨대, 중간 층(116) 없이 하단 층(114) 및 상부 층(118)만을 포함) 포토레지스트일 수 있다. 사용되는 포토레지스트의 타입(예컨대, 단일 층, 이중 층, 또는 삼중 층)은 맨드릴 층(112)을 패터닝하는 데 사용되는 포토리소그래피 공정에 의존할 수 있다. 예를 들어, 고급 극자외선(EUV) 리소그래피 공정에서, 단일 층 또는 이중 층 포토레지스트(120)가 사용될 수 있다.
일부 실시예에서, 상부 층(118)은 포토리소그래픽 공정을 사용하여 패터닝된다. 그 후, 상부 층(118)은 중간 층(116)의 패터닝을 위한 에칭 마스크로서 사용된다(도 2 참조). 그 후, 중간 층(116)은 하단 층(114)의 패터닝을 위한 에칭 마스크로서 사용되며, 하단 층(114)은 그 후 맨드릴 층(112)을 패터닝하는 데 사용된다(도 3 및 도 4 참조). 삼중 층 포토레지스트(예컨대, 삼중 층 포토레지스트(120))를 사용하여 타겟 층(예컨대, 맨드릴 층(112))을 에칭함으로써, 타겟 층(예컨대, 맨드릴 층(112))에서 미세 피치 패턴들(fine-pitched patterns)의 개선된 정의가 달성될 수 있다는 것을 알게 되었다.
상부 층(118)은 내부에 개구부들(122)을 형성하기 위해 임의의 적합한 포토리소그래피 공정을 사용하여 패터닝된다. 상부 층(118)에서 개구부들(122)을 패터닝하는 일 예로서, 포토마스크가 상부 층(118) 위에 배치될 수 있다. 그 후, 포토마스크가 상부 층(118)의 구역들을 마스킹하는 동안 상부 층(118)은 자외선(UV) 또는 엑시머 레이저를 포함하는 방사선 빔, 예를 들어, 크립톤 불화물(KrF) 엑시머 레이저로부터의 248 nm 빔, 아르곤 불화물(ArF) 엑시머 레이저로부터의 193 nm 빔, 또는 F2 엑시머 레이저로부터의 157 nm 빔 등에 노출될 수 있다. 상단 포토레지스트 층의 노출은, 해상도를 증가시키고 달성 가능한 최소 피치를 감소시키기 위해 침지 리소그래피 시스템을 사용하여 수행될 수 있다. 베이킹 또는 경화(bake or cure) 동작은 상부 층(118)을 경화시키기 위해 수행될 수 있고, 현상제는 포지티브 또는 네거티브 레지스트가 사용되는지에 따라 상부 층(118)의 노출된 부분 또는 노출되지 않은 부분들을 제거하기 위해 사용될 수 있다.
상부 층(118)의 패터닝 후, 상부 층(118)의 패턴은 에칭 공정에서 중간 층(116)으로 전사된다. 에칭 공정은 이방성이므로, 상부 층(118) 내의 개구부들(122)은 중간 층(116)을 관통하여 연장되고, 중간 층(116)에서의 개구부들의 사이즈들은 상부 층(118)에서의 개구부들과 거의 동일하다. 결과적인 구조물이 도 2에 도시된다.
선택적으로, 중간 층(116) 내의 개구부들(122)의 사이즈를 증가시키기 위해 트리밍 공정(trimming process)이 수행될 수 있다. 일 실시예에서, 트리밍 공정은, O2, CO2, N2/H2, H2, 등, 이들의 조합을 포함하는 공정 가스들, 또는 중간 층(116)을 트리밍하기에 적합한 임의의 다른 가스들을 사용하는 이방성 플라즈마 에칭 공정이다.
도 3에서, 중간 층(116)의 패턴을 하단 층(114)으로 전사하기 위해 에칭 공정을 수행하여, 개구부들(122)을 하단 층(114)을 관통하게 연장시킨다. 하단 층(114)의 에칭 공정은 이방성이므로, 중간 층(116) 내의 개구부들(122)은 하단 층(114)을 관통하여 연장되고, 하단 층(114)에서의 개구부들의 사이즈들은 중간 층(116)에서의 개구부들과 거의 동일하다. 하단 층(114)을 에칭하는 부분으로서, 상부 층(118)(도 1 및 도 2 참조)이 소모될 수 있다.
도 4에서, 하단 층(114)(도 3 참조)의 패턴은 에칭 공정을 사용하여 맨드릴 층(112)으로 전사된다. 맨드릴 층(112)의 에칭 공정은 이방성이므로, 하단 층(114) 내의 개구부들(122)은 맨드릴 층(112)을 관통하게 연장된다. 맨드릴 층(112) 내의 개구부들(122)은 하단 층(114)에서의 개구부들과 거의 동일한 폭들을 갖는다. 에칭은 건식 에칭(예컨대, 플라즈마 에칭) 등일 수 있다.
맨드릴 층(112) 바로 아래에 있는 층(예컨대, 하드 마스크(108))은 맨드릴 층(112)을 패터닝할 때 에칭 정지 층으로서 사용될 수 있다. 구체적으로, 에칭 공정은 하드 마스크(108)를 크게 에칭하지 않고도 맨드릴 층(112)을 선택적으로 에칭하는 에칭제를 사용할 수 있다. 예를 들어, 맨드릴 층(112)이 실리콘을 포함하고 하드 마스크가 실리콘 산화물을 포함하는 실시예들에서, 에칭 공정은 HBr, CF4, Cl2, 또는 NF3, 등을 에칭제로서 사용할 수 있다.
따라서, 맨드릴들(124)은 맨드릴 층(112)의 남아 있는 부분들(예컨대, 개구부들(122) 사이의 맨드릴 층(112)의 부분들)로부터 정의된다. 도시된 바와 같이, 맨드릴들(124)은 영역들(100A, 100B, 및 100C)의 각각에서 다양한 사이즈들을 가질 수 있으며, 이들 사이즈들은 타겟 층(104)에서 후속적으로 패터닝되는 피처들의 원하는 사이즈 및/또는 간격에 대응할 수 있다. 예를 들어, 영역들(100A 및 100C) 내의 맨드릴들(124A 및 124C)은 영역(100B) 내의 맨드릴(124B)보다 얇을 수 있다. 다른 실시예들에서, 영역들(100A, 100B, 및 100C)의 각각에서의 맨드릴들(124)은 상이한 상대적 치수들을 가질 수 있다. 예를 들어, 영역(100C) 내의 맨드릴(124C)은 맨드릴 (124A)보다 넓을 수 있지만, 다른 실시예들에서는 맨드릴(124A)보다 얇을 수 있다. 맨드릴 층(112)을 에칭하는 동안, 중간 층(116)이 소모되고, 하단 층(114)이 적어도 부분적으로 소모될 수 있다.
실시예들에서, 맨드릴 층(112)을 에칭하는 동안 하단 층(114)이 완전히 소모되지 않는 경우, 하단 층(114)의 남아 있는 잔류물을 제거하기 위해 애싱 공정이 수행될 수 있다. 애싱 공정은 맨드릴들(124)을 산소 플라즈마에 노출시키는 산소 플라즈마 스트립(oxygen plasma strip)을 포함할 수 있다.
도 5에서, 스페이서 층(126)이 맨드릴들(124) 위에 그리고 맨드릴들(124)의 측벽들을 따라 형성된다. 스페이서 층(126)은 개구부들(122) 내의 하드 마스크(108)의 상단 표면들을 따라 추가로 연장될 수 있다. 스페이서 층(126)의 재료는 하드 마스크(108) 및 맨드릴들(124)에 대해 높은 에칭 선택도를 갖도록 선택된다. 예를 들어, 스페이서 층(126)은 SiN, SiCON, SiON, 금속들, 금속 합금들 등을 포함할 수 있고, ALD, CVD 등과 같은 임의의 적합한 공정을 사용하여 성막될 수 있다. 일부 실시예에서, 스페이서 층(126)의 성막 공정은, 맨드릴들(124)의 측벽들 상의 스페이서 층(126)의 두께가 맨드릴들(124)의 상단 표면 및 개구부들(122)의 하단 표면들 상의 스페이서 층(126)의 두께와 (예컨대, 제조 허용오차들 내에서) 실질적으로 동일하도록 컨포멀하다.
도 6에서, 스페이서 층(126)은 맨드릴들(124)의 측벽들 상의 스페이서들(128)을 남기면서 스페이서 층(126)의 측방 부분들을 제거하도록 패터닝된다. 스페이서 층(126)을 에칭하는 것은 맨드릴들(124) 및 맨드릴들(124) 아래의 층(예컨대, 하드 마스크(108))의 부분들을 노출시킨다. 스페이서 층(126)을 패터닝하는 것은 맨드릴들(124)보다 높은 레이트로 스페이서 층(126)을 선택적으로 에칭하는 건식 에칭 공정을 포함할 수 있다. 스페이서 층(126)을 에칭하기 위한 예시적인 에칭제들은 CF4, NF3, HCl, 또는 HBr 등과 같은 불소 반응성 가스를 포함할 수 있다. 산소(O2), 질소(N2), 아르곤(Ar), 또는 이들의 조합들 등과 같은 다른 공정 가스들이 에칭제들과 함께 사용될 수 있다. 건식 에칭 공정은 이방성일 수 있고, 맨드릴들(124) 상의 스페이서 층(126)의 수직 부분들(스페이서들(128))을 남겨 두면서 스페이서 층(126)의 노출된 측방 부분들을 에칭할 수 있다.
도 7에서, 마스크(150)는 맨드릴들(124) 및 스페이서들(128) 위에 그리고 맨드릴들(124) 및 스페이서들(128)의 측벽들을 따라 형성된다. 마스크(150)는 개구부들(122) 내의 하드 마스크(108)의 상단 표면들을 따라 추가로 연장될 수 있다. 마스크(150)의 재료는 맨드릴들(124) 및 스페이서들(128) 위에 후속적으로 형성되는 캡핑 층에 대해 높은 에칭 선택도를 갖도록 선택된다(예컨대, 도 8 참조). 예를 들어, 마스크(150)는 실리콘 산화물 등을 포함할 수 있고, ALD, 또는 CVD 등과 같은 임의의 적합한 공정을 사용하여 성막될 수 있다.
마스크(150)의 성막 공정은, 스페이서들(128)의 측벽들 상의 마스크(150)의 두께가 맨드릴들(124)의 상단 표면 및 개구부들(122)의 하단 표면들 상의 마스크(150)의 두께와 (예컨대, 제조 허용오차들 내에서) 실질적으로 동일하도록 컨포멀할 수 있다. 일부 실시예에서, 마스크(150)를 성막하는 것은 제 1 탄소 함유 전구체 및 산소(예컨대, O2, N2O, 또는 CO2 등)를 ALD 성막 챔버 내에 흐르게 하는 것을 포함할 수 있다. 제 1 탄소 함유 전구체는 Bis(디 에틸 아미노)실란, SiH4, 또는 SiH2Cl2 등일 수 있고, 제 1 탄소 함유 전구체는, 예를 들어, 탄소 대 질소 비율이 4:1인 화합물일 수 있다. 예를 들어, 제 1 탄소 함유 전구체와 산소는 반응하여 디바이스(100)의 노출된 표면 상에 실리콘 산화물의 단일 층들을 형성한다. 제 1 탄소 함유 전구체 및 산소는 각각의 펄스와 퍼지 사이클 사이에 인가되는 RF 전력(예컨대, 약 200 W 내지 800 W의 범위)으로 주기적으로 펄싱(pulsed)되고 퍼지(purged)될 수 있다. RF 전력은 ALD 공정 동안 후속 단일 층들의 성장을 촉진하기 위해 각 원자 단일 층의 표면 상태를 향상시킬 수 있다.
도 8에서, 캡핑 층(152)은 마스크(150) 상에 형성된다. 캡핑 층(152)은 순수 탄소 층 또는 탄소 풍부 복합 층(예컨대, SiOCN 층, 또는 SiOC 층 등)일 수 있다. 예를 들어, 캡핑 층(152)의 탄소 농도는 적어도 30 %일 수 있으며, 이는 유리하게는 캡핑 층(152)이 후속 공정 단계들(예컨대, 스페이서들(128) 및/또는 맨드릴들(124)을 선택적으로 제거하기 위한 후속 에칭 단계들) 동안 하부의 스페이서들(128)을 적절하게 보호할 수 있게 한다. 충분히 높은 탄소 농도는 마스크(150)의 재료와 비교하여 에칭 내성을 향상시킨다는 것을 알게 되었다. 예를 들어, 희석된 수소 불화물(dHF)을 사용하는 캡핑 층(152)의 습식 에칭 레이트는 마스크(150)보다 2 배 이상 느릴 수 있다.
일부 실시예에서, 캡핑 층(152)은 약 2 nm 미만의 두께, 예를 들어, 약 5 Å 내지 약 15 Å 또는 약 8 Å 내지 약 9 Å의 범위 내의 두께를 가질 수 있다. 전술한 두께 범위들 내에서 캡핑 층(152)을 형성함으로써 이점이 달성될 수 있다는 것을 알게 되었다. 예를 들어, 약 5 Å 미만의 두께의 캡핑 층(152)을 형성하게 되면 후속 에칭 단계들 동안 하부의 피처들(예컨대, 스페이서들(128))에 대해 불충분한 보호를 제공하게 되어 하부의 피처들에 대해 손상을 줄 수 있다. 또한, 약 2 nm보다 큰 두께의 캡핑 층(152)을 형성하게 되면 특히 임계 치수가 작은 구역들에서 캡핑 층(152) 제거의 어려움이 증가될 수 있으며, 결과적으로 후속 공정 단계들에서 원하지 않는 캡핑 층 잔류물을 남길 수 있게 된다.
일부 실시예에서, 캡핑 층(152)은 마스크(150)와 함께 인시츄(in-situ)로 형성된다. 예를 들어, 캡핑 층(152)은 진공 상태의 어떠한 중단도 없이 마스크(150)와 동일한 공정 챔버에서 형성될 수 있다. 이러한 실시예들에서, 캡핑 층(152)을 형성하는 것은 순수 탄소의 단일 층들 또는 (예컨대, 30 %를 초과하는 탄소 농도를 갖는) 탄소 풍부 복합 재료의 단일 층들을 성막하기 위해 성막 챔버 내에 제 2 탄소 함유 전구체를 흐르게 하면서 공정 챔버 내로의 산소의 흐름을 턴오프하는 것을 포함할 수 있다. 일부 실시예에서, 캡핑 층(152)을 성막하는 데 사용되는 제 2 탄소 함유 전구체는 마스크(150)를 성막하는 동안 흐르는 전술한 제 1 탄소 함유 전구체와 동일할 수 있다. 예를 들어, 제 2 탄소 함유 전구체는 Bis(디 에틸 아미노)실란, SiH4, 또는 SiH2Cl2 등일 수 있고, 제 2 탄소 함유 전구체는 탄소 대 질소 비율이 4:1인 화합물일 수 있다. 다른 실시예들에서, 제 2 탄소 함유 전구체는 마스크(150)를 성막하는 데 사용되는 제 1 탄소 함유 전구체와는 상이한 화합물일 수 있다. 또한, 제 2 탄소 함유 전구체는 제 1 탄소 함유 전구체의 탄소 대 질소 비율보다 큰 탄소 대 질소 비율을 가질 수 있다. 추가로, 캡핑 층(152)을 성막하는 것은 제 2 탄소 함유 전구체와 동시에 하나 이상의 추가 탄소 함유 전구체들(예컨대, 테트라 에톡시 실란(TEOS), 테트라 메틸 오르토 실리케이트 등)을 흐르게 하는 것을 선택적으로 포함할 수 있다. 제 2 탄소 함유 전구체의 탄소 비율을 제어하고 및/또는 하나 이상의 추가 탄소 함유 전구체들을 흐르게 함으로써, 캡핑 층(152)의 탄소 농도를 원하는 레벨로 조정할 수 있다. 다른 실시예들에서, 캡핑 층(152)이 마스크(150)와는 상이한 공정 챔버에서 형성되는 엑시츄(ex-situ) 성막 공정과 같은 다른 공정이 캡핑 층(152)을 형성하기 위해 사용될 수 있다.
도 9에서, 포토레지스트(154)는 영역들(100A, 100B, 및 100C) 내의 캡핑 층(152) 위에 성막된다. 포토레지스트(154)는, 예를 들어, 스핀-온 공정을 사용하여 성막될 수 있다. 포토레지스트(154)가 단일 층으로 도시되어 있지만, 일부 실시예에서 포토레지스트(154)는 도 1에서 전술한 삼중 층 포토레지스트(120)와 유사한 삼중 층 구조물을 가질 수 있다.
도 10에서, 포토레지스트(154) 및 캡핑 층(152)은 영역(100C) 내의 마스크(150)를 노출하도록 패터닝된다. 포토레지스트(154)를 패터닝하는 것은 포토리소그래픽 공정을 사용하여 수행될 수 있다. 예를 들어, 포토레지스트(154)는, 영역들(100A 및 100B) 내의 포토레지스트(154)는 남기면서 영역(100C)으로부터의 포토레지스트(154)를 제거하기 위해 노출되고 현상될 수 있다. 또한, 포토레지스트(154)가 삼중 층 구조물을 갖는 실시예들에서, 포토레지스트(154)를 패터닝하는 것은 포토레지스트(120)를 패터닝하는 것과 관련하여 전술한 바와 같은 적합한 에칭 공정들을 더 포함할 수 있다. 일부 실시예에서, 영역(100C)으로부터 포토레지스트(154)를 제거하는 것은 영역(100C)으로부터 캡핑 층(152)을 추가로 제거하는 O2 애싱 공정을 포함할 수 있다. 영역들(100A 및 100B)에서 포토레지스트(154)의 남아 있는 부분들은 캡핑 층(152)의 커버 부분들(cover portions)일 수 있으므로, 캡핑 층(152)이 또한 영역들(100A 및 100B)에 남아 있게 된다.
도 11에서, 마스크(150)는 영역(100C)으로부터 선택적으로 제거된다. 마스크(150)의 패터닝은 포토레지스트(154) 및 캡핑 층(152)을 마스크들로서 사용하여 습식 에칭 공정에 의해 수행될 수 있다. 습식 에칭 공정은 dHF 등을 에칭제로서 사용할 수 있다. 따라서, 맨드릴들(124) 및 스페이서들(128)은 영역(100C)에서 노출된다. 다양한 실시예들에서, 캡핑 층(152)은 마스크(150)를 패터닝하는 동안 영역들(100A 및 100B) 내의 스페이서들(128)을 보호한다. 예를 들어, 마스크(150)를 에칭하는 데 사용되는 습식 에칭제들(예컨대, dHF)은 영역들(100A 및 100B)에서 포토레지스트(154)를 관통하여 마스크(150)를 에칭하는 경향이 있어, 스페이서들(128)에 대해 손상(예컨대, 재료 손실)을 초래한다. 전술한 바와 같이, 캡핑 층(152)(예컨대, 탄소 풍부 재료)은 그러한 에칭제들(예컨대, dHF)에 의한 에칭에 대해 내성이 있고, 캡핑 층(152)은 영역들(100A 및 100B)에서 스페이서들(128)에 대한 손상을 감소시키는 (예컨대, 스페이서들(128)에서 재료 손실을 감소시키는) 추가적인 보호 층을 제공한다. 따라서, 패터닝 정밀도가 향상될 수 있다. 또한, 스페이서들(128)은 후속 공정 단계들에서 영역(100C)으로부터 제거될 수 있고, 마스크(150)의 패터닝의 결과로서 영역(100C) 내의 스페이서들(128)에 대한 손상은 패터닝 제어의 저하를 초래하지 않을 수 있다.
도 12에서, 포토레지스트(154) 및 캡핑 층(152)의 남아 있는 부분들은 에칭에 의해 영역들(100A 및 100B)로부터 제거된다. 포토레지스트(154) 및 캡핑 층(152)을 제거하는 것은, 예를 들어, 포토레지스트(154) 및 캡핑 층(152)을 모두 제거하는 고온 과산화 황 혼합물(high temperature sulfuric peroxide mixture)(HTSPM)을 사용하는 세정 공정을 포함할 수 있다. 그 결과, 영역들(100A 및 100B)에서 마스크(150)가 노출된다. 선택적으로, dHF를 사용하는 (예컨대, 30 초 미만의 기간 동안 수행되는) 비교적 빠른 세정 공정이 하부의 스페이서들(128)을 손상시키지 않으면서 마스크(150)의 노출된 표면들을 세정하기 위해 수행될 수 있다. 세정은 마스크(150)의 표면들을 형성하기 위해 잔류 포토레지스트(154)를 제거할 수 있게 한다.
도 13에서, 스페이서들(128)은, 마스크(150)를 제거하지 않고도 스페이서들(128)을 선택적으로 제거하는 적합한 에칭 공정을 사용하여 영역(100C)으로부터 제거된다. 일부 실시예에서, 스페이서들(128)은 H3PO4, 또는 오존 등을 에칭제로서 사용하여 영역(100C)으로부터 제거될 수 있다. 영역들(100A 및 100C) 내의 스페이서들(128)은 마스크(150)에 의해 마스킹되고 제거되지 않는다.
도 14에서, 마스크(150)는 에칭 공정에 의해 영역들(100A 및 100B)로부터 제거된다. 일부 실시예에서, 마스크(150)(예컨대, 산화물)를 제거하는 것은 하부의 하드 마스크 층(106)(예컨대, 질화물 층)보다 낮은 레이트로 마스크(150)를 에칭하는 에칭 공정을 사용할 수 있다. 예를 들어, 에칭 공정은 dHF 등을 에칭제로서 사용하여 마스크(150)를 제거하는 것이다. 다른 실시예들에서 다른 에칭 공정들이 사용될 수 있다.
도 15에서, 포토레지스트(156)는 영역들(100A, 100B 및 100C) 내의 스페이서들(128) 및 캡핑 층(124) 위에 성막된다. 포토레지스트(156)는, 예를 들어, 스핀-온 공정을 사용하여 성막될 수 있다. 포토레지스트(156)가 단일 층으로 도시되어 있지만, 일부 실시예에서 포토레지스트(156)는 도 1에서 전술한 삼중 층 포토레지스트(120)와 유사한 삼중 층 구조물을 가질 수 있다. 도 15에 추가로 도시된 바와 같이, 포토레지스트(156)는 영역(100A) 내의 스페이서들(128) 및 맨드릴들(124)을 노출하도록 패터닝될 수 있다. 포토레지스트(156)를 패터닝하는 것은 (예컨대, 포토레지스트(120)를 패터닝하는 것과 관련하여) 전술한 바와 같이 적합한 리소그래피 및/또는 에칭 공정을 사용하여 수행될 수 있다.
도 16에서, 맨드릴들(124)은 영역(100A)으로부터 제거된다. 맨드릴들(124)은 에칭 공정을 사용하여 제거된다. 맨드릴들(124) 및 스페이서들(128)은 동일한 에칭 공정에 대해 에칭 선택도를 갖기 때문에, 맨드릴들(124)은 스페이서들(128)을 제거하지 않고 제거될 수 있다. 맨드릴들(124)을 에칭하면 에칭 정지 층으로서 작용할 수 있는 하부의 하드 마스크(108)가 노출된다. 일부 실시예에서, 스페이서들(128)을 제거하지 않고 맨드릴들(124)을 에칭하게 되면 스페이서들(128)의 높이가 감소될 수 있다. 맨드릴들(124)을 제거하는 것은 도 4에서 전술한 바와 같이 맨드릴들(124)을 패터닝하는 데 사용되는 공정과 유사한 건식 에칭 공정을 포함할 수 있다. 그 후, 포토레지스트(156)는 허용 가능한 세정 및/또는 O2 애싱 공정을 사용하여 제거된다. 결과적인 구조물이 도 17에 도시된다.
도 18에서, 하드 마스크(108)는 스페이서들(128) 및 맨드릴들(124)을 에칭 마스크로서 사용하여 에칭된다. 구체적으로, 하드 마스크(108)는 영역(100A)에서 스페이서들(128)을 마스크로서 사용하여 패터닝되며; 하드 마스크(108)는 영역(100B)에서 스페이서들(128) 및 맨드릴들(124)의 조합을 마스크로서 사용하여 패터닝되고; 그리고 하드 마스크(108)는 영역(100C)에서 맨드릴(124)을 마스크로서 사용하여 패터닝된다. 따라서, 하드 마스크(108)는 스페이서들(128) 및/또는 맨드릴들(124)의 상이한 조합들을 사용함으로써 영역들(100A, 100B, 및 100C)의 각각에서 상이한 간격들 및 피처 사이즈들을 갖도록 패터닝될 수 있다.
일부 실시예에서, 하드 마스크(108)를 에칭하는 것은 이방성 건식 에칭 및/또는 습식 에칭을 포함한다. 예를 들어, 하드 마스크(108)는 (예컨대, CF4, NF3, HCl, HBr 등을 사용하는) 건식 에칭, 부산물 제거를 위한 (예컨대, 희석된 수소 불화물(dHF), 황 과산화 혼합물(SPM) 등을 사용하는) 후속 습식 에칭, 및 입자 세정을 위한 세정 공정(예컨대, 표준 세정 1 (standard clean 1 (SC-1) 등)에 의해 패터닝될 수 있다. 하드 마스크(108)를 에칭하게 되면 스페이서들(128)이 소모될 수 있다.
이어서, 도 19에서, 하드 마스크(108)는, 타겟 층(104)에서 핀들(142)을 정의할 수 있는 개구부들(140)을 패터닝하기 위한 에칭 마스크로서 사용된다. 타겟 층(104)을 에칭하는 것은 이방성 건식 에칭 공정 및/또는 습식 에칭 공정을 포함할 수 있다. 타겟 층(104)의 남아 있는 부분들은 도 17의 스페이서들(128) 및 맨드릴들(124)과 동일한 패턴을 가질 수 있다. 스페이서들(128) 및 맨드릴들(124)의 상이한 조합들이 마스크들로서 사용되기 때문에, 영역들(100A, 100B, 및 100C)의 각각의 타겟 층(104)에서 핀들(142)의 상이한 사이즈들 및 간격들이 달성될 수 있다.
핀 전계 효과 트랜지스터(FinFET) 디바이스들을 형성하기 위해 구조물(100)에 대해 추가 공정 단계들이 적용될 수 있다. 도 20은 FinFET 디바이스를 사시도로 도시한 것이다. 다양한 실시예들에서, 격리 영역들은 핀들(142) 주위에 성막될 수 있고, 이후 격리 영역들은 핀들(142)의 상부 부분들을 노출시키기 위해 리세싱될 수 있다. 핀들(142)의 상부 부분들 내에 개구부들이 패터닝될 수 있고, 개구부들 내에 에피택셜 소스/드레인 영역들이 성장될 수 있다. 또한, 게이트 구조물들은 핀들(142)의 상부 부분들 위에 그리고 핀들(142)의 상부 부분들의 측벽들을 따라 형성될 수 있다. FinFET는 위의 도 1 내지 도 19에 설명된 공정들에 따라 패터닝될 수 있는 핀(142)을 포함한다. 핀(142)은 이웃하는 격리 영역들(56) 사이에서 위로 돌출된다. 게이트 유전체 층(92)은 핀(142)의 측벽들을 따라 그리고 핀(142)의 상단 표면 위에 존재하고, 게이트 전극(94)은 게이트 유전체 층(92) 위에 존재한다. 소스/드레인 영역들(82)은 게이트 유전체 층(92) 및 게이트 전극(94)에 대해 핀(142)의 양 측면들에 배치된다.
전술한 실시예에서 타겟 층(104)은 단일 재료 층이다. 다른 실시예들에서, 타겟 층(104)은 영역들(100A, 100B, 또는 100C) 중 상이한 영역들에서 다중 층 구조물 또는 단일 층들/다중 층들 구조물들의 조합을 가질 수 있다.
예를 들어, 도 21 및 도 22는 타겟 층(104)이 교번하는 반도체 층들(104A 및 104B)을 갖는 다중 층 구조물을 갖는 실시예를 도시한다. 반도체 층들(104A)은 제 1 반도체 재료를 포함할 수 있고, 반도체 층들(104B)은 제 1 반도체 재료와 비교하여 선택적으로 에칭될 수 있는 제 2 반도체 재료를 포함할 수 있다. 예를 들어, 반도체 층들(104A)은 실리콘을 포함할 수 있는 반면 반도체 층들(104B)은 실리콘 게르마늄을 포함할 수 있다. 반도체 층들(104B)은 후속적으로 제거될 수 있고, 반도체 층들(104A)은 나노구조물 트랜지스터 디바이스의 채널 영역들을 형성하도록 패터닝될 수 있다. 일부 실시예에서, 나노구조물 트랜지스터는 나노와이어 트랜지스터, 나노시트 트랜지스터, 게이트 올 어라운드 트랜지스터 등일 수 있다.
도 21은 도 1의 디바이스(100)와 유사한 초기 디바이스(200)를 도시하며, 유사한 참조 번호들은 유사한 공정들을 사용하여 형성된 유사한 요소들을 나타낸다. 도 22는, 타겟 층(104)이 도 1 내지 도 19와 관련하여 전술한 유사한 공정을 사용하여 핀들(142)을 정의하도록 패터닝된 후의 디바이스(200)를 도시한 것이다. 예를 들어, 스페이서들 및/또는 맨드릴들의 상이한 조합들은 디바이스(200)의 상이한 영역들(100A, 100B, 및 100C)에서 상이한 사이즈들 및 간격의 핀들(142)을 정의하기 위해 사용된다. 탄소 층 또는 탄소 풍부 층은 영역(100C)에서 스페이서들이 선택적으로 제거되는 동안 영역들(100A 및 100B)에서의 스페이서들을 보호하기 위한 캡핑 층으로서 사용될 수 있다. 따라서, 핀들(142)의 향상된 패터닝 제어가 달성될 수 있다.
나노구조물 트랜지스터 디바이스들을 형성하기 위해 구조물(200)에 대해 추가 공정 단계들이 적용될 수 있다. 예를 들어, 격리 영역들은 핀들 주위에 성막될 수 있고, 이후 격리 영역들은 핀들의 상부 부분들을 노출시키기 위해 리세싱될 수 있다. 핀들의 상부 부분들 내에 개구부들이 패터닝될 수 있고, 개구부들 내에 에피택셜 소스/드레인 영역들이 성장될 수 있다. 또한, 반도체 층들(104A)은 제거될 수 있고, 반도체 층들(104B)은 채널 영역들을 정의하도록 패터닝될 수 있다. 게이트 구조물들은 채널 영역들 주위에 형성될 수 있다.
도 23은 일부 실시예에 따라 나노구조물 트랜지스터의 일 예를 3 차원 도면으로 도시한 것이다. 나노구조물 트랜지스터들은 기판(50)(예컨대, 반도체 기판) 상의 핀들 위의 나노구조물들(55)(예컨대, 나노시트들, 또는 나노와이어들 등)을 포함하며, 나노구조물들(55)은 나노구조물 트랜지스터들에 대한 채널 영역들로서 작용한다. 나노구조물들(55)은 반도체 층들(104A)을 패터닝함으로써 형성될 수 있다. 나노구조물(55)은 p 타입 나노구조물들, n 타입 나노구조물들, 또는 이들의 조합을 포함할 수 있다. 격리 영역들(68)은 인접한 핀들(66) 사이에 배치되고, 인접한 핀들(66)은 이웃하는 격리 영역들(68) 사이에서 위로 돌출될 수 있다. 격리 영역들(68)이 기판(50)으로부터 분리된 것으로 기술/도시되어 있지만, 본원에서 사용되는 바와 같이, 용어 "기판"은 반도체 기판 단독을 또는 반도체 기판과 격리 영역들의 조합을 지칭할 수 있다. 추가적으로, 핀들(66)의 하단 부분이 기판(50)과 함께 단일의 연속적인 재료인 것으로 도시되어 있지만, 핀들(66)의 하단 부분 및/또는 기판(50)은 단일 재료 또는 복수의 재료들을 포함할 수 있다. 이러한 맥락에서, 핀들(66)은 이웃하는 격리 영역들(68) 사이에서 연장되는 부분을 지칭한다.
도 24 및 도 25는 타겟 층(104)이 반도체 기판(104C) 내에 매립된 다중 층 구조물(예컨대, 반도체 층들(104A/104B))을 포함하는 대안적인 실시예를 도시한 것이다. 다중 층 구조물은 영역(100B) 내에 배치될 수 있고, 다중 층 구조물은 영역들(100A 또는 100C) 내로 연장되지 않을 수 있다. 반도체 기판(104C)은 반도체 온 절연체(SOI) 기판의 도핑되거나 도핑되지 않은 실리콘, 또는 활성 층을 포함할 수 있다. 반도체 기판(104)은 다른 반도체 재료들, 예컨대, 게르마늄; 실리콘 탄화물, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비화물, 및/또는 인듐 안티몬화물을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 합금 반도체; 또는 이들의 조합들을 포함할 수 있다. 도 24 및 도 25의 타겟 층(104)은, 예를 들어, 포토리소그래피 및 에칭의 조합을 사용하여 영역(100B)에서 반도체 기판(104C)의 리세스를 패터닝함으로써 형성될 수 있다. 다음, 반도체 층들(104A 및 104B)은 반도체 기판(104C)의 리세스 내에서 교번적으로 성장될 수 있다. 반도체 층들(104A 및 104B)은 도 21 및 도 22와 관련하여 위에서 설명된 것들과 유사할 수 있다.
도 24는 도 1의 디바이스(100)와 유사한 초기 디바이스(300)를 도시하며, 유사한 참조 번호들은 유사한 공정들을 사용하여 형성된 유사한 요소들을 나타낸다. 도 25는, 타겟 층(104)이 도 1 내지 도 19와 관련하여 전술한 유사한 공정을 사용하여 핀들(142)을 정의하도록 패터닝된 후의 디바이스(300)를 도시한 것이다. 예를 들어, 스페이서들 및/또는 맨드릴들의 상이한 조합들은 디바이스(300)의 상이한 영역들(100A, 100B, 및 100C)에서 상이한 사이즈들 및 간격의 핀들(142)을 정의하기 위해 사용된다. 탄소 층 또는 탄소 풍부 층은 영역(100C)에서 스페이서들이 선택적으로 제거되는 동안 영역들(100A 및 100B)에서의 스페이서들을 보호하기 위한 캡핑 층으로서 사용될 수 있다. 핀들(142)은 영역들(100A 및 100C)에서 모놀리식 조성을 가질 수 있는 반면, 핀들(142)은 영역(100B)에서 다중 층 구조물(예컨대, 반도체 층들(104A 및 104B)을 포함)을 갖는다. 영역(100B) 내에 나노구조물 트랜지스터 디바이스들을 형성하고 영역들(100A 및 100C) 내에 finFET 트랜지스터들을 형성하기 위해 디바이스(300)에 대해 전술한 바와 같은 추가 공정 단계들이 적용될 수 있다.
타겟 층(104)은 영역들(100A, 100B, 또는 100C) 중 임의의 영역에 다중 층 구조물을 포함할 수 있다. 예를 들어, 도 26 및 도 27은 타겟 층(104)이 반도체 기판(104C) 내에 매립된 다중 층 구조물(예컨대, 반도체 층들(104A/104B))을 포함하는 디바이스(400)를 도시한 것이다. 다중 층 구조물은 영역들(100B 및 100C) 내에 배치될 수 있고, 다중 층 구조물은 영역들(100A) 내로 연장되지 않을 수 있다.
도 26은 도 24 및 도 25의 디바이스(300)와 유사한 초기 디바이스(400)를 도시하며, 유사한 참조 번호들은 유사한 공정들을 사용하여 형성된 유사한 요소들을 나타낸다. 도 27은, 타겟 층(104)이 도 1 내지 도 19와 관련하여 전술한 유사한 공정을 사용하여 핀들(142)을 정의하도록 패터닝된 후의 디바이스(400)를 도시한 것이다. 예를 들어, 스페이서들 및/또는 맨드릴들의 상이한 조합들은 디바이스(400)의 상이한 영역들(100A, 100B, 및 100C)에서 상이한 사이즈들 및 간격의 핀들(142)을 정의하기 위해 사용된다. 탄소 층 또는 탄소 풍부 층은 영역(100C)에서 스페이서들이 선택적으로 제거되는 동안 영역들(100A 및 100B)에서의 스페이서들을 보호하기 위한 캡핑 층으로서 사용될 수 있다. 핀들(142)은 영역들(100A 및 100C)에서 모놀리식 조성을 가질 수 있는 반면, 핀들(142)은 영역(100B)에서 다중 층 구조물(예컨대, 반도체 층들(104A 및 104B)을 포함)을 갖는다. 영역들(100B 및 100C) 내에 나노구조물 트랜지스터 디바이스들을 형성하고 영역(100A) 내에 finFET 트랜지스터들을 형성하기 위해 디바이스(400)에 대해 전술한 바와 같은 추가 공정 단계들이 적용될 수 있다.
다양한 실시예들은 맨드릴들의 측벽들 상에 스페이서들이 형성되는 이중 패터닝 방법들을 제공한다. 맨드릴들 및 스페이서들은 타겟 층의 상이한 구역들에서의 원하는 결과적인 피처 사이즈에 따라, 타겟 층 위의 상이한 영역들에서 선택적으로 제거될 수 있다. 선택적 제거를 달성하기 위해, 하나 이상의 마스크들이 맨드릴들 및 스페이서들 위에 성막될 수 있다. 다양한 실시예들에서, 맨드릴들 및/또는 스페이서들의 선택적인 제거의 결과로서의 에칭 손상(예컨대, 스페이서 재료 손실)을 감소시키기 위해 탄소 풍부 층이 스페이서들 위에 (예컨대, 상이한 마스크들 사이에) 성막될 수 있다. 따라서, 정확한 치수들의 맨드릴들 및 스페이서들을 패터닝할 수 있으며, 타겟 층을 패터닝하는 동안 임계 치수 제어를 향상시킬 수 있다.
일부 실시예에서, 방법은 타겟 층의 제 1 영역 및 상기 타겟 층의 제 2 영역 위에 제 1 마스크를 성막하는 단계; 상기 제 1 마스크 위에 복수의 맨드릴들을 형성하는 단계; 상기 복수의 맨드릴들의 측벽들 상에 복수의 스페이서들을 형성하는 단계; 상기 복수의 맨드릴들 및 상기 복수의 스페이서들 위에 제 2 마스크를 성막하는 단계; 상기 제 2 마스크 위에 캡핑 층을 형성하는 단계 ― 상기 캡핑 층은 탄소를 포함함 ―; 및 상기 복수의 맨드릴들 중 제 1 맨드릴 및 상기 복수의 스페이서들 중 제 1 스페이서들을 노출하기 위해 상기 제 2 마스크 및 상기 캡핑 층을 패터닝하는 단계 ― 상기 제 1 맨드릴 및 상기 제 1 스페이서들이 상기 타겟 층의 제 1 영역과 중첩함 ―를 포함한다. 일부 실시예에서, 방법은 상기 제 1 스페이서들을 제거하는 단계; 상기 제 1 스페이서들을 제거한 후, 상기 캡핑 층의 남아 있는 부분들 및 상기 제 2 마스크의 남아 있는 부분들을 제거하는 단계; 상기 캡핑 층의 남아 있는 부분들 및 상기 제 2 마스크의 남아 있는 부분들을 제거한 후, 상기 제 1 마스크를 패터닝하는 단계 ― 상기 제 1 마스크를 패터닝하는 단계는 상기 제 1 맨드릴의 패턴을 상기 제 1 마스크에 전사하는 단계를 포함함 ―; 및 상기 제 1 마스크의 패턴을 상기 타겟 층에 전사하는 단계를 더 포함한다. 일부 실시예에서, 상기 제 1 마스크를 패터닝하는 단계는 제 2 스페이서들의 패턴을 상기 제 1 마스크에 전사하는 단계를 포함하고, 상기 제 2 스페이서들은 상기 복수의 스페이서들에 의해 구성되고, 상기 제 2 스페이서들은 상기 타겟 층의 제 2 영역과 중첩한다. 일부 실시예에서, 상기 제 1 마스크를 패터닝하는 단계는 제 2 맨드릴의 패턴을 상기 제 1 마스크에 전사하는 단계를 포함하며, 상기 제 2 맨드릴은 상기 제 2 스페이서들 사이에 배치된다. 일부 실시예에서, 상기 캡핑 층은 적어도 30 %의 탄소 농도를 갖는다. 일부 실시예에서, 상기 캡핑 층을 형성하는 단계는 상기 제 2 마스크를 성막하는 단계와 동일한 공정 챔버에서 상기 캡핑 층을 형성하는 단계를 포함한다. 일부 실시예에서, 상기 제 2 마스크를 성막하는 단계는 상기 복수의 스페이서들 및 상기 복수의 맨드릴들 위에 제 1 탄소 함유 전구체 및 산소를 흐르게 하는 단계를 포함하고, 상기 캡핑 층을 형성하는 단계는 산소를 흐르게 하지 않고 상기 제 2 마스크 위에 제 2 탄소 함유 전구체를 흐르게 하는 단계를 포함한다. 일부 실시예에서, 상기 제 2 탄소 함유 전구체는 상기 제 1 탄소 함유 전구체와 동일한 화학적 화합물이다. 일부 실시예에서, 상기 제 2 탄소 함유 전구체는 상기 제 1 탄소 함유 전구체와는 상이한 화학적 화합물이다.
일부 실시예에서, 방법은 타겟 층 위에 제 1 하드 마스크를 성막하는 단계; 상기 제 1 하드 마스크 위에 제 1 맨드릴 및 제 2 맨드릴을 형성하는 단계; 상기 제 1 맨드릴의 측벽들 상에 제 1 스페이서들을 형성하고, 상기 제 2 맨드릴의 측벽들 상에 제 2 스페이서들을 형성하는 단계; 상기 제 1 맨드릴, 상기 제 2 맨드릴, 상기 제 1 스페이서들, 및 상기 제 2 스페이서들 위에 산화물 층을 성막하는 단계; 상기 산화물 층 위에 탄소 함유 캡핑 층을 형성하는 단계; 상기 산화물 층을 노출시키기 위해 상기 탄소 함유 캡핑 층을 패터닝하는 단계; 상기 제 1 스페이서들 및 상기 제 1 맨드릴을 상기 탄소 함유 캡핑 층으로 마스킹하면서 상기 제 2 맨드릴 및 상기 제 2 스페이서들을 노출시키도록 상기 산화물 층을 패터닝하는 단계; 상기 제 2 스페이서들을 제거하는 단계; 상기 제 2 스페이서들을 제거한 후, 상기 탄소 함유 캡핑 층 및 상기 산화물 층의 남아 있는 부분들을 제거하는 단계; 상기 제 1 스페이서들, 상기 제 1 맨드릴, 및 상기 제 2 맨드릴의 패턴을 상기 제 1 하드 마스크에 전사하는 단계; 및 상기 제 1 하드 마스크를 마스크로서 사용하여 상기 타겟 층을 패터닝하는 단계를 포함한다. 일부 실시예에서, 방법은 상기 탄소 함유 캡핑 층 위에 포토레지스트를 형성하는 단계; 및 상기 포토레지스트를 패터닝하는 단계를 더 포함하고, 상기 포토레지스트를 패터닝하는 단계는 애싱 공정을 포함하고, 상기 탄소 함유 캡핑 층을 패터닝하는 단계는 상기 제 2 스페이서들 및 상기 제 2 맨드릴과 중첩하는 상기 탄소 함유 캡핑 층의 일부를 제거하기 위해 상기 애싱 공정을 사용하는 단계를 포함한다. 일부 실시예에서, 산화물 층을 패터닝하는 단계는 희석된 수소 불화물(dHF) 습식 에칭 공정을 포함한다. 일부 실시예에서, 상기 탄소 함유 캡핑 층은 적어도 30 %의 탄소 농도를 갖는다. 일부 실시예에서, 상기 탄소 함유 캡핑 층을 형성하는 단계는 상기 산화물 층을 성막하는 단계와 동일한 원자 층 성막(ALD) 챔버에서 상기 탄소 함유 캡핑 층을 형성하는 단계를 포함한다. 일부 실시예에서, 상기 탄소 함유 캡핑 층은 5 Å 내지 15 Å의 범위의 두께를 갖는다. 일부 실시예에서, 상기 산화물 층을 성막하는 단계는 제 1 탄소 함유 전구체를 흐르게 하는 단계를 포함하고, 상기 탄소 함유 캡핑 층을 형성하는 단계는 제 2 탄소 함유 전구체를 흐르게 하는 단계를 포함하고, 상기 제 1 탄소 함유 전구체 및 상기 제 2 탄소 함유 전구체는 동일하다. 일부 실시예에서, 상기 산화물 층을 성막하는 단계는 제 1 탄소 함유 전구체를 흐르게 하는 단계를 포함하고, 상기 탄소 함유 캡핑 층을 형성하는 단계는 제 2 탄소 함유 전구체를 흐르게 하는 단계를 포함하고, 상기 제 2 탄소 함유 전구체는 제 1 탄소 함유 전구체보다 높은 탄소 대 질소 비율을 갖는다.
일부 실시예에서, 방법은 타겟 층 위에 제 1 마스크를 성막하는 단계; 상기 제 1 마스크 위에 제 1 맨드릴 및 제 2 맨드릴을 형성하는 단계; 상기 제 1 맨드릴 상에 제 1 스페이서들을 형성하고 상기 제 2 맨드릴 상에 제 2 스페이서들을 형성하는 단계; 상기 제 1 스페이서들을 마스킹하면서 상기 제 2 스페이서들을 선택적으로 제거하는 단계 ― 상기 제 1 스페이서들을 마스킹하는 단계는 상기 제 1 스페이서들을 제 2 마스크 및 상기 제 2 마스크 위의 캡핑 층으로 덮는 단계를 포함하고, 상기 캡핑 층은 적어도 30 %의 탄소를 가짐 ―; 상기 제 1 마스크를 패터닝하는 단계 ― 상기 제 1 마스크를 패터닝하는 단계는 상기 제 1 마스크를 상기 제 2 맨드릴, 상기 제 1 맨드릴, 및 상기 제 1 스페이서들로 마스킹하는 단계를 포함함 ―; 및 상기 제 1 마스크의 패턴을 상기 타겟 층에 전사하는 단계를 포함한다. 일부 실시예에서, 상기 타겟 층은 다중 층 구조물을 포함한다. 일부 실시예에서, 방법은 제 3 맨드릴을 형성하는 단계; 상기 제 3 맨드릴 상에 제 3 스페이서들을 형성하는 단계; 및 상기 제 2 스페이서들을 선택적으로 제거한 후, 상기 제 1 맨드릴, 상기 제 1 스페이서들, 및 상기 제 2 맨드릴을 마스킹하면서 상기 제 3 맨드릴을 선택적으로 제거하는 단계를 더 포함하고, 상기 제 1 마스크를 패터닝하는 단계는 상기 제 1 마스크를 상기 제 3 스페이서들로 마스킹하는 단계를 더 포함한다.
전술한 내용은 본 기술 분야의 기술자가 본 개시 내용의 양태들을 더 잘 이해할 수 있도록 몇몇 실시예들의 특징들을 개략적으로 설명하고 있다. 본 기술 분야의 기술자는 본원에 도입된 실시예들과 동일한 목적을 수행하고 및/또는 동일한 효과를 달성하는 다른 공정들 및 구조물들을 설계하거나 수정하기 위한 토대로서 본 개시 내용을 용이하게 사용할 수 있다는 것을 이해해야 한다. 본 기술 분야의 기술자는 또한 이러한 등가의 구성이 본 개시 내용의 사상 및 범위를 벗어나지 않으며, 본 개시 내용의 사상 및 범위를 벗어나지 않으면서 본원에서 다양한 변경, 대체, 및 변형을 행할 수 있다는 것을 인식해야 한다.
[실시예 1]
방법으로서,
타겟 층의 제 1 영역 및 상기 타겟 층의 제 2 영역 위에 제 1 마스크를 성막하는 단계;
상기 제 1 마스크 위에 복수의 맨드릴들을 형성하는 단계;
상기 복수의 맨드릴들의 측벽들 상에 복수의 스페이서들을 형성하는 단계;
상기 복수의 맨드릴들 및 상기 복수의 스페이서들 위에 제 2 마스크를 성막하는 단계;
상기 제 2 마스크 위에 캡핑 층을 형성하는 단계 ― 상기 캡핑 층은 탄소를 포함함 ―; 및
상기 복수의 맨드릴들 중 제 1 맨드릴 및 상기 복수의 스페이서들 중 제 1 스페이서들을 노출하기 위해 상기 제 2 마스크 및 상기 캡핑 층을 패터닝하는 단계 ― 상기 제 1 맨드릴 및 상기 제 1 스페이서들은 상기 타겟 층의 제 1 영역과 중첩됨 ―
를 포함하는, 방법.
[실시예 2]
실시예 1에 있어서,
상기 제 1 스페이서들을 제거하는 단계;
상기 제 1 스페이서들을 제거한 후, 상기 캡핑 층의 남아 있는 부분들 및 상기 제 2 마스크의 남아 있는 부분들을 제거하는 단계;
상기 캡핑 층의 남아 있는 부분들 및 상기 제 2 마스크의 남아 있는 부분들을 제거한 후, 상기 제 1 마스크를 패터닝하는 단계 ― 상기 제 1 마스크를 패터닝하는 단계는 상기 제 1 맨드릴의 패턴을 상기 제 1 마스크에 전사하는 단계를 포함함 ―; 및
상기 제 1 마스크의 패턴을 상기 타겟 층에 전사하는 단계
를 더 포함하는, 방법.
[실시예 3]
실시예 2에 있어서,
상기 제 1 마스크를 패터닝하는 단계는 제 2 스페이서들의 패턴을 상기 제 1 마스크에 전사하는 단계를 포함하고, 상기 제 2 스페이서들은 상기 복수의 스페이서들에 의해 구성되고, 상기 제 2 스페이서들은 상기 타겟 층의 제 2 영역과 중첩되는 것인, 방법.
[실시예 4]
실시예 3에 있어서,
상기 제 1 마스크를 패터닝하는 단계는 제 2 맨드릴의 패턴을 상기 제 1 마스크에 전사하는 단계를 포함하며, 상기 제 2 맨드릴은 상기 제 2 스페이서들 사이에 배치되는 것인, 방법.
[실시예 5]
실시예 1에 있어서,
상기 캡핑 층은 적어도 30 %의 탄소 농도를 갖는 것인, 방법.
[실시예 6]
실시예 1에 있어서,
상기 캡핑 층을 형성하는 단계는 상기 제 2 마스크를 성막하는 단계와 동일한 공정 챔버에서 상기 캡핑 층을 형성하는 단계를 포함하는 것인, 방법.
[실시예 7]
실시예 6에 있어서,
상기 제 2 마스크를 성막하는 단계는 상기 복수의 스페이서들 및 상기 복수의 맨드릴들 위에 제 1 탄소 함유 전구체 및 산소를 흐르게 하는 단계를 포함하고, 상기 캡핑 층을 형성하는 단계는 산소를 흐르게 하지 않고 상기 제 2 마스크 위에 제 2 탄소 함유 전구체를 흐르게 하는 단계를 포함하는 것인, 방법.
[실시예 8]
실시예 7에 있어서,
상기 제 2 탄소 함유 전구체는 상기 제 1 탄소 함유 전구체와 동일한 화학적 화합물인 것인, 방법.
[실시예 9]
실시예 7에 있어서,
상기 제 2 탄소 함유 전구체는 상기 제 1 탄소 함유 전구체와는 상이한 화학적 화합물인 것인, 방법.
[실시예 10]
방법으로서,
타겟 층 위에 제 1 하드 마스크를 성막하는 단계;
상기 제 1 하드 마스크 위에 제 1 맨드릴 및 제 2 맨드릴을 형성하는 단계;
상기 제 1 맨드릴의 측벽들 상에 제 1 스페이서들을 형성하고, 상기 제 2 맨드릴의 측벽들 상에 제 2 스페이서들을 형성하는 단계;
상기 제 1 맨드릴, 상기 제 2 맨드릴, 상기 제 1 스페이서들, 및 상기 제 2 스페이서들 위에 산화물 층을 성막하는 단계;
상기 산화물 층 위에 탄소 함유 캡핑 층을 형성하는 단계;
상기 산화물 층을 노출시키기 위해 상기 탄소 함유 캡핑 층을 패터닝하는 단계;
상기 제 1 스페이서들 및 상기 제 1 맨드릴을 상기 탄소 함유 캡핑 층으로 마스킹하면서 상기 제 2 맨드릴 및 상기 제 2 스페이서들을 노출시키도록 상기 산화물 층을 패터닝하는 단계;
상기 제 2 스페이서들을 제거하는 단계;
상기 제 2 스페이서들을 제거한 후, 상기 탄소 함유 캡핑 층 및 상기 산화물 층의 남아 있는 부분들을 제거하는 단계;
상기 제 1 스페이서들, 상기 제 1 맨드릴, 및 상기 제 2 맨드릴의 패턴을 상기 제 1 하드 마스크에 전사하는 단계; 및
상기 제 1 하드 마스크를 마스크로서 사용하여 상기 타겟 층을 패터닝하는 단계
를 포함하는, 방법.
[실시예 11]
실시예 10에 있어서,
상기 탄소 함유 캡핑 층 위에 포토레지스트를 형성하는 단계; 및
상기 포토레지스트를 패터닝하는 단계
를 더 포함하고,
상기 포토레지스트를 패터닝하는 단계는 애싱 공정을 포함하고, 상기 탄소 함유 캡핑 층을 패터닝하는 단계는 상기 제 2 스페이서들 및 상기 제 2 맨드릴과 중첩되는 상기 탄소 함유 캡핑 층의 일부를 제거하기 위해 상기 애싱 공정을 사용하는 단계를 포함하는 것인, 방법.
[실시예 12]
실시예 10에 있어서,
상기 산화물 층을 패터닝하는 단계는 희석된 수소 불화물(dHF) 습식 에칭 공정을 포함하는 것인, 방법.
[실시예 13]
실시예 10에 있어서,
상기 탄소 함유 캡핑 층은 적어도 30 %의 탄소 농도를 갖는 것인, 방법.
[실시예 14]
실시예 10에 있어서,
상기 탄소 함유 캡핑 층을 형성하는 단계는 상기 산화물 층을 성막하는 단계와 동일한 원자 층 성막(ALD) 챔버에서 상기 탄소 함유 캡핑 층을 형성하는 단계를 포함하는 것인, 방법.
[실시예 15]
실시예 10에 있어서,
상기 탄소 함유 캡핑 층은 5 Å 내지 15 Å의 범위의 두께를 갖는 것인, 방법.
[실시예 16]
실시예 10에 있어서,
상기 산화물 층을 성막하는 단계는 제 1 탄소 함유 전구체를 흐르게 하는 단계를 포함하고, 상기 탄소 함유 캡핑 층을 형성하는 단계는 제 2 탄소 함유 전구체를 흐르게 하는 단계를 포함하고, 상기 제 1 탄소 함유 전구체 및 상기 제 2 탄소 함유 전구체는 동일한 것인, 방법.
[실시예 17]
실시예 10에 있어서,
상기 산화물 층을 성막하는 단계는 제 1 탄소 함유 전구체를 흐르게 하는 단계를 포함하고, 상기 탄소 함유 캡핑 층을 형성하는 단계는 제 2 탄소 함유 전구체를 흐르게 하는 단계를 포함하고, 상기 제 2 탄소 함유 전구체는 상기 제 1 탄소 함유 전구체보다 높은 탄소 대 질소 비율을 갖는 것인, 방법.
[실시예 18]
방법으로서,
타겟 층 위에 제 1 마스크를 성막하는 단계;
상기 제 1 마스크 위에 제 1 맨드릴 및 제 2 맨드릴을 형성하는 단계;
상기 제 1 맨드릴 상에 제 1 스페이서들을 형성하고 상기 제 2 맨드릴 상에 제 2 스페이서들을 형성하는 단계;
상기 제 1 스페이서들을 마스킹하면서 상기 제 2 스페이서들을 선택적으로 제거하는 단계 ― 상기 제 1 스페이서들을 마스킹하는 단계는 상기 제 1 스페이서들을 제 2 마스크 및 상기 제 2 마스크 위의 캡핑 층으로 덮는 단계를 포함하고, 상기 캡핑 층은 적어도 30 %의 탄소를 가짐 ―;
상기 제 1 마스크를 패터닝하는 단계 ― 상기 제 1 마스크를 패터닝하는 단계는 상기 제 1 마스크를 상기 제 2 맨드릴, 상기 제 1 맨드릴, 및 상기 제 1 스페이서들로 마스킹하는 단계를 포함함 ―; 및
상기 제 1 마스크의 패턴을 상기 타겟 층에 전사하는 단계
를 포함하는, 방법.
[실시예 19]
실시예 18에 있어서,
상기 타겟 층은 다중 층 구조물을 포함하는 것인, 방법.
[실시예 20]
실시예 18에 있어서,
제 3 맨드릴을 형성하는 단계;
상기 제 3 맨드릴 상에 제 3 스페이서들을 형성하는 단계; 및
상기 제 2 스페이서들을 선택적으로 제거한 후, 상기 제 1 맨드릴, 상기 제 1 스페이서들, 및 상기 제 2 맨드릴을 마스킹하면서 상기 제 3 맨드릴을 선택적으로 제거하는 단계
를 더 포함하고,
상기 제 1 마스크를 패터닝하는 단계는 상기 제 1 마스크를 상기 제 3 스페이서들로 마스킹하는 단계를 더 포함하는 것인, 방법.

Claims (10)

  1. 방법으로서,
    타겟 층의 제 1 영역 및 상기 타겟 층의 제 2 영역 위에 제 1 마스크를 성막하는 단계;
    상기 제 1 마스크 위에 복수의 맨드릴들을 형성하는 단계;
    상기 복수의 맨드릴들의 측벽들 상에 복수의 스페이서들을 형성하는 단계;
    상기 복수의 맨드릴들 및 상기 복수의 스페이서들 위에 제 2 마스크를 성막하는 단계;
    상기 제 2 마스크 위에 캡핑 층을 형성하는 단계 ― 상기 캡핑 층은 탄소를 포함함 ―;
    상기 복수의 맨드릴들 중 제 1 맨드릴 및 상기 제 1 맨드릴의 측벽들 상에 형성된 상기 복수의 스페이서들 중 제 1 스페이서들을 노출하기 위해 상기 제 2 마스크 및 상기 캡핑 층을 패터닝하는 단계 ― 상기 제 1 맨드릴 및 상기 제 1 스페이서들은 상기 타겟 층의 제 1 영역과 중첩됨 ―; 및
    상기 캡핑 층의 남아 있는 부분들 및 상기 제 2 마스크의 남아 있는 부분들이 상기 타겟 층의 제 2 영역을 커버하는 동안 상기 제 1 맨드릴을 제거하지 않고 상기 제 1 스페이서들을 선택적으로 제거하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 제 1 스페이서들을 제거한 후, 상기 캡핑 층의 남아 있는 부분들 및 상기 제 2 마스크의 남아 있는 부분들을 제거하는 단계;
    상기 캡핑 층의 남아 있는 부분들 및 상기 제 2 마스크의 남아 있는 부분들을 제거한 후, 상기 제 1 마스크를 패터닝하는 단계 ― 상기 제 1 마스크를 패터닝하는 단계는 상기 제 1 맨드릴의 패턴을 상기 제 1 마스크에 전사하는 단계를 포함함 ―; 및
    상기 제 1 마스크의 패턴을 상기 타겟 층에 전사하는 단계
    를 더 포함하는, 방법.
  3. 제2항에 있어서,
    상기 제 1 마스크를 패터닝하는 단계는 제 2 스페이서들의 패턴을 상기 제 1 마스크에 전사하는 단계를 포함하고, 상기 제 2 스페이서들은 상기 복수의 스페이서들에 의해 구성되고, 상기 제 2 스페이서들은 상기 타겟 층의 제 2 영역과 중첩되는 것인, 방법.
  4. 제3항에 있어서,
    상기 제 1 마스크를 패터닝하는 단계는 제 2 맨드릴의 패턴을 상기 제 1 마스크에 전사하는 단계를 포함하며, 상기 제 2 맨드릴은 상기 제 2 스페이서들 사이에 배치되는 것인, 방법.
  5. 제1항에 있어서,
    상기 캡핑 층은 적어도 30 %의 탄소 농도를 갖는 것인, 방법.
  6. 제1항에 있어서,
    상기 캡핑 층을 형성하는 단계는 상기 제 2 마스크를 성막하는 단계와 동일한 공정 챔버에서 상기 캡핑 층을 형성하는 단계를 포함하는 것인, 방법.
  7. 제6항에 있어서,
    상기 제 2 마스크를 성막하는 단계는 상기 복수의 스페이서들 및 상기 복수의 맨드릴들 위에 제 1 탄소 함유 전구체 및 산소를 흐르게 하는 단계를 포함하고, 상기 캡핑 층을 형성하는 단계는 산소를 흐르게 하지 않고 상기 제 2 마스크 위에 제 2 탄소 함유 전구체를 흐르게 하는 단계를 포함하는 것인, 방법.
  8. 방법으로서,
    타겟 층 위에 제 1 하드 마스크를 성막하는 단계;
    상기 제 1 하드 마스크 위에 제 1 맨드릴 및 제 2 맨드릴을 형성하는 단계;
    상기 제 1 맨드릴의 측벽들 상에 제 1 스페이서들을 형성하고, 상기 제 2 맨드릴의 측벽들 상에 제 2 스페이서들을 형성하는 단계;
    상기 제 1 맨드릴, 상기 제 2 맨드릴, 상기 제 1 스페이서들, 및 상기 제 2 스페이서들 위에 산화물 층을 성막하는 단계;
    상기 산화물 층 위에 탄소 함유 캡핑 층을 형성하는 단계;
    상기 제 2 스페이서들 및 상기 제 2 맨드릴 위에 성막되는 상기 산화물 층의 부분을 노출시키기 위해 상기 탄소 함유 캡핑 층을 패터닝하는 단계;
    상기 제 1 스페이서들 및 상기 제 1 맨드릴을 상기 탄소 함유 캡핑 층으로 마스킹하면서 상기 제 2 맨드릴 및 상기 제 2 스페이서들을 노출시키도록 상기 산화물 층을 패터닝하는 단계;
    상기 제 2 스페이서들을 제거하는 단계;
    상기 제 2 스페이서들을 제거한 후, 상기 탄소 함유 캡핑 층 및 상기 산화물 층의 남아 있는 부분들을 제거하는 단계;
    상기 제 1 스페이서들, 상기 제 1 맨드릴, 및 상기 제 2 맨드릴의 패턴을 상기 제 1 하드 마스크에 전사하는 단계; 및
    상기 제 1 하드 마스크를 마스크로서 사용하여 상기 타겟 층을 패터닝하는 단계
    를 포함하는, 방법.
  9. 제8항에 있어서,
    상기 탄소 함유 캡핑 층 위에 포토레지스트를 형성하는 단계; 및
    상기 포토레지스트를 패터닝하는 단계
    를 더 포함하고,
    상기 포토레지스트를 패터닝하는 단계는 애싱 공정을 포함하고, 상기 탄소 함유 캡핑 층을 패터닝하는 단계는 상기 제 2 스페이서들 및 상기 제 2 맨드릴과 중첩되는 상기 탄소 함유 캡핑 층의 일부를 제거하기 위해 상기 애싱 공정을 사용하는 단계를 포함하는 것인, 방법.
  10. 방법으로서,
    타겟 층 위에 제 1 마스크를 성막하는 단계;
    상기 제 1 마스크 위에 제 1 맨드릴 및 제 2 맨드릴을 형성하는 단계;
    상기 제 1 맨드릴 상에 제 1 스페이서들을 형성하고 상기 제 2 맨드릴 상에 제 2 스페이서들을 형성하는 단계;
    상기 제 1 스페이서들을 마스킹하면서 상기 제 2 스페이서들을 선택적으로 제거하는 단계 ― 상기 제 1 스페이서들을 마스킹하는 단계는 상기 제 1 스페이서들을 제 2 마스크 및 상기 제 2 마스크 위의 캡핑 층으로 덮는 단계를 포함하고, 상기 캡핑 층은 적어도 30 %의 탄소를 가짐 ―;
    상기 제 1 마스크를 패터닝하는 단계 ― 상기 제 1 마스크를 패터닝하는 단계는 상기 제 1 마스크를 상기 제 2 맨드릴, 상기 제 1 맨드릴, 및 상기 제 1 스페이서들로 마스킹하는 단계를 포함함 ―; 및
    상기 제 1 마스크의 패턴을 상기 타겟 층에 전사하는 단계
    를 포함하는, 방법.
KR1020210087095A 2021-02-26 2021-07-02 반도체 디바이스들의 패터닝 및 그로부터 발생하는 구조물들 KR102647990B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163154001P 2021-02-26 2021-02-26
US63/154,001 2021-02-26
US17/314,445 2021-05-07
US17/314,445 US11848209B2 (en) 2021-02-26 2021-05-07 Patterning semiconductor devices and structures resulting therefrom

Publications (2)

Publication Number Publication Date
KR20220122444A KR20220122444A (ko) 2022-09-02
KR102647990B1 true KR102647990B1 (ko) 2024-03-14

Family

ID=82799362

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210087095A KR102647990B1 (ko) 2021-02-26 2021-07-02 반도체 디바이스들의 패터닝 및 그로부터 발생하는 구조물들

Country Status (5)

Country Link
US (2) US11848209B2 (ko)
KR (1) KR102647990B1 (ko)
CN (1) CN114975104A (ko)
DE (1) DE102021112567A1 (ko)
TW (1) TWI779833B (ko)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102063236B1 (ko) 2017-06-30 2020-01-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 방법
US20200144070A1 (en) * 2018-11-06 2020-05-07 Nanya Technology Corporation Method for preparing a semiconductor structure

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8586482B2 (en) 2011-06-29 2013-11-19 International Business Machines Corporation Film stack including metal hardmask layer for sidewall image transfer fin field effect transistor formation
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9647116B1 (en) 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9620380B1 (en) * 2015-12-17 2017-04-11 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US10164066B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9881794B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US9941164B1 (en) * 2016-12-05 2018-04-10 Samsung Electronics Co., Ltd. Self-aligned block patterning with density assist pattern
US10157775B2 (en) 2017-04-10 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
US10304728B2 (en) * 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
DE102017127390B4 (de) 2017-06-30 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung
TW201921498A (zh) 2017-09-27 2019-06-01 美商微材料有限責任公司 選擇性氧化鋁蝕刻的使用
US10636667B2 (en) 2017-11-21 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor devices and structures thereof
CN113675081A (zh) * 2018-01-30 2021-11-19 朗姆研究公司 在图案化中的氧化锡心轴
KR20190142610A (ko) 2018-06-18 2019-12-27 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US10727134B2 (en) 2018-10-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with gate-all-around structure
US10861746B2 (en) 2018-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US10825918B2 (en) 2019-01-29 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102063236B1 (ko) 2017-06-30 2020-01-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 방법
US20200144070A1 (en) * 2018-11-06 2020-05-07 Nanya Technology Corporation Method for preparing a semiconductor structure

Also Published As

Publication number Publication date
TWI779833B (zh) 2022-10-01
US11848209B2 (en) 2023-12-19
US20220277958A1 (en) 2022-09-01
US20240063020A1 (en) 2024-02-22
TW202234474A (zh) 2022-09-01
KR20220122444A (ko) 2022-09-02
DE102021112567A1 (de) 2022-09-01
CN114975104A (zh) 2022-08-30

Similar Documents

Publication Publication Date Title
US10340141B2 (en) Patterning method for semiconductor device and structures resulting therefrom
CN110660652B (zh) 半导体装置的图案化方法
US10049919B2 (en) Semiconductor device including a target integrated circuit pattern
US8802510B2 (en) Methods for controlling line dimensions in spacer alignment double patterning semiconductor processing
KR102650776B1 (ko) 반도체 패터닝 및 형성된 구조
CN110416067B (zh) 半导体装置的制造方法
US9034767B1 (en) Facilitating mask pattern formation
US11508618B2 (en) Multicolor self-aligned contact selective etch
CN114446769A (zh) 半导体器件的制备方法
US20240136184A1 (en) Method for forming and using mask
KR102647990B1 (ko) 반도체 디바이스들의 패터닝 및 그로부터 발생하는 구조물들
KR20070113604A (ko) 반도체 소자의 미세패턴 형성방법
US11145760B2 (en) Structure having improved fin critical dimension control
US8524608B1 (en) Method for fabricating a patterned structure of a semiconductor device
KR20090044878A (ko) 반도체 소자의 미세패턴 형성방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant