TWI776551B - 用於提供末端執行器的設備、系統及方法 - Google Patents

用於提供末端執行器的設備、系統及方法 Download PDF

Info

Publication number
TWI776551B
TWI776551B TW110122073A TW110122073A TWI776551B TW I776551 B TWI776551 B TW I776551B TW 110122073 A TW110122073 A TW 110122073A TW 110122073 A TW110122073 A TW 110122073A TW I776551 B TWI776551 B TW I776551B
Authority
TW
Taiwan
Prior art keywords
end effector
wafer
low
support pads
support
Prior art date
Application number
TW110122073A
Other languages
English (en)
Other versions
TW202143381A (zh
Inventor
傑若恩 波斯布恩
巴巴克 納德里
理查 蒙羅
塔蒂亞娜 P. 瑪久
Original Assignee
美商捷普股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商捷普股份有限公司 filed Critical 美商捷普股份有限公司
Publication of TW202143381A publication Critical patent/TW202143381A/zh
Application granted granted Critical
Publication of TWI776551B publication Critical patent/TWI776551B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0014Gripping heads and other end effectors having fork, comb or plate shaped means for engaging the lower surface on a object to be transported
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J19/00Accessories fitted to manipulators, e.g. for monitoring, for viewing; Safety devices combined with or specially adapted for use in connection with manipulators
    • B25J19/02Sensing devices
    • B25J19/021Optical sensing devices
    • B25J19/025Optical sensing devices including optical fibres
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Abstract

本揭示提供用於提供末端執行器的設備、系統及方法。該末端執行器可收納多樣尺寸之半導體晶圓,且可包括:一晶圓支持件;一承載臂,其可與至少一機器人元件連接,且在其一端至少部份地承載該晶圓支持件;多數支持墊,其在該晶圓支持件上用於與該等半導體晶圓中之一半導體晶圓實體地連接;及一低摩擦移動夾,其沿著至少部份地由該承載臂提供之一平面被雙向地驅動,其中該低摩擦移動夾可伸縮地施力至該半導體晶圓之一近邊緣。

Description

用於提供末端執行器的設備、系統及方法
本揭示係有關於例如半導體晶圓之物件的傳送,且更特別有關於用於夾持該等晶圓之末端執行器及用於使用該末端執行器處理及傳送該等晶圓之方法。
特別在人工處理沒有效率或不需要之應用中,使用機器人已良好地確立為一製造手段。其中一情形是在各種處理步驟中使用機器人來處理晶圓的半導體技術中。舉例而言,該等處理步驟可包括化學機械平坦化(CMP)、蝕刻、沈積、鈍化及必須保持一密封及/或「清潔」環境以便限制污染可能性及確保達成各種特定加工條件之各種其他製程。
在半導體技術中用機器人處理這些晶圓之目前實務通常包括使用操作地附接在該等機器人上之一末端執行器,例如以便由一裝載堆疊物裝載半導體晶圓至可對應於前述示範處理步驟之各種加工埠中。該等機器人係用於展開該末端執行器以便例如在一相關處理室中加工前及/或後,由一特定埠或堆疊物收取該晶圓。因此該晶圓可藉由與該末端執行器連接地連結之機器人來接駁運送至用於其他加工之後續埠。當該晶圓加工階段完成時,該等機器人可接著使該已處理之半導體晶圓返回一裝載埠,且可再使用該末端執行器後,收取用於藉由該系統加工之下一個晶圓。數個半導體晶圓之一堆疊物通常依此方式在各製程運作時使用該末端執行器來處理。
典型末端執行器,例如,使用例如由在該末端執行器上之真空吸孔所提供之背側吸引將該晶圓固持在其底側。直接施加其他機械力在該晶圓上是非典型的,部分是因為施加機械力通常被認為很有可能破壞或污染該晶圓。
因此,需要可輕易地處理及傳送最好具有複數晶圓尺寸及用於複數處理步驟之非常薄半導體晶圓且不破壞或污染該等晶圓的一末端執行器。
某些實施例係且包括用於提供末端執行器的設備、系統及方法。該末端執行器可收納多樣尺寸之半導體晶圓,且可包括:一晶圓支持件;一承載臂,其可與至少一機器人元件介接,且在其一端至少部份地承載該晶圓支持件;多數支持墊,其在該晶圓支持件上用於與該等半導體晶圓中之一半導體晶圓實體地介接;及一低摩擦移動夾,其沿著至少部份地由該承載臂提供之一平面被雙向地驅動,其中該低摩擦移動夾可伸縮地施力至該半導體晶圓之一近邊緣以提供該半導體晶圓與該等多數支持墊之實體介接。
該晶圓支持件可為或包括一叉部。該晶圓支持件亦可包括用於晶圓之存在感測。以非限制例而言,被該晶圓支持件固持之多樣尺寸晶圓可包括200mm及300mm晶圓。
該雙向驅動可包括至少一移動夾馬達。一低摩擦真空氣壓缸可接合該移動夾馬達。該真空氣壓缸可由具有一石墨活塞之一無密封玻璃管構成。
該末端執行器亦可包括在藉由該雙向驅動致動該低摩擦移動夾後使該低摩擦移動夾停止縮回之至少一縮回擋件。該至少一縮回擋件可真空操作。該少一縮回擋件可為例如一彈起「鈕」擋件。
該低摩擦移動夾可包括一角撞擊面以便施加一撞擊力在該晶圓上。該角撞擊面可環繞一實質中心樞轉點樞轉以便最佳地接合該晶圓。該低摩擦移動夾可更包括在其最外部份之二傾斜滾子,且該等傾斜滾子可實質地施加該撞擊力在該晶圓邊緣上。
該等多數支持墊可包括至少四支持墊,其中該等四支持墊中之至少二支持墊靠近該承載臂,且其中該等支持墊中之至少二其他支持墊遠離該承載臂。該等至少二遠支持墊各可包括一傾斜部份及一滾子部份,且該滾子部份具有相對於該半導體晶圓之一中心軸傾斜的一中心軸。該等至少二近支持墊亦可包括一傾斜部份。該等近支持墊及/或該等遠支持墊可另外包括一凸脊部份。
因此,本揭示提供用於提供可輕易地處理及傳送最好具有複數晶圓尺寸及用於複數處理步驟之非常薄半導體晶圓且不破壞或污染該等晶圓的末端執行器的至少一設備、系統及方法。
在此已簡化所提供之圖及說明以顯示與清楚了解在此所述之設備、系統及方法相關之多數態樣,同時為了清楚顯示,省略可在典型類似設備、系統及方法中找到之其他態樣。因此所屬技術領域中具有通常知識者可了解的是可能需要及/或必須其他元件及/或操作來實施在此所述之裝置、系統及方法。但因為該等元件及操作在所屬技術領域中是習知的,且因為它們無助於更佳地了解本揭示,所以為了簡化,在此不提供該等元件及操作之說明。但是,本揭示仍被視為包括所屬技術領域中具有通常知識者習知之所述態樣的所有元件、變化及修改。
多數實施例全部設置成使得這揭示充分透徹地且完整地傳達揭露實施例之範圍給所屬技術領域中具有通常知識者。在此亦提出多數特定細節,例如特定組件、裝置及方法之例子,以便透徹地了解本揭示之實施例。然而,所屬技術領域中具有通常知識者可了解不必使用某些特定揭露細節,且實施例可以不同形式來實施。因此,該等揭露實施例不應被視為限制本揭示之範圍。如上所述,在某些實施例中,習知製程、習知裝置結構及習知技術未詳細地說明。
在此使用之用語只是用以說明特定實施例且非意圖限制。例如,除非上下文另外清楚地表示,在此使用之單數型「一」及「該」意圖亦包括複數型。該等用語「包含」、「包括」、及「具有」係內含的且因此表示存在所述特徵、整數、步驟、操作、元件及/或組件,但不排除存在或添加一或多數其他特徵、整數、步驟、操作、元件、組件及/或其群組。除非特別標明為一較佳或必要之實施次序,在此所述之步驟、製程及操作不應被視為一定要其按所述或所示之特定次序實施。亦應了解的是可使用另外或替代之步驟來取代或組合該等揭露態樣。
當一元件或層被說明為「在...上」、「在...上方」、「連接於」或「耦合於」另一元件或層時,除非另外清楚地表示,它可直接在該另一元件或層上、上方、連接於或耦合於該另一元件或層,或可存在多數中間元件或層。相反地,當一元件或層被說明為「直接在...上」、「直接在...上方」、「直接連接於」或「直接耦合於」另一元件或層時,不存在中間元件或層。用以說明元件間之關係的其他用語應以一類似方式解讀(例如,「在...之間」與「直接在...之間」、「相鄰」與「直接相鄰」等)。此外,在此使用之用語「及/或」包括一或多數相關列舉物件之任一或全部組合。
另外,雖然在此可使用該等用語「第一」、「第二」、「第三」等說明各種元件、組件、區域、層及/或段,這些元件、組件、區域、層及/或段不應受限於這些用語。這些用語只是用以區別一元件、組件、區域、層或段與另一元件、組件、區域、層或段。因此,除非上下文清楚地表示,如「第一」、「第二」及其他數字用語在此使用時未意味一順序或次序。因此,在不偏離該等實施例之教示的情形下,下述之一第一元件、組件、區域、層或段可稱為一第二元件、組件、區域、層或段。
圖1顯示適合精確地處理不同直徑、組成及物理屬性之半導體晶圓或基材102,例如矽晶圓的一自動晶圓處理系統100。該處理系統100可以一快速有序之順序供給晶圓102以便進行晶圓加工。供應之晶圓102可部份地藉由如機器手臂104之機器人在用於加工之各種位置間操作或傳送,且該機器手臂104具有適合實施前述操作及傳送之一邊緣夾持末端執行器106。
以非限制例而言,該機器手臂104及末端執行器106配合來放置晶圓102至晶圓製程、一或多數晶圓對齊器及一或多數晶圓匣及由其移除。為達此目的,該末端執行器106可包括一或多數真空孔108以便確實地固持一標的晶圓102在晶圓加工時所需之垂直、水平及顛倒方位,並提供或補充全部在此所述之各種晶圓夾持態樣。
因此,圖1之例子顯示以下揭露之示範末端執行器106可操作之一系統100。簡言之,代表下述各種末端執行器106之所示邊緣夾持末端執行器106可由一或多數匣收取晶圓102,例如用於使該等收取之晶圓與一處理對齊器及/或接著與各種晶圓製程同步。更詳而言之,在某些實施例中提供之各種末端執行器可供一單一末端執行器106使用多數不同晶圓直徑通過各種所述晶圓製程。
不僅半導體晶圓之直徑會改變,它們通常亦依據標準規格製造,且連同包括該直徑之其他尺寸公差,該等標準規格要求用於收取在其上產生之裝置的表面為實質平坦,例如具有一等於或小於1.5微米之平坦度。此外且舉例而言,200mm矽晶圓具有例如一200+/-0.2mm之標準直徑及如675+/-25微米之標準厚度。在加工後之一典型晶圓厚度可在大約500微米至大約700微米之範圍內。此外,矽晶圓可具有用於對齊及/或表示結晶方位之一特定平坦部或缺口。因此,在該晶圓與該末端執行器106互動時維持晶圓平坦度對於獲得可接受程度之晶圓產量及廢品是重要的。
較薄晶圓對於某些積體電路應用,特別是在加工後需要更小厚度之應用中特別有用。但是,晶圓加工會產生超過可容許平坦度之翹曲或彎曲,且即使在一未加工狀態中某些晶圓亦具有超出該所需程度之翹曲或彎曲。此外,翹曲或彎曲會造成前述對齊平坦部或缺口之不當放置或對齊。在該等情形中,晶圓加工會受到該翹曲或彎曲之不利影響,且這些不利影響會因由末端執行器106產生之任何翹曲或彎曲而加劇。
對較薄晶圓而言,由翹曲及彎曲產生之前述問題會惡化。因此,產生平坦度超出變化係在現代晶圓加工中之重要問題,且產生平坦度變化之能力在容許不同晶圓尺寸用於晶圓加工之晶圓處理器中更重要且複雜。因此,提供減少與一末端執行器互動對晶圓平坦度造成之影響且或許甚至矯正晶圓翹曲之該末端執行器106在揭露實施例中是極為有利的。
圖2顯示依據某些實施例之一示範邊緣夾持末端執行器106。在圖2之圖中,該末端執行器106包括:一叉部202,其在收取時一矽晶圓102可放在該叉部202上;及一承載臂204,其可介接一或多數機器人,例如圖1所示之機器手臂。以非限制例而言,該叉部202可包含加熱處理至H900條件之17.4pH不鏽鋼。應注意的是全部所述之叉部202只是可被承載臂204至少部份地支持之一晶圓支持件的示範例。即,舉例而言,在某些實施例中可使用其他種類之晶圓支持件,例如一抹刀型或一環型晶圓支持件。
該承載臂204可包括,例如,用於致動在該承載臂204內或上之一或多數機電元件的電子電路,例如以便使該叉部202與一晶圓102實體地聯結。該承載臂204可另外包括感測器、加工能力、電腦記憶體、例如無線連接性之連網能力、獨特識別(例如RF識別)、處理計算器、與該機器手臂104之機電互動、如高密度可充電電池之電池等。
與該承載臂204聯結之用於在該叉部202與該晶圓102間產生一實體互動的一機電元件可為移動夾210。該移動夾可包括,例如在其抵接晶圓102之部份上,用於減少在該移動夾210與該晶圓102間之互動力的一或多數墊。該移動夾210可例如藉由直接地或間接地且例如藉由一或多數真空、氣動或電動致動器機電地致動,或可例如透過彈簧致動機械地致動而由該承載臂204朝向該叉部202向外延伸以便夾持、移動或對齊一矽晶圓102而與該叉部202實體地聯結。
另外顯示在圖2中的是多數,例如四個墊212a至d,且與該叉部202實體地聯結且受該移動夾210支配之該矽晶圓102可放在該等墊212a至d上。在圖中,該等墊212a至d可傾斜,例如其中該等墊212a至d朝向該矽晶圓102之中心向下傾斜,或可具有凸脊,以便在該晶圓102與該墊212a至d實體地聯結時為該晶圓102之移動提供支持及擋止。該墊212a至d可沿著其表面為平滑、半摩擦或高摩擦,且可在前述者中在其不同表面上變化。在所示實施例中,靠近該移動夾210之該等支持墊212a至b與在該叉部202之遠端的墊212c至d實體地不同,至少其中該等遠支持墊212c至d作為夾墊。即,對某些墊212c至d而言,與距離該移動夾210最遠之該等墊邊緣聯結的一突起/凸脊部份可用來提供抵靠與該叉部202聯結之該晶圓102圓周的最遠部份的壓力。如上所述,這與在該叉部202之基底最靠近該移動夾210的簡單傾斜墊212a至b不同。
圖3顯示一邊緣夾持末端執行器106之一實施例。在圖中,可提供另一種移動夾210,且因此在該叉部202之基底的夾伸出孔302擴大以便讓另一形狀之移動夾210伸出及縮回。此外,在圖3之示範圖中,該等近支持墊212a至b在此包括在其相對該晶圓之中心的遠端部份的一凸脊,以便提供用於該晶圓102之移動的一擋件。另外,在距離該移動夾210之該叉部202的最遠方向的該等支持墊212c至d可包括所示滾子端306以便較佳地提供與聯結該叉部202之一晶圓102的實體互動及使該晶圓停止移動且對該晶圓產生最小破壞風險。
滾子端306可傾斜或不傾斜以便較佳地夾持一聯結晶圓102。傾斜滾子端306可特別增進工作或厚晶圓之處理性,且可因此設置成靠近及/或遠離該移動夾210。滾子端306可由例如不鏽鋼形成,且可促進與該叉部202實體地聯結之特別薄晶圓的定心。
圖4顯示一末端執行器106之一實施例。圖4之例子類似於圖3之例子,但未包括在圖3之承載臂204上的承載臂蓋312。在圖4之圖中,在該叉部202上亦包括該滾子端支持墊212c至d及具凸脊近支持墊212a至b。以非限制例而言,在圖4中另外顯示的是顯示在該叉部202之最遠部份的一晶圓檢測系統404。以非限制例而言,該晶圓檢測系統404可為或包括檢測與該叉部202實體地聯結之一晶圓102的存在及/或特性的一光纖光束。
亦顯示在圖4中的是呈一角移動夾210之一移動夾,該角移動夾210在致動時由在該承載臂殼體312內之該伸出孔302伸出。如圖所示,這角夾係例如藉由一致動器420機電地致動,且可受限制該角移動夾210之可用直線移動距離的驅動長度限制的支配。
圖5係在該叉部202之遠端的示範滾子墊212c至d的另一圖。在該圖中,顯而易見的是不僅這些示範遠支持墊212c至d具有滾子部份306,這些示範支持墊212c至d亦以一向下斜率朝向與該叉部202聯結之一矽晶圓102的中心來傾斜。
可了解的是該等角或彎曲支持墊可包括用於收納該晶圓之一雙表面積,使得該晶圓不會碰撞一角且因此沒有足以被夾持之表面積。以非限制例而言,這角度或曲率可在內側角較小且在外側角較大。此外,全部在此所述之用於該等支持墊的斜面設計亦可促進夾持同時防止下方晶圓形貌體著底在該叉部202上。
具有一滾子部份306之遠支持墊212c至d可特別在圖6之圖中看到。圖6提供具有斜面及滾子部份602、306的一遠支持墊212c,其中例如當在該近圓周與該移動夾210接合時,該矽晶圓102之遠圓周606抵靠該遠支持墊212c之滾子部份306。只以非限制例而言,該接合角移動夾210可為圖4所示之移動夾210。
請特別地注意圖4、5與6之圖,最佳的是該末端執行器叉部202在可能範圍內避免接觸與其實體聯結之一晶圓102的底部。這功能可藉由例如配合具有滾子306之遠支持墊212c至d的該等墊212a至d之斜面本質來提供,且可另外包括在該叉部202上之彎曲部或傾斜形貌體。以另一例而言,該叉部202之叉桿630可彎曲且朝向該等叉桿630間之一中心點稍微傾斜。即,在靠近該承載臂處,該叉部202可包括一或多數彎曲部、斜面、或向上或向下傾斜,且在該等實施例中,該叉部之該等叉桿630可包括或不包括朝向該等遠支持墊212c至d之一對應傾斜、彎曲或斜面。
圖7顯示一示範角移動夾210。在圖7之實施例中,該角夾210包括一第一樞轉點702,且該夾之角θ可環繞該第一樞轉點702樞轉以便促進與該移動夾210之撞擊面聯結的該晶圓102之定心。此外,且只以非限制例而言,圖7之角移動夾210另外包括在該角移動夾210之最外部份的傾斜滾子706a至b。在某些實施例中,這些滾子706a至b可傾斜或非傾斜,且可用於例如藉由將該晶圓102抵壓在該等支持墊212a至d之一傾斜、具凸脊及/或滾子部份上而輕輕地壓在該晶圓102之一邊緣上。
圖8顯示一示範角移動夾210。在圖8之實施例中,且以非限制例而言,移動夾210之樞轉點702可實質地設置在該夾角θ之中心點。另外設置的是用於在距離該角移動夾210之樞轉點702的實質最外部份收納一或多數滾子706a至b的第二樞轉點802a至b。該等滾子706a至b可或不可以一類似於圖7所示之示範傾斜滾子的傾斜方式被收納在樞轉點802a至b。
圖9提供一支持墊902之示範圖,且例如可作為一近或遠支持墊或多數墊212a至d使用。在圖9之例子中,該支持墊902具有在一斜面906之最上方部份的一凸脊904,且設置之該凸脊904在其上表面上可具有二不同平面部份,例如一角或彎曲部份910。此外,且以非限制例而言,圖9之支持墊902之傾斜部份906可另外包括一或多數凸脊或不同平面912。在某些實施例中,該上凸脊,例如可包括一角或彎曲部份,可提供與該叉部202聯結之一晶圓102的較佳定位。一凸脊化的斜面902可促進與該叉部202聯結之一晶圓102的接觸及其定心。
圖10顯示一實施例,其中一矩形移動夾210,例如圖2所示之示範實施例,如圖所示地沿著該承載臂204之一槽1002朝向該叉部202移動,且可容許二或二以上不同種類之晶圓102a、102b與該叉部202實體地聯結。更詳而言之且以非限制例而言,在圖10之實施例中,該移動夾210顯示為可定位地用於收容一200mm或一300mm晶圓成與該叉部202實體地聯結。此外,在圖10中在該叉部202之最遠部份顯示的是如圖9所示之遠支持墊902a至b,該等遠支持墊902a至b可用以促進具有不同幾何半徑之晶圓的晶圓接觸。當然,在此所述之其他種類的支持墊212a至d可在圖10之實施例中使用,及/或與全部在此所述之其他實施例一起使用。
圖11顯示類似於圖10之實施例的一實施例,其中使用一角移動夾210而非一矩形移動夾210。圖11之角夾210具有在該角夾210之最遠角部份的滾子端706a至b。此外,在這特定圖中,該等遠支持墊212c至d包括滾子端306。
圖12顯示一滾子端遠支持墊212c之一實施例。以非限制例而言,該所示遠滾子支持墊212c可供全部在此所述之實施例中的某些實施例,例如在圖11所示之實施例中使用。在圖12之圖中,該等滾子1140傾斜,且朝向與該叉部202聯結之一晶圓102的中心向內傾斜。該等滾子端1140可,例如,特別防止在薄刀緣之晶圓,例如具有一50至150µm之邊緣厚度的晶圓上的邊緣摩擦。此外,橫截面地顯示在圖12中的是用於使滾子傾斜之一調整件1144,及與該滾子端1140聯結且用於映射與該叉部202聯結之晶圓102的一映射形貌體1146。
圖13顯示一示範夾馬達1210,其位在該承載臂204上且致動一移動夾210使該夾朝向該叉部202之遠端向外移動而如全部在此所述地與一晶圓102接合。在圖13之圖中,顯示一矩形移動夾210,但依據在此之說明可了解的是圖13之實施例亦可設置一角移動夾210來取代。
以非限制例顯示的是用於接合該移動夾210之一低摩擦致動器1212,例如,真空氣壓缸。這低摩擦真空氣壓缸1212可產生低夾持力以防止或減少對該晶圓102之破壞。通常,某些實施例之移動夾可使用低摩擦機構致動。舉例而言,低摩擦致動可為一長行程致動,以非限例而言可為如一121mm行程,但可組配成任何長度。
又更詳而言之且以非限制例而言,該移動夾致動器1212可為呈一無密封(seal-less)設計之具有一玻璃管及石墨活塞的一真空氣壓缸(即,一氣壓瓶(air pot))。該致動器1212可容許非常低夾持負載,例如等於或小於2盎司之夾持負載。當然,配合一低摩擦移動夾致動器1212之一低摩擦滾子滑件(例如圖14之滑件1226)可進一步限制對該晶圓之可能破壞。但是,可了解的是在該等實施例中亦可使用其他致動方法1212,如電動馬達。
移動夾210之夾持力及夾持速度可受調整及控制器1222支配,亦如圖13所示。這調整及控制器1222可進一步減少對與該叉部202聯結之一晶圓102的破壞。因此,可為該移動夾行程提供一高精密控制器1222,例如測量進入真空氣壓缸1212之空氣以便控制該夾持速度的一精密流動控制器。
在某些實施例中亦可針對該移動夾210之移動設置位置感測器1220。該移動夾210之位置可由位置感測器1220直接地或間接地取得,例如其中取得用於使該移動夾210朝向該叉部202滑動之低摩擦滾子滑件1226的位置,而非該夾210之真正位置。應注意的是至少因為減少摩擦亦可減少對與該叉部202聯結之一晶圓102的可能破壞,所以該移動夾210之任何移動,如沿著低摩擦滑件1226或沿著任何其他軌道之移動,本質上為低摩擦。
圖14顯示用以與在此所述之某些實施例一起使用之一雙位置夾馬達1210的另一實施例。類似於圖13,圖14之某一實施例包括一低摩擦滾子滑件1226、用於致動該移動夾210之一或多數低摩擦真空氣壓缸1212及用於減少晶圓破壞之可能性的夾速度控制器1222。
圖14亦顯示用於感測該移動夾210之位置的多數位置感測器1220a、b、c。以非限制例而言,第一位置感測器1220a可顯示該移動夾210完全縮回。第二位置感測器1220b可顯示該移動夾210與一第一較大晶圓尺寸,如一300mm晶圓102a接合。第三位置感測器1220c可顯示該移動夾210已到達其最大可容許移動距離,且因此接合用於與該叉部聯結之最小可容許晶圓尺寸,例如一200mm晶圓102b。
圖14亦顯示一可伸縮擋件1240,其可限制該移動夾210對於較小晶圓尺寸,例如一200mm晶圓102b之縮回能力。依據在此之說明可了解該縮回擋件1240亦作為一移動擋件而不是一縮回擋件。以非限制例而言,該縮回擋件1240可為真空操作及/或彈簧伸長。此外,可包括多數縮回或移動擋件以支持各與各移動或縮回擋件聯結的具有不同移動夾行程距離之多種晶圓。
圖15係類似於圖14之圖,但該縮回擋件1240伸長。如圖所示,該縮回擋件1240之伸長可縮短該夾循環距離,以便在較小晶圓尺寸,例如一200mm晶圓與該叉部202實體地連接時,限制該夾朝向該承載臂殼體212縮回之能力。這可減少用於較小晶圓尺寸之循環時間。另應注意的是可包括一或多數縮回擋件1240以便如上所述地減少不同尺寸晶圓之循環時間。
因此,本揭示提供處理多數,例如二晶圓尺寸之能力且不必改變該末端執行器。這能力部份地由一自動移動夾來提供。該移動夾可為真空驅動、電動、氣動、彈簧致動等。因為例如透過與該移動夾聯結之一低摩擦活塞驅動及/或一低摩擦滑件,示範地使用低摩擦晶圓夾持,所以透過使用減少摩擦及因此可能之晶圓破壞同時改善晶圓夾持的某些實施例可獲得精確速度及較低負載。
前述設備、系統及方法亦可包括全部所述之各種機器人功能的控制。以非限制例而言,該控制可包括使用如一控制器、一鍵盤、一滑鼠、一觸控螢幕等之一或多數使用者介面手動控制,以便讓一使用者輸入用於藉由與該等機器人相關及與在此所述之系統相關的軟體碼執行的命令。此外,如所屬技術領域中具有通常知識者習知地,系統控制亦可完全自動化,例如其中手動使用者互動只發生在「設定」及規劃所述功能時,即,一使用者可只在開始時規劃或上傳運算碼以實行全部所述之預定移動及操作順序。在一手動或自動實施例中,或在其任何組合中,該控制可規劃,例如,以使晶圓、該承載臂、該叉部等之已知位置相關。
圖16顯示一運算處理系統1400之一示範實施例,該運算處理系統1400可在此所述之實施例中操作地使用,包括用於規劃該機器人控制,且因此可實施全部所述之加工及邏輯。即,該示範運算系統1400只是可依據在此所述系統及方法來使用之一系統的一例子。
運算系統1400可執行軟體,例如一操作系統(OS)及一或多數運算應用程式1490。該軟體可類似地適合例如透過輸入/輸出(I/O),使用該等應用程式1490來操作及/或監測硬體。
示範運算系統1400之操作主要藉由如儲存在一電腦可讀取媒體之命令的電腦可讀取命令來控制,該電腦可讀取儲存媒體係例如硬碟(HDD)1415、如CD或DVD之光碟(未圖示)、如一USB「大拇哥隨身碟」之固態硬碟(未圖示)等。該等命令可在中央處理單元(CPU)1410內執行以使運算系統1400實行揭露之操作。在許多習知電腦伺服器、工作站、PLC、個人電腦、行動裝置等中,CPU1410係以稱為一處理器之一積體電路來實施。
配合在此揭露之實施例說明的各種說明性邏輯、邏輯塊、模組及引擎可藉由一通用CPU、一數位信號處理器(DSP)、一特殊應用積體電路(ASIC)、一現場可規劃閘陣列(FPGA)或其他可規劃邏輯裝置、離散閘或電晶體邏輯、離散硬體組件或其任一組合中之任一者分別地作為CPU1410來實施或實行。一通用處理器可為一微處理器,但在其他情形中,該處理器可為任何習知處理器、控制器、微處理器或狀態機。一處理器亦可用一運算裝置之組合,例如一DSP與一微處理器、多數微處理器、一或多數微處理器及一DSP核心、或任何其他該組態之組合來實施。
應了解的是雖然示範運算系統1400顯示為包含一單一CPU1410,但該說明只是說明用,因為運算系統400可包含多數CPU1410。此外,運算系統1400可例如透過區域或遠端通訊網路1470或某些其他資料通訊裝置來使用遠端或並聯CPU(未圖示)之資源。
操作時,CPU1410提取、解碼及執行來自如HDD1415之一電腦可讀取儲存媒體的命令。該等命令可包含在如操作系統(OS)、可執行程式/應用程式等之軟體中。如電腦命令及其他電腦可讀取資料之資訊係透過該系統之主要資料傳送路徑在運算系統1400之組件間傳送。該主要資料傳送路徑可使用一系統匯流排架構1405,但亦可使用其他電腦架構(未圖示),例如在串聯通訊路徑上之裝置間使用串聯器與解串器及縱橫式交換機的多數架構。
系統匯流排1405可包括用於發送資料之資料線、用於發送位址之位址線、及用於發送中斷及用於操作該系統匯流排之控制線。某些匯流排藉由擴展卡、控制器及CPU1410提供管理對該匯流排之存取的匯流排仲裁。附接在該等匯流排上且仲裁對該匯流排之存取的裝置稱為匯流排主控器。匯流排主控器支援亦容許該等匯流排之微處理器組態可藉由添加包含處理器及支援晶片之匯流排主控器接頭來產生。
與系統匯流排1405耦合之記憶體裝置可包括隨機存取記憶體(RAM)425及唯讀記憶體(ROM)1430。該等記憶體包括容許儲存及檢索資訊之電路。ROM1430通常包含無法被修改之儲存資料。儲存在RAM1425中之資料通常可由CPU1410或其他通訊硬體裝置讀取或更改。對RAM1425及/或ROM1430之存取可藉由記憶體控制器1420來控制。記憶體控制器1420可提供當執行命令時將虛擬位址變換成實體位址之位址變換功能。記憶體控制器1420亦可提供分隔在該系統內之處理且分隔系統處理與使用者處理之一記憶體保護功能。因此,一在使用者模式中運行之程式通常只存取藉由其本身處理虛擬位址空間映射之記憶體;除非已在該等處理間建立記憶體共享,否則它無法存取在另一處理之虛擬位址空間內的記憶體。
關於在此揭露形態所述之步驟及/或動作可直接在硬體中、在由一處理器執行之一軟體模組中、或在此兩者之一組合中實施,並與記憶體控制器1420通訊以便獲得必要執行命令。即,實行該等功能及提供全部在此所述之指示的所述軟體模組可位於RAM記憶體、快閃記憶體、ROM記憶體、EPROM記憶體、EEPROM記憶體、暫存器、一硬碟、一可移式磁碟、一CD-ROM或在所屬技術中習知的任何其他形式之儲存媒體中。這些示範儲存媒體中之一或多數儲存媒體可與該處理器1410耦合,使得該處理器可由該儲存媒體讀取資訊及寫入資訊至該儲存媒體。在其他情形中,該儲存媒體可與該處理器一體成形。此外,在某些態樣中,該處理器及該儲存媒體可位在一ASIC中。此外,在某些態樣中,該等步驟及/或動作可為在如可透過I/O埠1485整合之一外機器可讀取媒體及/或電腦可讀取媒體,例如一「快閃」驅動器上的一命令或任何命令組合或命令組。
此外,運算系統400可包含週邊控制器1435,該週邊控制器1435使用一週邊匯流排由CPU1410傳送命令至週邊或如印表機1440、鍵盤1445及滑鼠1460之其他硬體。一週邊匯流排之例子係週邊組件互連(PCI)匯流排。
一或多數硬體輸入/輸出(I/O)裝置1485可與硬體控制器1490通訊。這硬體通訊及控制可以各種方式實施且可包括一或多數電腦匯流排及/或橋接器及/或路由器。受控制之I/O裝置可包括任一種以埠為主之硬體(且可另外包含軟體、韌體等),且亦可包括多數網路接頭及/或大量儲存裝置,而該運算系統1400可由該等網路接頭及/或大量儲存裝置發送及接收資料以達成在此揭露之目的。因此,該運算系統1400可透過該等I/O裝置1485及/或透過通訊網路1470而與該網際網路或其他網路裝置/PLC通訊。
藉由顯示器控制器1455控制之顯示器1450可選擇地用於顯示由運算系統1400產生之視覺輸出。顯示器控制器1455亦可控制該顯示器或與該顯示器通訊。視覺輸出可包括例如文字、圖形、動畫圖形及/或視訊。顯示器1450可用一以CRT為主之視訊顯示器、一以LCD為主之顯示器、以氣體電漿為主之顯示器、觸控面板等來實施。顯示器控制器1455包括產生送出以便顯示之一視訊信號所需的多數電子組件。
此外,運算系統1400可包含可用於耦合運算系統1400及一外通訊網路1470之網路接頭1465,該網路接頭1465可包括或提供對網際網路之存取且因此可提供或包括對在此所述之處理資料的追蹤及存取。通訊網路1470可藉由電子地通訊及傳送軟體及資訊的裝置來提供對運算系統1400之存取,且可與運算系統1400直接地耦合,或例如透過PSTN或胞狀網路1480與運算系統1400間接地耦合。此外,通訊網路1470可用於涉及數個電腦及在進行一工作時分擔工作負載或合作努力之分散式處理。應了解的是所示之網路是示範的且可使用在複數運算系統1400間建立通訊鏈結之其他裝置。
可了解的是示範運算系統1400只是說明在此所述系統及方法可操作之一運算環境,且因此不限制該在此所述系統及方法在具有不同組件及組態之運算環境中實施。即,在此所述之觀念可在使用各種組件及組態之各種運算環境中實施。
此外,所提供之本揭示的說明使所屬技術領域中具有通常知識者可製造及使用揭示之實施例。所屬技術領域中具有通常知識者可輕易了解對本揭示之各種修改,且在不偏離本揭示之精神或範疇的情形下,在此界定之一般性原理可應用於其他變化例。因此,本揭示非意圖受限於在此所述之例子及設計,而是欲依據與在此揭露之原理及新特徵一致的最大範圍來決定。
由上述討論,將可理解,本發明可以多種實施例形式體現,包含但不限於下列:
實施例1:一種可收納多樣尺寸之半導體晶圓的末端執行器,其包含: 一晶圓支持件; 一承載臂,其可與至少一機器人元件介接,且在其一端至少部份地承載該晶圓支持件; 多數支持墊,其在該晶圓支持件上用於與該等半導體晶圓中之一半導體晶圓實體地介接; 一低摩擦移動夾,其沿著至少部份地由該承載臂提供之一平面被雙向地驅動,其中該低摩擦移動夾可伸縮地施力至該半導體晶圓之一近邊緣以使該半導體晶圓與該等多數支持墊實體地介接;及 一低摩擦真空氣壓缸,其接合一移動夾馬達; 其中該真空氣壓缸由具有一石墨活塞之一無密封玻璃管構成。
實施例2:如實施例1之末端執行器,其中該晶圓支持件包含一叉部。
實施例3:如實施例1之末端執行器,其中該等多樣尺寸包含200mm及300mm。
實施例4:如實施例1之末端執行器,其中該雙向驅動包含至少該移動夾馬達。
實施例5:如實施例4之末端執行器,更包含至少一縮回擋件,其在藉由該雙向驅動致動該低摩擦移動夾後使該低摩擦移動夾停止縮回。
實施例6:如實施例4之末端執行器,更包含至少一移動擋件,其在藉由該雙向驅動致動時使該低摩擦移動夾停止移動。
實施例7:如實施例1之末端執行器,其中用於該低摩擦移動夾之至少一縮回擋件包含一鈕。
實施例8:如實施例1之末端執行器,其中該低摩擦移動夾包含一矩形撞擊面以施加該力。
實施例9:如實施例1之末端執行器,其中該低摩擦移動夾包含一角撞擊面以施加該力。
實施例10:如實施例9之末端執行器,其中該角撞擊面環繞一實質中心樞轉點樞轉。
實施例11:如實施例1之末端執行器,其中該等多數支持墊包含至少四支持墊,且其中該等支持墊中之至少二支持墊靠近該承載臂,且其中該等支持墊中之至少二其他支持墊遠離該承載臂。
實施例12:如實施例1之末端執行器,其中該晶圓支持件更包含用於夾持該半導體晶圓之至少一真空孔。
實施例13:如實施例1之末端執行器,其中該晶圓支持件更包含一光纖晶圓存在感測器。
實施例14:一種可收納多樣尺寸之半導體晶圓的末端執行器,其包含: 一晶圓支持件; 一承載臂,其可與至少一機器人元件介接,且在其一端至少部份地承載該晶圓支持件; 多數支持墊,其在該晶圓支持件上用於與該等半導體晶圓中之一半導體晶圓實體地介接; 一低摩擦移動夾,其沿著至少部份地由該承載臂提供之一平面被雙向地驅動,其中該低摩擦移動夾可伸縮地施力至該半導體晶圓之一近邊緣以使該半導體晶圓與該等多數支持墊實體地介接;及 至少一縮回擋件,其在藉由該雙向驅動致動該低摩擦移動夾後使該低摩擦移動夾停止縮回; 其中該雙向驅動包含至少一移動夾馬達,且其中該至少一縮回擋件係真空操作。
實施例15:一種可收納多樣尺寸之半導體晶圓的末端執行器,其包含: 一晶圓支持件; 一承載臂,其可與至少一機器人元件介接,且在其一端至少部份地承載該晶圓支持件; 多數支持墊,其在該晶圓支持件上用於與該等半導體晶圓中之一半導體晶圓實體地介接;及 一低摩擦移動夾,其沿著至少部份地由該承載臂提供之一平面被雙向地驅動,其中該低摩擦移動夾可伸縮地施力至該半導體晶圓之一近邊緣以使該半導體晶圓與該等多數支持墊實體地介接; 其中該低摩擦移動夾包含一角撞擊面以施加該力,其中該角撞擊面環繞一實質中心樞轉點樞轉,且其中該低摩擦移動夾更包含可實質地施加該撞擊力之二傾斜滾子。
實施例16:一種可收納多樣尺寸之半導體晶圓的末端執行器,其包含: 一晶圓支持件; 一承載臂,其可與至少一機器人元件介接,且在其一端至少部份地承載該晶圓支持件; 多數支持墊,其在該晶圓支持件上用於與該等半導體晶圓中之一半導體晶圓實體地介接;及 一低摩擦移動夾,其沿著至少部份地由該承載臂提供之一平面被雙向地驅動,其中該低摩擦移動夾可伸縮地施力至該半導體晶圓之一近邊緣以使該半導體晶圓與該等多數支持墊實體地介接; 其中該等多數支持墊包含至少四支持墊,且其中該等支持墊中之至少二近支持墊係靠近該承載臂,且其中該等支持墊中之其他的至少二遠支持墊係遠離該承載臂,且其中該等至少二遠支持墊各包含一傾斜部份及一滾子部份,該滾子部份具有相對於該半導體晶圓之一中心軸傾斜的一中心軸。
實施例17:如實施例16之末端執行器,其中該至少二近支持墊包含一傾斜部份。
實施例18:如實施例16之末端執行器,其中至少該等近支持墊或該等遠支持墊包含一凸脊部份。
100:處理系統 102,102a,102b:晶圓 104:機器手臂 106:末端執行器 108:真空孔 202:叉部 204:承載臂 210:移動夾 212a-d:墊 302:伸出孔 306:滾子端;滾子部份 312:承載臂蓋(殼體) 404:晶圓檢測系統 420:致動器 602:斜面 606:遠圓周 630:叉桿 702:第一樞轉點 706a-b,1140:滾子(端) 802a-b:第二樞轉點 902:支持墊;凸脊斜面 902a-b:遠支持墊 904:凸脊 906:斜面;傾斜部份 910:角或彎曲部份 912:凸脊或不同平面 1002:槽 1144:調整件 1146:映射形貌體 1210:夾馬達 1212:低摩擦致動器(真空氣壓缸) 1220,1220a-c:位置感測器 1222:控制器 1226:滑件 1240:可伸縮(縮回)擋件 1400:運算(處理)系統 1405:系統匯流排(架構) 1410:中央處理單元(CPU) 1415:硬碟(HDD) 1420:記憶體控制器 1425:隨機存取記憶體(RAM) 1430:唯讀記憶體(ROM) 1435:週邊控制器 1440:印表機 1445:鍵盤 1450:顯示器 1455:顯示器控制器 1460:滑鼠 1465:網路接頭 1470:通訊網路 1480:PSTN或胞狀網路 1485:輸入/輸出(I/O)裝置;I/O埠 1490:應用程式;硬體控制器 θ:角
以下參照只作為非限例之附圖說明示範組成、系統及方法,其中:
圖1係依據揭露實施例之一晶圓處理系統的圖;
圖2係依據揭露實施例之一末端執行器的圖;
圖3係依據揭露實施例之一末端執行器的圖;
圖4係依據揭露實施例之一末端執行器的圖;
圖5係依據揭露實施例之晶圓支持墊的圖;
圖6係依據揭露實施例之晶圓支持墊的圖;
圖7係依據揭露實施例之一末端執行器的圖;
圖8係依據揭露實施例之一移動夾的圖;
圖9係依據揭露實施例之一晶圓支持墊的圖;
圖10係依據揭露實施例之一末端執行器的圖;
圖11係依據揭露實施例之一末端執行器的圖;
圖12係依據揭露實施例之一晶圓支持墊的圖;
圖13係依據揭露實施例之一移動夾馬達的圖;
圖14係依據揭露實施例之一移動夾馬達的圖;
圖15係依據揭露實施例之一移動夾馬達的圖;及
圖16係依據揭露實施例之一加工系統的圖。
106:末端執行器
202:叉部
204:承載臂
210:移動夾
212a-d:墊

Claims (31)

  1. 一種可收納多樣尺寸之半導體晶圓的末端執行器,其包含: 一晶圓支持件; 一承載臂,其可與至少一機器人元件介接,且在其一端至少部份地承載該晶圓支持件; 多數個近端支持墊和遠端支持墊,該等近端支持墊和該等遠端支持墊在該晶圓支持件上且用於與該等半導體晶圓中之一半導體晶圓實體地介接,其中至少該等遠端支持墊在其之一最遠端部分以一角脊呈傾斜;以及 包含兩個傾斜滾子之一低摩擦移動夾,該等傾斜滾子沿著至少部份地由該承載臂提供之一平面被雙向地驅動,其中該低摩擦移動夾可伸縮地授予一撞擊力至該半導體晶圓之一近邊緣,以供使該半導體晶圓與至少該等多數個遠端支持墊實體地介接。
  2. 如請求項1之末端執行器,其中該晶圓支持件包含一叉部。
  3. 如請求項1之末端執行器,其中該等多樣尺寸包含200mm及300mm。
  4. 如請求項1之末端執行器,其中雙向驅動包含至少一移動夾馬達。
  5. 如請求項4之末端執行器,進一步包含一低摩擦的真空氣壓缸,其接合該移動夾馬達。
  6. 如請求項5之末端執行器,其中該真空氣壓缸提供來自該低摩擦移動夾之低夾持力。
  7. 如請求項4之末端執行器,進一步包含至少一縮回擋件,其在藉由該雙向驅動致動該低摩擦移動夾後使該低摩擦移動夾停止縮回。
  8. 如請求項7之末端執行器,其中該至少一縮回擋件係真空操作的。
  9. 如請求項7之末端執行器,其中該至少一縮回擋件包含一鈕。
  10. 如請求項4之末端執行器,進一步包含至少一運動檔件,其在當由該雙向驅動致動時使該低摩擦移動夾停止運動。
  11. 如請求項1之末端執行器,其中該低摩擦移動夾包含一矩形撞擊面以施加該力。
  12. 如請求項1之末端執行器,其中該晶圓支持件進一步包含用於夾持該半導體晶圓之至少一真空孔。
  13. 如請求項1之末端執行器,其中該晶圓支持件進一步包含一光纖晶圓存在感測器。
  14. 如請求項1之末端執行器,其中該低摩擦移動夾包含一角撞擊面以施加該力。
  15. 如請求項14之末端執行器,其中該角撞擊面繞一實質中心樞轉點樞轉。
  16. 一種末端執行器,其包含: 一晶圓支持件; 一承載臂,其可與至少一機器人元件介接,且在其一端至少部份地承載該晶圓支持件; 多數個近端支持墊和遠端支持墊,該等近端支持墊和該等遠端支持墊在該晶圓支持件上且用於與一半導體晶圓實體地介接,其中至少該等遠端支持墊以一經增高形貌體呈脊形,以在該等遠端支持墊的最遠端部分提供一晶圓邊緣擋件;以及 一低摩擦移動夾,其包含兩個傾斜滾子且沿著至少部份地由該承載臂提供之一平面被雙向地驅動,其中該低摩擦移動夾可伸縮地施力抵住該半導體晶圓之一近邊緣,以致使該半導體晶圓與該等多數個遠端支持墊上的脊形之至少一較低形貌體實體地介接。
  17. 如請求項16之末端執行器,其中該等脊形之該較低形貌體為有角度的。
  18. 如請求項16之末端執行器,其中該等脊形之該經增高形貌體為有角度的。
  19. 如請求項16之末端執行器,其中該晶圓支持件包含一叉部。
  20. 如請求項16之末端執行器,其中該低摩擦移動夾能夠容納包含200mm及300mm之多樣尺寸的晶圓。
  21. 如請求項16之末端執行器,其中雙向驅動包含至少一移動夾馬達。
  22. 如請求項21之末端執行器,進一步包含一低摩擦的真空氣壓缸,其接合該移動夾馬達。
  23. 如請求項22之末端執行器,其中該真空氣壓缸提供來自該低摩擦移動夾之低夾持力。
  24. 如請求項21之末端執行器,進一步包含至少一縮回擋件,其在藉由該雙向驅動致動該低摩擦移動夾後使該低摩擦移動夾停止縮回。
  25. 如請求項21之末端執行器,進一步包含至少一運動檔件,其在當由該雙向驅動致動時使該低摩擦移動夾停止運動。
  26. 如請求項16之末端執行器,其中該低摩擦移動夾包含一矩形撞擊面以施加該力抵住。
  27. 如請求項16之末端執行器,其中該晶圓支持件進一步包含用於夾持該半導體晶圓之至少一真空孔。
  28. 如請求項16之末端執行器,其中該晶圓支持件進一步包含一光纖晶圓存在感測器。
  29. 如請求項16之末端執行器,其中該低摩擦移動夾包含一角撞擊面以施加該力抵住。
  30. 如請求項29之末端執行器,其中該角撞擊面繞一實質中心樞轉點樞轉。
  31. 一種末端執行器,其包含: 一晶圓支持件; 一承載臂,其可與至少一機器人元件介接,且在其一端至少部份地承載該晶圓支持件; 多數個近端支持墊和遠端支持墊,該等近端支持墊和該等遠端支持墊在該晶圓支持件上且用於與一半導體晶圓實體地介接,其中至少該等遠端支持墊以一經增高形貌體呈脊形,以在該等遠端支持墊的一最遠端部分提供一晶圓邊緣擋件; 一低摩擦移動夾,其沿著至少部份地由該承載臂提供之一平面藉由一移動夾馬達被雙向地驅動,其中該低摩擦移動夾可伸縮地施力抵著該半導體晶圓之一近邊緣,以致使該半導體晶圓與該等多數個遠端支持墊上的脊形之至少一較低形貌體實體地介接;以及 包含一鈕之至少一縮回真空操作擋件,該鈕係在藉由雙向驅動致動該低摩擦移動夾後使該低摩擦移動夾停止縮回。
TW110122073A 2016-12-06 2017-12-05 用於提供末端執行器的設備、系統及方法 TWI776551B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/370,125 US9919430B1 (en) 2016-12-06 2016-12-06 Apparatus, system and method for providing an end effector
US15/370,125 2016-12-06

Publications (2)

Publication Number Publication Date
TW202143381A TW202143381A (zh) 2021-11-16
TWI776551B true TWI776551B (zh) 2022-09-01

Family

ID=60629488

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106142580A TWI732975B (zh) 2016-12-06 2017-12-05 用於提供末端執行器的設備、系統及方法
TW110122073A TWI776551B (zh) 2016-12-06 2017-12-05 用於提供末端執行器的設備、系統及方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106142580A TWI732975B (zh) 2016-12-06 2017-12-05 用於提供末端執行器的設備、系統及方法

Country Status (6)

Country Link
US (5) US9919430B1 (zh)
EP (1) EP3333886B1 (zh)
JP (2) JP7301260B2 (zh)
KR (1) KR102500546B1 (zh)
CN (2) CN108155143B (zh)
TW (2) TWI732975B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9919430B1 (en) * 2016-12-06 2018-03-20 Jabil Inc. Apparatus, system and method for providing an end effector
US9975255B1 (en) * 2016-12-15 2018-05-22 Jabil Inc. Apparatus, system and method for providing a conformable vacuum cup for an end effector
US10553472B2 (en) * 2018-06-22 2020-02-04 Jabil Inc. Apparatus, system and method for providing a bernoulli-based semiconductor wafer pre-aligner
CN109037109A (zh) * 2018-08-03 2018-12-18 德淮半导体有限公司 一种半导体设备及清洗晶圆的方法
KR102204884B1 (ko) * 2018-09-27 2021-01-19 세메스 주식회사 기판 반송 로봇 및 기판 처리 설비
US20200161161A1 (en) * 2018-10-30 2020-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and methods for handling semiconductor part carriers
CN111319047A (zh) * 2018-12-13 2020-06-23 上海新昇半导体科技有限公司 一种晶圆夹持机械手臂组件
CN113316512B (zh) * 2018-12-19 2023-05-30 捷普有限公司 基于运动加热的用于增材制造打印丝的设备、系统和方法
CN111376284A (zh) * 2018-12-29 2020-07-07 江苏鲁汶仪器有限公司 机械手及其机械手指
JP7299808B2 (ja) * 2019-09-19 2023-06-28 川崎重工業株式会社 傾き調整装置、及びそれを備えるロボット
JP7454385B2 (ja) * 2020-01-23 2024-03-22 浜松ホトニクス株式会社 ウェハ搬送ユニット及びウェハ搬送方法
US20230139939A1 (en) * 2020-02-17 2023-05-04 Jabil Inc. Apparatus, system and method for providing self extracting grips for an end effector
CN111660309A (zh) * 2020-06-05 2020-09-15 中国科学院微电子研究所 一种用于转移晶圆的机器臂
US20220063113A1 (en) * 2020-08-26 2022-03-03 WaferPath, Inc. Protective cap for a robot end effector

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6256555B1 (en) * 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
US8141926B2 (en) * 2008-02-06 2012-03-27 Ulvac, Inc. Robot hand for substrate transfer

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4927765A (en) * 1988-02-29 1990-05-22 Pharmacia Eni Diagnostics, Inc. Automatic reagent dispenser
US5061144A (en) * 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
JPH0715285U (ja) * 1993-08-12 1995-03-14 信越化学工業株式会社 円盤またはドーナツ盤の把握治具
US6313469B1 (en) * 1998-03-13 2001-11-06 Ebara Corporation Substrate handling apparatus and ion implantation apparatus
IL143467A (en) * 1998-12-02 2005-05-17 Newport Corp Specimen holding robotic arm and effector
US6454332B1 (en) * 1998-12-04 2002-09-24 Applied Materials, Inc. Apparatus and methods for handling a substrate
US6491330B1 (en) * 1999-05-04 2002-12-10 Ade Corporation Edge gripping end effector wafer handling apparatus
US20020071756A1 (en) * 2000-12-13 2002-06-13 Gonzalez Jose R. Dual wafer edge gripping end effector and method therefor
JP2002264065A (ja) * 2001-03-13 2002-09-18 Yaskawa Electric Corp ウエハ搬送ロボット
JP3920587B2 (ja) * 2001-04-16 2007-05-30 東京エレクトロン株式会社 基板搬送手段のティーチング方法
US7334826B2 (en) * 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
JP2003225884A (ja) * 2002-01-31 2003-08-12 Denso Wave Inc ロボット
JP3962609B2 (ja) * 2002-03-05 2007-08-22 東京エレクトロン株式会社 搬送装置
JP2004063668A (ja) * 2002-07-26 2004-02-26 Juki Corp 基板搬送装置
US6769861B2 (en) * 2002-10-08 2004-08-03 Brooks Automation Inc. Apparatus for alignment and orientation of a wafer for processing
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US7300082B2 (en) * 2003-07-21 2007-11-27 Asyst Technologies, Inc. Active edge gripping and effector
US7290813B2 (en) * 2004-12-16 2007-11-06 Asyst Technologies, Inc. Active edge grip rest pad
US20060157998A1 (en) * 2005-01-18 2006-07-20 Elik Gershenzon Contamination-free edge gripping mechanism and method for loading/unloading and transferring flat objects
US7611182B2 (en) * 2005-02-25 2009-11-03 Semes Co., Ltd. Wafer transfer apparatus
JP2006332460A (ja) * 2005-05-27 2006-12-07 Hitachi High-Tech Control Systems Corp ウェーハの搬送装置
JP4959427B2 (ja) 2007-06-05 2012-06-20 日本電産サンキョー株式会社 産業用ロボット
US20090092470A1 (en) * 2007-10-03 2009-04-09 Bonora Anthony C End effector with sensing capabilities
JP5226443B2 (ja) 2008-09-22 2013-07-03 株式会社ウインズ 半導体ウエーハ搬送用ハンド
JP5313094B2 (ja) * 2009-09-15 2013-10-09 本田技研工業株式会社 流体圧伝達装置及びロボットハンド装置
JP2013006222A (ja) * 2009-10-14 2013-01-10 Rorze Corp 薄板状物の把持装置、および薄板状物の把持方法
JP5491834B2 (ja) * 2009-12-01 2014-05-14 川崎重工業株式会社 エッジグリップ装置、及びそれを備えるロボット。
JP2011199229A (ja) * 2010-03-24 2011-10-06 Yaskawa Electric Corp ウエハ保持装置
CN102751228B (zh) * 2011-06-28 2014-11-26 清华大学 一种利用弹簧夹子的晶圆夹持装置
JP5549655B2 (ja) * 2011-09-26 2014-07-16 株式会社安川電機 ハンドおよびロボット
JP2014086472A (ja) * 2012-10-19 2014-05-12 Sinfonia Technology Co Ltd クランプ装置及びワーク搬送ロボット
JP2015079820A (ja) * 2013-10-16 2015-04-23 株式会社東京精密 基板搬送装置
US9919430B1 (en) * 2016-12-06 2018-03-20 Jabil Inc. Apparatus, system and method for providing an end effector

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6256555B1 (en) * 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
US8141926B2 (en) * 2008-02-06 2012-03-27 Ulvac, Inc. Robot hand for substrate transfer

Also Published As

Publication number Publication date
US20220143843A1 (en) 2022-05-12
CN108155143B (zh) 2023-08-01
JP2023092532A (ja) 2023-07-03
KR20180064996A (ko) 2018-06-15
US11602859B2 (en) 2023-03-14
US20180161989A1 (en) 2018-06-14
US10576639B2 (en) 2020-03-03
EP3333886A1 (en) 2018-06-13
KR102500546B1 (ko) 2023-02-15
US11059183B2 (en) 2021-07-13
EP3333886B1 (en) 2023-06-07
US20230278235A1 (en) 2023-09-07
TW202143381A (zh) 2021-11-16
JP2018111200A (ja) 2018-07-19
TW201826440A (zh) 2018-07-16
CN116936449A (zh) 2023-10-24
US20200306990A1 (en) 2020-10-01
CN108155143A (zh) 2018-06-12
TWI732975B (zh) 2021-07-11
US9919430B1 (en) 2018-03-20
JP7301260B2 (ja) 2023-07-03

Similar Documents

Publication Publication Date Title
TWI776551B (zh) 用於提供末端執行器的設備、系統及方法
EP3588543B1 (en) Pre-aligner
JP5861676B2 (ja) 吸着構造、ロボットハンドおよびロボット
US9111976B2 (en) Transfer system
US20150015014A1 (en) Suction structure, robot hand and robot
TWI619663B (zh) 搬送系統、搬送機器人及其教導方法
JP6368376B2 (ja) ウエハ搬送方法及び装置
TWI644768B (zh) 矽片傳輸系統
US11566665B2 (en) Apparatus, system and method for an air bearing stage for component or devices
TW201347076A (zh) 邊緣抓握末端執行器(一)
CN110612602B (zh) 浮动晶片夹盘
WO2014110944A1 (zh) 机械手和半导体设备
US9431282B2 (en) Wafer inversion mechanism
JP2013128079A (ja) 半導体製造装置および半導体製造装置の制御方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent