KR20180064996A - 엔드 이펙터를 제공하기 위한 장치, 시스템 및 방법 - Google Patents

엔드 이펙터를 제공하기 위한 장치, 시스템 및 방법 Download PDF

Info

Publication number
KR20180064996A
KR20180064996A KR1020170165948A KR20170165948A KR20180064996A KR 20180064996 A KR20180064996 A KR 20180064996A KR 1020170165948 A KR1020170165948 A KR 1020170165948A KR 20170165948 A KR20170165948 A KR 20170165948A KR 20180064996 A KR20180064996 A KR 20180064996A
Authority
KR
South Korea
Prior art keywords
end effector
wafer
low friction
clamp
moving clamp
Prior art date
Application number
KR1020170165948A
Other languages
English (en)
Other versions
KR102500546B1 (ko
Inventor
제로엔 보스봄
바박 나데리
리차드 먼로
타티아나 판코바 메이저
Original Assignee
자빌 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 자빌 인코퍼레이티드 filed Critical 자빌 인코퍼레이티드
Publication of KR20180064996A publication Critical patent/KR20180064996A/ko
Application granted granted Critical
Publication of KR102500546B1 publication Critical patent/KR102500546B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0014Gripping heads and other end effectors having fork, comb or plate shaped means for engaging the lower surface on a object to be transported
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J19/00Accessories fitted to manipulators, e.g. for monitoring, for viewing; Safety devices combined with or specially adapted for use in connection with manipulators
    • B25J19/02Sensing devices
    • B25J19/021Optical sensing devices
    • B25J19/025Optical sensing devices including optical fibres
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)
  • Bending Of Plates, Rods, And Pipes (AREA)
  • Input Circuits Of Receivers And Coupling Of Receivers And Audio Equipment (AREA)
  • Paper (AREA)

Abstract

이 개시는 엔드 이펙터를 제공하기 위한 장치, 시스템 및 방법을 제공한다. 이 엔드 이펙터는 다양한 크기들의 반도체 웨이퍼들을 수용할 수 있고, 또한 웨이퍼 지지부; 적어도 하나의 로봇 요소와 인터페이싱할 수 있고, 또한 그 일 단에서 상기 웨이퍼 지지부를 적어도 부분적으로 지지하는 베어링 암; 상기 반도체 웨이퍼들 중 하나와 물리적으로 인터페이싱하기 위한 상기 웨이퍼 지지부 상의 복수의 지지 패드들; 및 상기 베어링 암에 의해 적어도 부분적으로 제공되는 평면을 따라 양방향적으로 구동되는 저마찰 이동 클램프를 포함할 수 있고, 이때 상기 저마찰 이동 클램프는 상기 반도체 웨이퍼의 근위 모서리에 힘을 후퇴가능하게 적용한다.

Description

엔드 이펙터를 제공하기 위한 장치, 시스템 및 방법{APPARATUS, SYSTEM AND METHOD FOR PROVIDING AN END EFFECTOR}
본 개시는 반도체 웨이퍼들과 같은, 물품들의 이송에 관한 것으로서, 보다 상세하게는 이러한 웨이퍼들을 잡기 위한 엔드 이펙터 및 이 엔드 이펙터를 이용해 이러한 웨이퍼들을 취급하고 이송하기 위한 방법에 관한 것이다.
로봇의 용도는 제조 수단으로서, 특히 수작업이 비효율적이거나 및/또는 바람직하지 않은 응용 분야에서 잘 정착되어 있다. 이러한 환경 중 하나는 반도체 기술에 있으며, 로봇 기술은 다양한 프로세스 단계들 동안 웨이퍼들을 다루는 데 사용된다. 이러한 프로세스 단계들은, 오염의 가능성을 제한하고 다양한 특정한 프로세싱 조건들을 충족시키는 것을 보장하기 위해, 예를 들어, CMP(chemical mechanical planarization), 식각(etching), 증착(deposition), 패시베이션(passivation), 및 밀폐된 및/또는 "깨끗한" 환경이 유지되어야 하는 다양한 다른 프로세스들을 포함할 수 있다.
이러한 웨이퍼들을 로봇 공학적으로 취급하기 위한 반도체 기술 분야에서의 현재의 관행은, 적재 스택으로부터 전술한 예시적인 프로세스 단계들에 상응할 수 있는 다양한 프로세싱 포트들로 반도체 웨이퍼들을 적재하기 위해, 로봇에 작동가능하게 부착된 엔드 이펙터의 사용을 종종 포함한다. 로봇 공학은 관련 프로세스 챔버에서 프로세싱되기 전 및/또는 후와 같은, 특정 포트 또는 스택으로부터 웨이퍼를 검색하기 위해 엔드 이펙터를 배치하기 위해 채용된다. 이로써 웨이퍼는 엔드 이펙트에 연결되어 있는 로봇에 의해 추가적인 프로세싱을 위한 후속의 포트들로 왕복(shuttle)될 수 있다. 웨이퍼 프로세싱 단계들이 완료되었을 때, 그후 로봇은 적재 포트로 프로세싱된 반도체 웨이퍼를 복귀시킬 수 있고, 또한 엔드 이펙터를 이용해 다시, 그후 시스템에 의한 프로세싱을 위하여 다음 차례의 웨이퍼를 찾아서 가져올 수 있다. 몇몇 반도체 웨이퍼들의 스택은 각각의 프로세스 작동 동안 엔드 이펙터를 이용하는 이러한 방식으로 프로세싱되는 것이 통상적이다.
통상적인 엔드 이펙터들은, 예를 들어, 엔드 이펙터 상의 진공 흡인 아일렛들에 의해 제공되는 후면 흡착을 이용하는 것과 같이, 웨이퍼를 그 하부면(bottom side)에 유지시킨다. 기계적인 힘의 적용은 웨이퍼를 손상 또는 오염시킬 높은 가능성을 가지는 것으로 일반적으로 이해되기 때문에, 부분적으로 웨이퍼에 다른 기계적인 힘들을 직접 가하는 것은 통상적이지 않다.
따라서, 이러한 웨이퍼들의 손상 또는 오염 없이, 바람직하게는 다수의 웨이퍼 크기 및 다수의 프로세스 단계들을 위한, 매우 얇은 반도체 웨이퍼들을 용이하게 취급 및 이송할 수 있는 엔드 이펙터에 대한 요구가 있다.
몇몇의 실시예들은 엔드 이펙터를 제공하기 위한 장치, 시스템 및 방법을 포함한다. 이 엔드 이펙터는 다양한 크기들의 반도체 웨이퍼들을 수용할 수 있고, 다. 웨이퍼 지지부; 적어도 하나의 로봇 요소와 인터페이싱할 수 있고, 또한 그 일 단에서 상기 웨이퍼 지지부를 적어도 부분적으로 지지하는 베어링 암; 상기 반도체 웨이퍼들 중 하나와 물리적으로 인터페이싱하기 위한 상기 웨이퍼 지지부 상의 복수의 지지 패드들; 및 상기 베어링 암에 의해 적어도 부분적으로 제공되는 평면을 따라 양방향으로 구동되는 저마찰 이동 클램프를 포함하고, 이때 상기 저마찰 이동 클램프는 상기 복수의 지지 패드들과 상기 반도체 웨이퍼의 물리적 인터페이싱을 위해 상기 반도체 웨이퍼의 근위 모서리(proximal edge)에 힘을 후퇴가능하게(retractably) 적용한다.
이 웨이퍼 지지부는 분기부(fork portion)를 포함할 수 있다. 이 웨이퍼 지지부는 또한 웨이퍼들을 감지하기 위한 존재를 포함할 수 있다. 이 웨이퍼 지지부에 의해 잡히는 다양한 크기들의 웨이퍼들은, 한정적이지 않는 예로서, 200 mm 및 300 mm 웨이퍼들을 포함할 수 있다.
양방향 구동은 적어도 하나의 이동 클램프 모터를 포함할 수 있다. 저마찰 진공 실린더는 상기 이동 클램프에 결합될 수 있다. 상기 진공 실린더는 흑연 피스톤을 가지는 무봉 유리관(seal-less glass)으로 구성될 수 있다.
상기 엔드 이펙터는 상기 양방향 구동에 의한 상기 저마찰 이동 클램프의 활성화 후 상기 저마찰 이동 클램프의 후퇴를 정지시키는 적어도 하나의 후퇴 정지단을 더 포함할 수 있다. 상기 적어도 하나의 후퇴 정지단은 진공으로 작동될 수 있다. 상기 적어도 하나의 후퇴 정지단은, 예를 들어, 튀어오르는 "버튼" 정지단일 수 있다.
상기 저마찰 이동 클램프는 상기 웨이퍼에 힘을 적용하기 위한 각 타격면(angular strike face)을 포함할 수 있다. 상기 각 타격면은 상기 웨이퍼와 최적으로 맞물리도록 실질적으로 중심 피봇점 주위를 피봇회전할 수 있다. 상기 저마찰 이동 클램프는 상기 웨이퍼 모서리에 타격력(strike force)을 실질적으로 전할 수 있는 2 개의 경사 롤러들을 그 최외각 부분들에 더 포함할 수 있다.
상기 복수의 지지 패드들은 적어도 4 개의 지지 패드들을 포함할 수 있고, 이때 상기 4 개의 지지 패드들 중 적어도 2 개는 상기 베어링 암에 근접하고, 이때 상기 지지 패드들 중 적어도 나머지 2 개는 상기 베어링 암에서 멀리 떨어져 있다. 상기 적어도 2 개의 말단 지지 패드들(distal support pads) 각각은 상기 반도체 웨이퍼의 중심축과 관련하여 경사진 중심축을 가지는 롤러 부분 및 경사로 부분을 포함할 수 있다. 상기 적어도 2 개의 근위 지지 패드들(proximal support pads) 또한 경사로 부분을 포함할 수 있다. 상기 근위 지지 패드들 및/또는 상기 말단 지지 패드들은 융기된 마루 부분(raised ridge portion)을 추가적으로 포함할 수 있다.
이로써, 이 개시는 적어도 이러한 웨이퍼들의 손상 또는 오염 없이, 다수의 웨이퍼 크기들 및 다수의 프로세스 단계들을 위한, 매우 얇은 반도체 웨이퍼들을 용이하게 취급 및 이송할 수 있는 엔드 이펙터를 제공하기 위한 장치, 시스템 및 방법을 제공한다.
한정적이지 않는 예들로서만 주어진, 첨부된 도면들을 참조하여 이하에서 예시적인 조합들, 시스템들 , 및 방법들이 설명될 것이다.
도 1에는 개시된 실시예들에 따른 웨이퍼 취급 시스템이 도시되어 있다.
도 2에는 개시된 실시예들에 따른 엔드 이펙터가 도시되어 있다.
도 3에는 개시된 실시예들에 따른 엔드 이펙터가 도시되어 있다.
도 4에는 개시된 실시예들에 따른 엔드 이펙터가 도시되어 있다.
도 5에는 개시된 실시예들에 따른 엔드 이펙터가 도시되어 있다.
도 6에는 개시된 실시예들에 따른 웨이퍼 패드들이 도시되어 있다.
도 7에는 개시된 실시예들에 따른 엔드 이펙터가 도시되어 있다.
도 8에는 개시된 실시예들에 따른 이동 클램프가 도시되어 있다.
도 9에는 개시된 실시예들에 따른 웨이퍼 지지 패드가 도시되어 있다.
도 10에는 개시된 실시예들에 따른 엔드 이펙터가 도시되어 있다.
도 11에는 개시된 실시예들에 따른 엔드 이펙터가 도시되어 있다.
도 12에는 개시된 실시예들에 따른 웨이퍼 지지 패드가 도시되어 있다.
도 13에는 개시된 실시예들에 따른 이동 클램프 모터가 도시되어 있다.
도 14에는 개시된 실시예들에 따른 이동 클램프 모터가 도시되어 있다.
도 15에는 개시된 실시예들에 따른 이동 클램프 모터가 도시되어 있다.
도 16에는 개시된 실시예들에 따른 프로세싱 시스템이 도시되어 있다.
여기서 제공되는 도면들 및 상세한 설명들은, 명확함을 위해, 통상적으로 유사한 장치들, 시스템들, 및 방법들에서 발견될 수 있는 다른 측면들은 제거하는 한편, 여기서 설명되는 장치들, 시스템들, 및 방법들의 명확한 이해에 관련된 측면들을 설명하기 위해 단순화되어 있다. 이로써 당업자들은 여기서 설명되는 장치들, 시스템, 및 방법들을 구현하기 위해 다른 요소들 및/또는 동작들은 바람직할 수 있고 및/또는 필요할 수 있음을 인식할 수 있다. 하지만 이러한 요소들 및 동작들은 업계에 알려져 있기 때문에, 또한 본 개시의 더 나은 이해에 도움을 주지 않기 때문에, 간결함을 위해 이러한 요소들 및 동작들의 설명은 여기서 제공되지 않을 수 있다. 하지만, 본 개시는 그럼에도 불구하고 당업자에게 알려진 설명된 측면들에 있어서의 이러한 모든 요소들, 변형들, 및 변경들을 포함하는 것으로 취급된다.
실시예들이 상세하게 제공되고 있어 이 개시는 당업자에게 개시된 실시예들의 범위를 충분히 그리고 완전히 전달한다. 특정한 구성성분들, 장치들, 및 방법들의 예들과 같이, 많은 상세한 세부사항들이 본 개시의 실시예들의 완벽한 이해를 제공하기 위해 설명된다. 그럼에도 불구하고, 몇몇의 특정한 개시된 세부사항들은 채용될 필요가 없고, 또한 실시예들은 다른 형식들로 구체화될 수 있음이 당업자에게는 명백할 것이다. 이와 같이, 개시된 실시예들은 개시 내용의 범위를 한정하는 것을 해석되어서는 안된다. 상기를 참조하면, 몇몇의 실시예들에 있어서, 공지의 프로세스들, 공지의 장치 구조들, 및 공지 기술들은 상세하게 설명되지 않을 수 있다.
여기서 사용되는 용어들은 특정 실시예들을 설명하고자 할 뿐 이를 한정하고자 하는 것은 아니다. 예를 들어, 여기서 사용되는 바와 같이, 단수형 "하나의" 및 "상기"는, 문맥에서 그렇지 않다고 명백하게 지시하지 않는 한, 복수형을 포함할 수 있음은 물론이다. 용어 "포함한다", "포함하는", 및 "가지는"은 포괄적으로 포함되는 것이고 그러므로 언급된 특징들, 정수들, 단계들, 동작들, 요소들, 및/또는 구성성분들의 존재를 특정하지만, 하나 또는 그 이상의 다른 특징들, 정수들, 단계들, 동작들, 요소들, 구성성분들, 및/또는 그 군들의 존재 또는 추가를 배제하는 것은 아니다. 여기서 설명되는 단계들, 프로세스들, 및 동작들은 바람직하거나 또는 필수적인 수행 순서로 특별히 식별되지 않는 한, 설명되거나 또는 도시된 특정한 순서로 각각의 수행이 반드시 필수적인 것으로 이해되지는 않는다. 또한 개시된 측면들과 연관되거나 또는 대신하여, 추가적인 또는 대체적인 단계들이 채용될 수 있음이 이해되어야 한다.
요소 또는 층이 다른 요소 또는 층에 "그 위에", "연결되어" 또는 "결합되어"로 언급될 때, 이것은 그렇지 않다고 명백하게 지시되지 않는 한, 다른 요소 또는 층에 직접 그 위에, 연결되어 또는 결합될 수 있거나, 또는 매개 요소들 또는 층들이 존재할 수 있다. 이와 달리, 요소 또는 층이 다른 요소 또는 층에 "직접 그 위에", "직접 연결되어" 또는 "직접 결합되어"로 언급될 때, 매개 요소들 또는 층들은 존재하지 않을 수 있다. 요소들 사이의 관계를 설명하는 데 사용되는 다른 단어들은 유사한 방식으로 해석되어야 한다(예. "사이에" vs "직접 그 사이에", "인접하여" vs "직접 인접하여" 등). 나아가, 여기서 사용되는 바와 같이, 용어 "및/또는"은 하나 또는 그 이상의 연관된 열거된 항목들의 어떠한 모든 조합들을 포함한다.
더 나아가, 제1, 제2, 제3 등의 용어들은 다양한 요소들, 구성성분들, 영역들, 층들 및/또는 부분들을 설명하기 위해 여기서 사용될 수 있지만, 이 요소들, 구성성분들, 영역들, 층들 및/또는 부분들은 이 용어들에 의해 한정되어서는 안된다. 이 용어들은 하나의 요소, 구성성분, 영역, 층 또는 부분을 다른 요소, 구성성분, 영역, 층 또는 부분과 단지 구별하기 위해 사용될 수 있다. 그러므로, 여기서 사용될 때 "제1", "제2", 및 다른 서수 용어들과 같은 용어들은 문맥에 의해 명백하게 지시되지 않는 한 순서 또는 차례를 암시하지 않는다. 그러므로, 이하에서 설명되는 제1 요소, 구성성분, 영역, 층 또는 부분은 실시예들의 교시로부터 벗어나지 않으면서 제2 요소, 구성성분, 영역, 층 또는 부분으로 지칭될 수 있다.
도 1에는 실리콘 웨이퍼들과 같은, 다양한 지름들, 조성들 및 물리적 특성들의 반도체 웨이퍼들 또는 기판들(102)을 정확하게 취급하는 데 적절한 자동화된 웨이퍼 취급 시스템(100)이 도시되어 있다. 취급 시스템(100)은 웨이퍼 프로세싱을 위해 연속적으로 빠르고 순차적으로 웨이퍼들(102)을 공급할 수 있다. 공급되는 웨이퍼들(102)은, 부분적으로, 상기에서 언급된 조작(manipulation) 및 이송을 수행하는 데 적합한 모서리 그립 엔드 이펙터(106)가 구비된, 로봇 암(104)과 같은 로봇에 의해, 프로세싱을 위해 다양한 위치들 중에서 조작 또는 이송될 수 있다.
로봇 암(104) 및 엔드 이펙터(106)는 한정적이지 않은 예로서, 웨이퍼 프로세스들, 하나 또는 그 이상의 웨이퍼 정렬기들, 및 하나 또는 그 이상의 웨이퍼 카셋트들로/로부터 웨이퍼들(102)을 배치 및 제거하기 위해 협력한다. 이를 위해, 엔드 이펙터(106)는 여기서 상세히 설명되는 다양한 웨이퍼 그리핑 측면들을 제공 또는 보충하는 것에 더하여, 웨이퍼 프로세싱 동안 필요한 수직, 수평, 및 역전 방향들로 객체 웨이퍼(102)를 단단히 잡기 위해 하나 또는 그 이상의 진공 아일렛들(vacuum eyelets, 108)을 포함할 수 있다.
이와 같이, 도 1의 예는 여기서 설명되는 예시적인 엔드 이펙터들(106)이 작동가능할 수 있는 시스템(100)을 보여준다. 간단히 말하면, 도시된 모서리 그립 엔드 이펙터(106)는, 이하에서 설명되는 다양한 종류들의 엔드 이펙터들(106)을 대표하는데, 예를 들어 다양한 웨이퍼 프로세스들에 연이어 및/또는 프로세스 정렬기로 검색된 웨이퍼들의 클럭킹을 위해, 하나 또는 그 이상의 카셋트들로부터 웨이퍼들(102)을 검색할 수 있다. 보다 상세하게는, 소정의 실시예들에서 제공되는 다양한 엔드 이펙터 종류들은 다양한 참조된 웨이퍼 프로세스들을 통해 복수의 서로 다른 웨이퍼 지름들을 가지는 단일의 엔드 이펙터(106)의 사용을 위해 제공될 수 있다.
반도체 웨이퍼들은 지름에서 다양할 뿐만 아니라, 통상적으로 지름을 포함하는 다른 치수적인 오차범위들 중에서, 예를 들어 1.5 마이크론 또는 그 이하의 편평도를 가지는 실질적으로 평면인 그 위에 장치 구조들을 수령하기 위한 표면이 필요한, 표준화된 상세사항들에 따라 제조된다. 나아가 예를 들어, 200 mm 실리콘 웨이퍼들은, 예를 들어 200+/-0.2 mm의 표준 지름 및 675+/-25 마이크론과 같은 표준 두께를 가진다. 프로세싱 후 통상적인 웨이퍼 두께는 대략 500 마이크론에서 대략 700 마이크론의 범위에 있을 수 있다. 추가적으로, 실리콘 웨이퍼들에는 액정 방향을 지시하거나 및/또는 정렬을 위해 사용되는 특수한 플랫 또는 노치가 마련되어 있을 수 있다. 따라서, 웨이퍼와 엔드 이펙터(106)의 상호작용 동안의 웨이퍼 편평도의 유지는 웨이퍼 수득율(throughput) 및 낭비에 있어서 수용가능한 수준을 획득하는 데 주요한 요인이다.
더 얇은 웨이퍼들은 특정의 집적 회로 응용분야들, 특히 프로세싱 후 더욱 더 작은 두께들을 필요로 하는 응용분야들에 있어서 특히 유용할 수 있다. 하지만, 웨이퍼 프로세싱은 허용가능한 편평도를 초과하는 뒤틀림(warpage) 또는 휨(bowing)을 도입할 수 있고, 몇몇의 웨이퍼들은 프로세싱이 이루어지지 않은 상태에서조차 바람직한 수준을 넘는 뒤틀림 또는 휨을 가질 수 있다. 게다가, 뒤틀림 또는 휨(굽힘)은 상기에서 언급된 정렬 플랫 또는 노치의 부적절한 배치 또는 정렬을 야기시킬 수 있다. 이러한 경우들에 있어서, 웨이퍼 프로세싱은 뒤틀림 또는 휨(굽힘)에 의해 악영향을 받을 수 있고, 이러한 악영향들은 엔드 이펙터(106)에 의해 부과되는 뒤틀림 또는 휨(굽힘)에 의해 악화될 수 있다.
뒤틀림 및 휨으로부터 발생하는 상기의 문제들은 더 얇은 웨이퍼들에 있어서 악화될 수 있다. 분산(variance)을 넘는 편평도를 고려하는 것은 이로써 현대 웨이퍼 프로세싱에 있어서 중요한 문제이고, 웨이퍼 프로세싱에 있어서 다른 웨이퍼 크기들을 허용하는 웨이퍼 취급기들에 있어서 편평도 분산을 고려하는 능력은 더 중요하고 복잡하다. 따라서, 웨이퍼 편평도에 엔드 이펙터에 의한 상호작용의 영향을 최소화시키고, 웨이퍼 뒤틀림의 교정을 제공할 수 있는 엔드 이펙터(106)의 제공은 개시된 실시예들에 있어서 매우 유익하다.
도 2에는 몇몇의 실시예들에 따른 예시적인 모서리 그립 엔드 이펙터(106)가 도시되어 있다. 도 2의 도시에 있어서, 엔드 이펙터(106)는 실리콘 웨이퍼(102)가 검색시 안착할 수 있는 분기 부분(202), 및 도 1에 도시된 로봇 암과 같은, 하나 또는 그 이상의 로봇과 인터페이싱할 수 있는 베어링 암(204)을 포함한다. 분기 부분(202)은 한정되지 않는 예에 의해, H900 조건으로 열처리된, 17.4 pH 스테인레스 스틸로 구성될 수 있다. 상세히 설명되는 분기 부분(202)은 베이어링 암(204)에 의해 적어도 부분적으로 지지될 수 있는 웨이퍼 지지부의 예에 불과함에 유의해야 한다. 즉, 몇몇의 실시예들에서 예를 들어, 주걱 타입 또는 링 타임과 같은, 다른 종류의 웨이퍼 지지부들이 사용될 수 있다.
베어링 암(204)은 웨이퍼(102)와 분기 부분(202)의 물리적 연관을 야기시키는 것과 같이, 예를 들어, 베어링 암(204) 안에 또는 그 위에 있는 하나 또는 그 이상의 전자기계적 요소들을 활성화시킬 수 있는 전자 회로부를 포함할 수 있다. 베어링 암(204)은 추가적으로, 센서들, 프로세싱 능력들, 컴퓨터 메모리, 무선 연결과 같은, 네트워킹 능력들, (RF ID와 같은) 고유한 식별코드들, 프로세스 카운터들, 로봇 암(104)과의 전자기계적 상호작용들, 고밀도 재충전가능항 배터리들과 같은, 배터리들 등을 포함할 수 있다.
분기 부분(202)과 웨이퍼(102) 사이에 물리적 상호작용을 야기시키는 베어링 암(204)과 연관된 전자기계적 요소들은 이동 클램프(210)일 수 있다. 이동 클램프는, 그 위에서 웨이퍼(102)를 지지하는 부분과 같이, 이동 클램프(210)와 웨이퍼(102) 사이의 상호작용력들을 최소화시키는 하나 또는 그 이상의 패드들을 포함할 수 있다. 이동 클램프(210)는 직접 또는 간접적으로, 그리고 하나 또는 그 이상의 진공의, 유압식의, 또는 모터로 작동되는 엑츄에이터들에 의해서와 같이 전자기계적으로 활성화될 수 있거나, 또는 분기 부분(202)과 물리적 연관을 위해 실리콘 웨이퍼(102)를 잡거나, 움직이거나, 또는 그렇지 않다면 정렬시키기 위해 베어링 암(204)으로부터 분기 부분(202)을 향해 외측으로 확장시키기 위해 스프링 작동에 의한 것과 같이 기계적으로 활성화될 수 있다.
나아가 도 2에는 분기 부분(202)과 물리적으로 연관되고 이동 클램프(210)에 종속되는 실리콘 웨이퍼(102)가 안착할 수 있는, 4 개와 같은, 복수의 패드들(212a-d)이 도시되어 있다. 도시에 있어서, 지지 패드들(212a-d)은 패드들(212a-d)이 실리콘 웨이퍼(102)의 중심으로 향해 아래측으로 경사져 있는 것과 같이 경사져있을 수 있거나, 또는 웨이퍼(102)가 패드(212a-d)와 물리적으로 연관된 때 웨이퍼(102)의 움직임의 정지 또는 지지를 제공하기 위해 융기되어 있을 수 있다. 지지 패드들(212a-d)은 그 표면들을 따라 고르고 약간 마찰력 있거나(semi-frictional) 또는 매우 마찰력 있을 수 있고, 또한 그 서로 다른 표면들 중에서 서로 다를 수 있다. 도시된 실시예에 있어서, 이동 클램프(210)에 근접한 지지 패드들(212a-b)은 분기 부분(202)의 말단 끝에 있는 패드들(212c-d)과, 적어도 이 밀단 지지 패드들(212c-d)이 클램프 패드들로서 행동한다는 점에서, 물리적으로 다르다. 즉, 소정의 패드들(212c-d)에 있어서, 이동 클램프(210)로부터 가장 멀리 떨어져 있는 패드 모서리들과 연관된 융기된 부분은 분기 부분(202)과 연관된 웨이퍼(102) 둘레의 가장 멀리 떨어진 부분에 대하여 압력을 제공하도록 기능할 수 있다. 언급한 바와 같이, 이것은 이동 클램프(210)에 가장 근접한 분기 부분(202)의 베이스에 있는 단순히 경사진 지지 패드들(212a-b)과 다를 수 있다.
도 3에는 모서리 그립 엔드 이펙터(106)의 일 실시예가 도시되어 있다. 도시에 있어서, 대체적인 종류의 이동 클램프(210)가 제공될 수 있고, 이로써 결과적으로 분기 부분(202)의 베이스에 있는 클램프 출현 슬롯(302)은 대체적으로 형태지어진 이동 클램프(210)의 출현 및 후퇴를 허용하도록 확대되어 있다. 게다가, 도 3의 예시적인 도시에 있어서, 근위 지지 패드들(212a-b)은 이제 웨이퍼의 중심에 대하여 그 말단 부분에 마루 부분(ridge)을 포함하고 이로써 웨이퍼의 이동에 대하여 정지를 제공하게 된다. 더 나아가, 이동 클램프(210)로부터 분기 부분(202)의 가장 멀리 떨어진 측면에 있는 지지 패드들(212c-d)은 웨이퍼 손상의 위험을 최소화하면서 분기 부분(202)과 연관된 웨이퍼(102)의 더 나은 이동의 정지 및 물리적 상호작용을 제공하기 위해 도시된 롤러 팁들(roller tips, 306)을 포함할 수 있다.
롤러 팁들(306)은 연관된 웨이퍼(102)를 더 잘 잡기 위해 경사지어지거나 또는 경사지어지지 않을 수 있다. 경사 롤러 팁들(306)은 특히 작업중인 또는 두꺼운 웨이퍼들의 취급을 개선시킬 수 있고, 이에 따라 이동 클램프(210)에 근접하거나 및/또는 멀리 떨어져 제공될 수 있다. 롤러 팁들(306)은, 예를 들어, 스테인레스 스틸로 형성될 수 있고, 또한 분기 부분(202)에 물리적으로 연관된 특히 얇은 웨이퍼들의 중심잡기를 개선시킬 수 있다.
도 4에는 엔드 이펙터(106)의 일 실시예가 도시되어 있다. 도 4의 예는 도 3과 유사하지만, 도 3의 베어링 암(204) 위에 베어링 암 커버(312)를 포함하고 있지 않다. 도 4의 도시에 있어서, 롤러 팁 지지 패드들(212c-d) 및 융기된 근위 지지 패드들(212a-b)이 다시 분기 부분(202) 상에 포함되어 있다. 추가적으로 도 4에 웨이퍼 검출 시스템(404)이 도시되어 있는데, 이것은 한정적이지 않는 예로서 분기 부분(202)의 가장 말단 부분에서 발견된다. 이러한 웨이퍼 검출 시스템(404)은 한정적이지 않는 예로서, 분기 부분(202)과 물리적으로 연관된 웨이퍼(102)의 존재 및/또는 특성을 검출하는 광섬유 빔을 포함할 수 있다.
도 4에는 또한 각 이동 클램프(210; angular moving clamp)의 형태로, 작동시, 베어링 암 하우징(312) 내에서 출현 슬롯(302)으로부터 출현하는, 이동 클램프가 도시되어 있다. 이 각 이동 클램프(210)는 도시된 바와 같이, 예를 들어 엑츄에이터(420)에 의해, 전자기계적으로 작동되고, 또한 각 이동 클램프(210)의 이용가능한 선형 이동 거리를 제한하는 길이 제한들을 구동하는 데 종속될 수 있다.
도 5에는 분기 부분(202)의 말단 끝에 있는 예시적인 롤러 패드들(212c-d)이 추가적으로 도시되어 있다. 도시에 있어서, 이 예시적인 말단 지지 패드들(212c-d)이 롤러 부분(306)에 마련되어 있을 뿐만 아니라, 나아가 이 예시적인 지지 패드들(212c-d)은 분기 부분(202)과 연관된 실리콘 웨이퍼(102)의 중심을 향해 아래쪽으로 경사를 가지고 경사져 있음이 명백하다.
각도가 있거나 또는 굽은 지지 패드들은 웨이퍼를 수령하기 위한 이중 표면 영역을 포함하여, 웨이퍼가 코너에 이를 수 없고 이로써 잡을 충분한 표면 영역을 가질 수 없음이 이해될 것이다. 이 각도 또는 굴곡은, 한정적이지 않는 예에 의해, 내부 각에서는 보다 작고, 외부 각에서는 보다 클 수 있다. 게다가, 지지 패드들에 대해 설명되는 경사 디자인은 또한 분기 부분(202)에서 더 낮은 웨이퍼 특성들이 보터밍(bottoming)하지 못하게 하는 한편, 잡는 것을 개선시킬 수 있다.
롤러 부분(306)을 가진 말단 지지 패드들(212c-d)은 도 6의 도시에서 상세하게 보여질 수 있다. 도 6은 경사로 및 롤러 부분들(602, 306)을 가지는 밀단 지지 패드(212c)의 단면도를 제공하는데, 이때 실리콘 웨이퍼(102)의 말단 둘레(606)는 이동 클램프(210)에 의해 근위 둘레에 결합될 때와 같이, 말단 지지 패드(212c)의 롤러 부분(306)에 인접해 있다. 결합되는 각 이동 클램프(210)는, 한정적이지 않는 예에 의해, 도 4에 도시된 이동 클램프(210)일 수 있다.
도 4, 도 5, 및 도 6의 도시에 관해 특히, 엔드 이펙터 분기 부분(202)은, 가능한 정도까지, 그와 물리적으로 연관된 웨이퍼(102)의 바닥과 접촉을 방지하는 것이 최적임에 유의해야 한다. 이 기능은 그 위에 롤러들(306)을 가지는 말단 지지 패드들(212c-d)과 함께, 지지 패드들(212a-d)의 경사 특성에 의해 제공될 수 있고, 또한 분기 부분(202) 상에 굴곡들 또는 경사 특성들을 추가적으로 포함할 수 있다. 추가적인 예에 의해서, 분기 부분(202)의 갈래들(630; prongs)은 갈래들(630) 사이의 중심점을 향해 아래쪽으로 약간 경사를 가지고 휠 수 있다. 즉, 베어링 암에 근접하여 분기 부분(202)은 하나 또는 그 이상의 굴곡들, 경사로들, 또는 상측 또는 하측 경사들을 포함할 수 있고, 이러한 실시예들에 있어서, 분기 부분의 갈래들(630)은 말단 지지 패드들(212c-d)을 향해 대응되는 경사, 굴곡 또는 경사로를 포함하거나 또는 포함하지 않을 수 있다.
도 7에는 예시적인 각 이동 클램프(210)가 도시되어 있다. 도 7의 실시예에 있어서, 각 이동 클램프(210)는 그 주위로 클램프의 각(θ)이 이동 클램프(210)의 타격면과 연관된 웨이퍼(102)의 중심잡기를 개선시키기 위해 피봇회전될 수 있는 제1 피봇점(702)을 포함한다. 게다가, 한정적이지 않는 예에 의해, 도 7의 각 이동 클램프(210)는 각 이동 클램프(210)의 최외각 부분들에 있는 경사 롤러들(706a-b)을 추가적으로 포함한다. 이 롤러들(706a-b)은 몇몇의 실시예들에 있어서 경사져 있을 수 있거나 또는 경사져 있지 않을 수 있고, 또한 지지 패드들(212a-d)의 경사진, 융기된, 및/또는 롤러 부분에 대하여 웨이퍼(102)를 누르는 것에 의해, 웨이퍼(102)의 모서리를 가볍게 누르는 기능을 할 수 있다.
도 8에는 예시적인 각 이동 클램프(210)가 도시되어 있다. 도 8의 실시예에 있어서, 한정적이지 않는 예에 의해, 이동 클램프(210)에 대한 피봇점(702)은 실질적으로 클램프 각(θ)의 중심점에 제공될 수 있다. 각 이동 클램프(210)의 피봇점(702)으로부터 실질적으로 최외각 부분들에 하나 또는 그 이상의 롤러들(706a-b)을 수령하기 위한 2차 피봇점들(802a-b)이 더 제공된다. 이러한 롤러들(706a-b)은 도 7에 도시된 예시적인 경사 롤러와 유사한 경사진 방식으로 피봇들(802a-b)에 수령되거나 또는 수령되지 않을 수 있다.
도 9는 지지 패드(902)의 예시적인 도시를 제공하는데, 이와 같이 근위 또는 말단 지지 패드 또는 패드들(212a-d)로서 사용될 수 있다. 도 9의 예에 있어서, 지지 패드(902)에는 경사로(906)의 최상위 부분에 마루(ridge, 904)가 마련되어 있고, 마련된 마루(904)는, 그 상부 표면 상에, 각도가 있는 또는 굴곡이 있는 부분(910)과 같이, 2 개의 구별되는 평면 부분들을 가질 수 있다. 게다가, 한정적이지 않는 예에 의해, 도 9의 지지 패드(902)의 경사로 부분(906)은 하나 또는 그 이상의 마루들 또는 구별되는 평면들(912; distinct planes)을 추가적으로 포함할 수 있다. 상부 마루는, 각도 있는 또는 굴곡이 있는 부분을 포함할 수 있는 것과 같이, 몇몇의 실시예들에 있어서 분기 부분(202)과 연관된 웨이퍼(102)의 개선된 위치잡기를 제공할 수 있다. 길게 솟은 경사로(902)는 분기 부분(202)과 연관된 웨이퍼(102)의 중심잡기 및 접촉을 개선시킬 수 있다.
도 10은 도 2에 관하여 설명된 예시적인 실시예와 같이, 도시된 바와 같이 사각 이동 클램프(210)가 분기 부분(202)을 향해 베어링 암(204)을 따라 슬롯(1002)을 따라 움직이고 2 개 또는 그 이상의 서로 다른 종류들의 웨이퍼들(102a, 102b)이 분기 부분(202)과 물리적으로 연관되도록 허용할 수 있는 일 실시예를 도시하고 있다. 보다 상세하게 또한 한정적이지 않는 예에 의해, 도 10의 실시예에 있어서, 이동 클램프(210)는 분기 부분(202)과 물리적으로 연관된 200 mm 또는 300 mm 웨이퍼를 위치적으로 수용할 수 있는 것으로 보여진다. 게다가, 도 10의 분기 부분(202)의 최말단 부분에는 도 9에 도시된 바와 같은 말단 지지 패드들(902a-b)이 도시되어 있는데, 이것은 상이한 기하구조들의 반지름들을 가지는 웨이퍼들의 웨이퍼 접촉을 개선시키는 데 사용된다. 물론, 언급되는 다른 종류들의 지지 패드들(212a-d)이 도 10의 실시예에, 및/또는 여기서 설명되는 다른 실시예들에 사용될 수 있다.
도 11은 도 10과 유사한 실시예를 도시하고 있는데, 사각 이동 클램프(210) 대신, 각 이동 클램프(210)가 채용되었다. 도 11의 각 클램프(210)에는 각 클램프(210)의 최말단 각도 부분들에 롤러 팁들(706a-b)이 마련되어 있다. 게다가, 이 특별한 도시에 있어서, 말단 지지 패드들(212c-d) 또한 롤러 팁들(306)을 포함한다.
도 12에는 롤러 팁 말단 지지 패드(212c)의 일 실시예가 도시되어 있다. 도시된 말단 롤러 지지 패드(212c)는 한정적이지 않는 예에 의해 도 11에 도시된 실시예에서와 같이, 여기서 설명되는 몇몇의 실시예들에서 사용되기 위해 제공될 수 있다. 도 12의 도시에 있어서, 롤러들(1140)은 분기 부분(202)과 연관된 웨이퍼(102)의 중심을 향해 안쪽으로 틸트를 가지고, 경사져 있다. 이러한 롤러 팁들(1140)은, 50 - 150 um의 모서리 두께를 가지는 웨이퍼들과 같이, 예를 들어, 특히 얇은, 칼날 같은 웨이퍼들 상에 모서리 마찰을 방지할 수 있다. 또한 분기 부분(202)과 연관된 웨이퍼(102)를 매핑하기 위한 롤러 팁(1140)과 연관되어 있을 수 있는 매핑 특성(1146; mapping feature) 뿐만 아니라, 롤러 경사를 위한 조정부(1144)가 도 12에 단면으로 도시되어 있다.
도 13에는 예시적인 클램프 모터(1210)가 도시되어 있는데, 이것은 베어링 암(204)에 속해 있고, 여기서 설명되는 바와 같이, 웨이퍼(102)와 결합되기 위해 분기 부분(202)의 말단 끝을 향해 외측으로 클램프를 이동시키기 위해 이동 클램프(210)를 활성화시킨다. 도 13의 도시에 있어서, 여기서 설명되는 바와 같이 각 이동 클램프(210)가 대신 도 13의 실시예에 마련될 수 있음을 이해할 수 있지만, 사각 이동 클램프(210)가 도시되어 있다.
한정되지 않는 예에 의해 이동 클램프(210)를 결합하기 위해, 진공 실린더와 같은, 저마찰 엑츄에이터(1212)가 도시되어 있다. 이 저마찰 진공 실린더(1212)는 작은 클램핑 력(clamping forces)을 가능하게 하여 웨이퍼(102)에의 손상을 막거나 또는 최소화시킬 수 있다. 일반적으로 몇몇의 실시예들의 이동 클램프는 저마찰 메카니즘들을 이용해 활성화될 수 있다. 예를 들어, 저마찰 엑츄에이션은 한정되지 않는 예에 의해 121mm 스트로크(stroke)과 같은, 긴 스트로크 엑츄에이션일 수 있지만, 어떠한 길이로도 구성가능할 수 있다.
보다 상세하게 및 한정되지 않는 예에 의해, 이동 클램프 엑츄에이터(1212)는 무봉 디자인으로 유리관 및 흑연 피스톤을 가지는 진공 실린더일 수 있다(즉, 공기주머니). 이러한 엑츄에이터(1212)는 2oz 또는 그 이하의 클램핑 부하들과 같이, 매우 낮은 클램핑 부하들을 허용할 수 있다. 물론, 저마찰 이동 클램프 엑츄에이터(1212)와 함께 (도 14의 슬라이드(1226)와 같은) 저마찰 롤러 슬라이드는 웨이퍼에의 예상되는 손상을 더 제한할 수 있다. 그럼에도 불구하고, 전기 모터들과 같은, 다른 엑츄에이션 방식(1212) 또한 실시예들에 채용될 수 있음이 이해될 것이다.
이동 클램프(210)의 클램프 힘 및 클램프 속도는 도 13에 도시된 바와 같이, 조정 및 제어부(1222)에 종속될 수 있다. 이 조정 및 제어부(1222)는 분기 부분(202)과 연관된 웨이퍼(102)에의 손상을 더 최소화시킬 수 있다. 이와 같이, 고-정밀 제어부(1222)가 클램핑 속도를 제어하기 위해 진공 실린더(1212)로의 공기를 계량하는 정밀 흐름 제어부와 같이, 이동 클램프 스트로크를 위해 제공될 수 있다.
위치 센싱(1220) 또한 몇몇의 실시예들에서 이동 클램프(210)의 이동에 대하여 발생할 수 있다. 이동 클램프(210)의 위치는, 클램프(210)의 실제 위치보다는, 분기 부분(202)을 향해 이동 클램프(210)를 슬라이드하는 데 사용되는 저마찰 롤러 슬라이드(1226)의 위치가 평가되는 것과 같이, 위치 센싱부(1220)에 의해 직접 또는 간접적으로 평가될 수 있다. 예를 들어 저마찰 슬라이드(1226)를 따르는, 또는 다른 트랙을 따르는, 이동 클램프(210)의 이동은 성질상 저마찰일 수 있는데, 적어도 마찰을 최소화하는 것이 분기 부분(202)과 연관되어 있는 웨이퍼(102)에 예상되는 손상을 최소화시킬 수 있기 때문이다.
도 14에는 여기서 설명되는 몇몇의 실시예들에서 사용되기 위한 이중 위치 클램프 모터(1210)의 추가적인 실시예가 도시되어 있다. 도 13와 유사하게, 도 14의 소정의 실시예는 저마찰 롤러 슬라이드(1226), 이동 클램프(210)를 활성화시키기 위한 하나 또는 그 이상의 저마찰 진공 실린더들(1212), 및 웨이퍼 손상의 가능성을 최소화시키기 위한 클램프 속도 컨트롤러(1222)를 포함한다.
도 14에는 또한 이동 클램프(210)의 위치를 센싱하기 위한 복수의 위치 센서들(1220a, b, c)이 도시되어 있다. 한정되지 않는 예에 의해, 제1 위치 센서(1220a)는 이동 클램프(210)가 완전히 후퇴되었음을 지시할 수 있다. 제2 위치 이동 센서(1220b)는 이동 클램프(210)가 300 mm 웨이퍼(102a)와 같은, 제1의, 더 큰 웨이퍼 크기에 맞물려 있다는 것을 지시할 수 있다. 제3 위치 센서(1220c)는 이동 클램프(210)가 그 최대 허용가능한 이동 거리에 도달하였고, 이로써 200 mm 웨이퍼(102b)와 같은, 분기 부분과 연관된 최소의 허용가능한 웨이퍼 크기에 맞물려 있음을 지시할 수 있다.
도 14에는 또한 200 mm 웨이퍼(102b)와 같은, 더 작은 웨이퍼 크기들을 위한 이동 클램프(210)의 후퇴 능력을 제한하기 위해 후퇴가능한 정지단(1240)이 도시되어 있다. 후퇴 정지단(1240)은 또한 여기서 설명되는 바에 비추어 이해되는 바와 같이, 후퇴 정지단보다는, 이동 정지단으로 기능할 수 있다. 후퇴 정지단(1240)은, 한정되지 않는 예에 의해, 진공으로 작동되거나 및/또는 스프링으로 확장될 수 있다. 게다가, 복수의 후퇴 또는 이동 정지단들이 그 각각이 이동 또는 후퇴 정지단들 중 하나와 연관되어 있는, 서로 다른 이동 클램프 타격 거리들을 가지는 다양한 웨이퍼 종류들을 지지하기 위해 포함될 수 있다.
도 15는 도 14와 유사한 도시이지만, 후퇴 정지단(1240)이 확장되어 있다. 도시된 바와 같이, 후퇴 정지단(1240)의 확장은 200 mm 웨이퍼와 같은, 더 작은 웨이퍼 크기들이 분기 부분(202)과 물리적으로 연관되어 있을 때 베어링 암 하우징(212)을 향해 뒤로 후퇴시키는 클램프의 능력을 제한하는 것과 같이, 클램프 주기 거리를 단축시킬 수 있다. 이것은 더 작은 웨이퍼 크기들에 대한 주기 시간을 아낄 수 있다. 추가적으로, 하나 또는 그 이상의 후퇴 정지단들(1240)이 상기에서 언급된 바와 같이, 서로 다른 크기 웨이퍼들에 대한 주기 시간(cycle time)들을 절감하기 위해 포함될 수 있음에 유의한다.
그러므로, 본 개시는 엔드 이펙터를 변경할 필요 없이 예를 들어 2 개와 같은, 복수의, 웨이퍼 크기들을 취급하는 능력을 제공한다. 이 능력은, 부분적으로, 활성하ㅗ된 이동 클램프에 의해 제공된다. 이 이동 클램프는 진공으로 작동되거나, 모터로 작동되거나, 유압식이거나, 스프링으로 활성화되거나 등일 수 있다. 예를 들어 이동 클램프와 연관된 저마찰 피스톤 드라이브 및/또는 저마찰 슬라이드를 통해, 저마찰 웨이퍼 클램핑의 예시적인 사용으로 인해, 정확한 속도 및 더 낮은 부하들이 몇몇의 실시예들의 사용을 통해 가능해지는데, 이것은 그럼에도 불구하고 웨이퍼 그립을 개선시키는 한편, 마찰을 최소화시키고 이로써 예상되는 웨이퍼 손상을 최소화시킨다.
상기의 장치들, 시스템들 및 방법들은 또한 참조되는 다양한 로봇 기능의 제어를 포함할 수 있다. 이러한 제어는, 사용자가 여기서 설명되는 시스템들 및 로봇과 연관된 소프트웨어 코드에 의해 실행되기 위한 지시들을 입력하는 것을 허용하기 위해, 한정되지 않는 예를 통해, 컨트롤러, 키보드, 마우스, 터치 스크린, 등과 같은, 하나 또는 그 이상의 사용자 인터페이스들을 이용하는 수동 제어를 포함할 수 있다. 추가적으로, 당업자에게 잘 알려진 바와 같이, 시스템 제어는 또한 완전히 자동화될 수 있고 수동적인 사용자 상호작용만이 언급된 기능을 "셋업" 및 프로그램하기 위해 발생한다. 즉, 사용자는 여기서 설명되는 미리 결정된 이동들 및 작업 시퀀스들을 실행시키기 위해 단지 초기에 컴퓨팅 코드를 프로그램 또는 업로드할 수 있다. 수동의 또는 자동화된 실시예 중 하나에 있어서, 또는 이들의 조합에 있어서, 제어는 예를 들어 웨이퍼들, 베어링 암, 분기 부분 등의 알려진 위치들을 연계시키기 위해 프로그램될 수 있다.
도 16에는 로봇 제어를 프로그램하기 위한 것을 포함해서, 여기서 설명되는 실시예들에 작동가능하게 채용될 수 있고, 또한 여기서 설명되는 프로세싱 및 로직을 이에 따라 수행할 수 있는 컴퓨터 프로세싱 시스템(1400)의 예시적인 실시예가 도시되어 있다. 즉, 예시적인 컴퓨팅 시스템(1400)은 여기서 설명되는 시스템들 및 방법들에 따라 사용될 수 있는 시스템의 단지 하나의 예에 불과하다.
컴퓨팅 시스템(1400)은 운영 시스템(OS) 및 하나 또는 그 이상의 컴퓨팅 어플리케이션들(1490)과 같은, 소프트웨어를 실행시킬 수 있다. 이와 같이 소프트웨어는 입력들/출력들(I/O)을 통해, 상기 어플리케이션들(1490)을 이용해, 하드웨어를 작동 및/또는 감시하기에 적절할 수 있다.
예시적인 컴퓨팅 시스템(1400)의 작동은, 하드 디스크 드라이브(HDD)(1415), CD 또는 DVD와 같은 광 디스크(미도시), USB "썸 드라이브(thumb drive)"와 같은 고체 상태 드라이브(미도시), 등과 같은, 컴퓨터로 판독가능한 저장 매체에 저장된 지시들과 같은 컴퓨터 판독가능한 지시들에 의해 주로 제어된다. 이러한 지시들은 컴퓨팅 시스템(1400)이 개시된 작동들을 수행하도록 하기 위해 중앙 프로세싱 유닛(CPU)(1410) 내에서 실행될 수 있다. 많은 알려진 컴퓨터 서버들, 워크스테이션들, PLC들, 개인용 컴퓨터들, 휴대용 장치들, 등에 있어서, CPU(1410)는 프로세서로 지칭되는 집적 회로에 구현된다.
여기에 개시된 실시예들과 연결되어 설명되는 다양한 예시적인 로직들, 로직 블록들, 모듈들, 및 엔진들은, 각각 CPU(1410)로 기능하는, 범용 CPU, 디지털 신호 프로세서(DSP), ASIC(application specific integrated circuit), FPGA(field programmable gate array) 또는 다른 프로그램가능한 로직 장치, 개별 게이트 또는 트랜지스터 로직, 개별 하드웨어 부품들, 또는 이들의 조합으로 구현 또는 수행될 수 있다. 범용 프로세서는 마이크로프로세서일 수 있지만, 또는 대안적으로, 프로세서는 종래의 프로세서, 컨트롤러, 마이크로컨트롤러, 또는 상태 머신일 수 있다. 프로세서는 또한 컴퓨팅 장치들의 조합으로, 예를 들어 DSP와 마이크로프로세서의 조합, 복수의 마이크로프로세서들, DSP 코어와 함께 하나 또는 그 이상의 마이크로프로세서들, 또는 다른 이러한 구성과 같이, 구현될 수 있다.
컴퓨팅 시스템(1400)은 복수의 CPU들(1410)을 포함할 수 있기 때문에, 예시적인 컴퓨팅 시스템(1400)이 하나의 CPU(1410)를 포함하는 것으로 도시되어 있지만, 이러한 설명은 단지 예시적인 것에 불과함이 이해되어야 한다. 추가적으로, 컴퓨팅 시스템(1400)은, 예를 들어 로컬 또는 원격 통신 네트워크(1470) 또는 다른 데이터 통신 수단들을 통해, 원격의 또는 병렬 CPU들(미도시)의 자원들을 이용할 수 있다.
작동에 있어서, CPU(1410)는 HDD(1415)와 같은, 컴퓨터 판독가능한 저장 매체로부터 지시들을 가져와서 디코딩하고 실행한다. 이러한 지시들은 운영 시스템(OS), 실행 프로그램들/어플리케이션들, 등과 같은, 소프트웨어에 포함되어 있을 수 있다. 컴퓨터 지시들 및 다른 컴퓨터 판독가능한 데이터와 같은, 정보는 시스템의 주요 데이터-전달 경로를 통해 컴퓨팅 시스템(1400)의 부품들 사이에서 이송된다. 주요 데이터-전달 경로는 직렬 통신 경로들을 통해 장치들 사이에서 데이터를 통신하기 위한 크로스바 스위치들 및 직렬 변환기들(serializers) 및 직병렬 변환기들(deserializers)을 이용하는 아키텍쳐와 같은, 다른 컴퓨터 아키텍쳐들(미도시)이 이용될 수 있지만, 시스템 버스 아키텍쳐(1405)를 이용할 수 있다.
시스템 버스(1405)는 데이터를 전송하기 위한 데이터 라인들, 어드레스들을 전송하기 위한 어드레스 라인들, 및 인터럽트들을 전송하고 시스템 버스를 작동시키기 위한 제어 라인들을 포함할 수 있다. 일부의 버스들은 확장 카드들, 컨트롤러들, 및 CPU(1410)에 의한 버스에의 접근을 조절하는 버스 중재를 제공한다. 버스들에의 부착 및 버스에의 접근을 중재하는 장치들은 버스 마스터들로 지칭된다. 버스 마스터 지지부는 또한 버스들의 멀티프로세서 구성들이 버스 마스터 어댑터들을 포함하는 프로세스들 및 지지 칩들의 추가에 의해 생성되도록 허용한다.
시스템 버스(1405)에 결합된 메모리 장치들은 RAM(random access memory)(1425) 및 ROM(read only memory)(1430)을 포함할 수 있다. 이러한 메모리들은 정보가 저장 및 검색되도록 허용하는 회로부를 포함한다. ROM들(1430)은 일반적으로 변형될 수 없는 저장된 데이터를 포함한다. RAM(1425)에 저장된 데이터는 일반적으로 CPU(1410) 또는 다른 통신가능한 하드웨어 장치들에 의해 판독 또는 변경될 수 있다. RAM(1425) 및/또는 ROM(1430)에의 접근은 메모리 컨트롤러(1420)에 의해 제어될 수 있다. 메모리 컨트롤러(1420)는 지시들이 실행될 때 가상 어드레스들을 물리적 어드레스들로 변역하는 어드레스 번역 기능을 제공할 수 있다. 메모리 컨트롤러(1420)는 또한 시스템 내에서 프로세스들을 분리시키고 사용자 프로세스들로부터 시스템 프로세스들을 분리시키는 메모리 보호 기능을 제공할 수 있다. 이로써, 사용자 모드에서 실행되는 프로그램은 보통 그 자체의 프로세스 가상 어드레스 공간에 의해 매핑되는 메모리에만 접근할 수 있고; 프로세스들 간에 메모리 공유가 셋업되지 않는 한 다른 프로세스의 가상 어드레스 공간 내의 메모리에는 접근할 수 없다.
여기서 개시된 측면들과 관련되어 설명되는 단계들 및/또는 행위들은 필수 실행 지시들을 획득하기 위해 메모리 컨트롤러(1420)와 통신하는, 하드웨어에, 프로세서에 의해 수행되는 소프트웨어 모듈에, 또는 이 둘의 조합으로 직접 구현될 수 있다. 즉, 기능들을 수행하고 여기서 설명되는 지시들을 제공하기 위한, 설명되는 소프트웨어 모듈들은 RAM 메모리, 플래쉬 메모리, ROM 메모리, EPROM 메모리, EEPROM 메모리, 레지스터들, 하드 디스크, 제거가능한 디스크, CD-ROM, 또는 업계에 알려진 다른 형식의 저장 매체에 포함될 수 있다. 하나 또는 그 이상의 이러한 예시적인 저장 매체는 프로세서(1410)에 결합될 수 있어, 프로세서는 그 저장 매체로부터 정보를 읽고, 그 저장 매체에 정보를 기록할 수 있다. 또는, 저장 매체는 프로세서에 통합되어 있을 수 있다. 나아가, 몇몇의 측면들에 있어서, 프로세서 및 저장 매체는 ASIC에 포함될 수 있다. 추가적으로, 몇몇의 측면들에 있어서, 단계들 및/또는 행위들은 "플래쉬" 드라이브와 같은, I/O 포트(들)을 통해 통합될 수 있는, 외부의 기계 판독가능한 매체 및/또는 컴퓨터 판독가능한 매체 상의 지시들 중 하나 또는 어떠한 조합 또는 집합으로 존재할 수 있다.
이에 더하여, 컴퓨팅 시스템(400)은 COU(1410)로부터 프린터(1440), 키보드(1445), 및 마우스(1450)와 같은, 주변 장치들 및 다른 하드웨어로 주변 버스를 이용해 지시들을 통신하는 데 책임이 있는 주변 컨트롤러(1435)를 포함할 수 있다. 주변 버스의 예는 PCI(Peripheral Component Interconnect) 버스이다.
하나 또는 그 이상의 하드웨어 입/출력(I/O) 장치들(1485)은 하드웨어 컨트롤러(1490)와 통신할 수 있다. 이 하드웨어 통신 및 제어는 다양한 방식으로 구현될 수 있고 하나 또는 그 이상의 컴퓨터 버스들 및/또는 브리지들 및/또는 라우터들을 포함할 수 있다. 제어되는 I/O 장치들은 어떠한 종류의 포트에 기초하는 하드웨어라도 포함할 수 있고(그리고 추가적으로 소프트웨어, 펌웨어, 등을 포함할 수 있고), 또한 이로부터 컴퓨터 시스템(1400)이 여기에 개시된 목적들을 위해 데이터를 전달 및 수신할 수 있는 대용량 저장 장치들 및/또는 네트워크 어댑터들을 포함할 수 있다. 컴퓨터 시스템(1400)은 이로써 I/O 장치들(1485)을 통해 및/또는 통신 네트워크(1470)를 통해 인터넷 또는 다른 네트워크 장치들/PLC들과 통신할 수 있다.
디스플레이(1460)는, 디스플레이 컨트롤러(1455)에 의해 제어되는데, 선택적으로 컴퓨팅 시스템(1400)에 의해 생성되는 시각적 출력을 디스플레이하는 데 사용될 수 있다. 디스플레이 컨트롤러(1455)는 또한 디스플레이를 제어하거나, 그렇지 않다면 통신할 수 있다. 시각적 출력은 예를 들어 문자, 그래픽, 움직이는 그래픽들, 및/또는 비디오를 포함할 수 있다. 디스플레이(1460)는 CRT에 기초한 비디오 디스플레이, LCD에 기초한 디스플레이, 가스 플라스마에 기초한 디스플레이, 터치-패널, 등으로 구현될 수 있다. 디스플레이 컨트롤러(1455)는 디스플레이를 위해 전달되는 비디오 신호를 생성하는 데 필요한 전자 부품들을 포함한다.
나아가, 컴퓨팅 시스템(1400)은 컴퓨팅 시스템(1400)을 외부 통신 네트워크(1470)에 결합하는 데 사용될 수 있는 네트워크 어댑터(1465)를 포함할 수 있는데, 이것은 인터넷에의 접근을 포함하거나 제공할 수 있고, 이로써 여기서 설명되는 프로세스 데이터의 추적 및 접근을 제공하거나 포함할 수 있다. 통신 네트워크(1470)는 통신 및 전송 소프트웨어 및 정보를 이용하여 전자적으로 컴퓨팅 시스템(1400)에의 접근을 제공할 수 있고, 또한 예를 들어 PSTN 또는 이동통신 네트워크(1480)을 이용하여, 컴퓨팅 시스템(1400)에 직접적으로, 또는 컴퓨팅 시스템(1400)에 간접적으로 결합될 수 있다. 추가적으로, 통신 네트워크(1470)는 분산 프로세싱을 위해 제공될 수 있는데, 이것은 수 개의 컴퓨터들 및 태스크를 수행하는 데 있어서 협력 효과들 또는 작업부하의 공유를 포함한다. 도시된 네트워크 연결들은 예시적이고 또한 복수의 컴퓨팅 시스템들(1400) 사이에 통신 링크들을 설립하는 다른 수단이 사용될 수 있음이 이해되어야 한다.
예시적인 컴퓨팅 시스템(1400)은 단지 여기서 설명되는 시스템들 및 방법들이 작동할 수 있는 컴퓨팅 환경의 예시이고 이로써 상이한 부품들 및 구성들을 가지는 컴퓨팅 환경들에 있어서 여기서 설명되는 시스템들 및 방법들의 구현을 한정하지 않음이 이해되어야 한다. 즉, 여기서 설명되는 개념들은 다양한 부품들 및 구성들을 이용하는 다양한 컴퓨팅 환경들에서 구현될 수 있다.
나아가, 본 개시의 상세한 설명들은 당업자로 하여금 개시된 실시예들을 생성 또는 이용하는 것을 가능하게 하기 위해 제공된다. 본 개시에 대한 다양한 변형들은 당업자에게 명백할 것이고, 여기서 정의되는 포괄적인 원리들은 본 개시의 사상 또는 범위로부터 벗어나지 않으면서 다른 변형들에 적용될 수 있다. 이로써, 본 개시는 여기서 설명되는 디자인들 및 예들로 한정하고자 하는 것은 아니지만, 여기서 개시된 신규한 특징들 및 원리들에 합치되는 가장 넓은 범위에 일치된다.

Claims (20)

  1. 다양한 크기들의 반도체 웨이퍼들을 수용할 수 있는 엔드 이펙터에 있어서,
    웨이퍼 지지부;
    적어도 하나의 로봇 요소와 인터페이싱할 수 있고, 또한 그 일 단에서 상기 웨이퍼 지지부를 적어도 부분적으로 지지하는 베어링 암;
    상기 반도체 웨이퍼들 중 하나와 물리적으로 인터페이싱하기 위한 상기 웨이퍼 지지부 상의 복수의 지지 패드들; 및
    상기 베어링 암에 의해 적어도 부분적으로 제공되는 평면을 따라 양방향적으로 구동되는 저마찰 이동 클램프를 포함하고, 이때 상기 저마찰 이동 클램프는 상기 복수의 지지 패드들과 상기 반도체 웨이퍼의 물리적 인터페이싱을 위해 상기 반도체 웨이퍼의 근위 모서리에 힘을 후퇴가능하게 적용하는, 엔드 이펙터.
  2. 제 1 항에 있어서, 상기 웨이퍼 지지부는 분기(fork)를 포함하는, 엔드 이펙터.
  3. 제 1 항에 있어서, 상기 다양한 크기들은 200 mm 및 300 mm를 포함하는, 엔드 이펙터.
  4. 제 1 항에 있어서, 상기 양방향적 구동은 적어도 이동 클램프 모터를 포함하는, 엔드 이펙터.
  5. 제 4 항에 있어서, 상기 이동 클램프 모터에 결합되는 저마찰 진공 실린더를 더 포함하는, 엔드 이펙터.
  6. 제 5 항에 있어서, 상기 진공 실린더는 흑연 피스톤을 가지는 무봉 유리관으로 구성되는, 엔드 이펙터.
  7. 제 4 항에 있어서, 상기 양방향 구동에 의한 상기 저마찰 이동 클램프의 활성화 후 상기 저마찰 이동 클램프의 후퇴를 정지시키는 적어도 하나의 후퇴 정지단을 더 포함하는, 엔드 이펙터.
  8. 제 7 항에 있어서, 상기 적어도 하나의 후퇴 정지단은 진공으로 작동되는, 엔드 이펙터.
  9. 제 7 항에 있어서, 상기 적어도 하나의 후퇴 정지단은 버튼 정지단을 포함하는, 엔드 이펙터.
  10. 제 4 항에 있어서, 상기 양방향 구동에 의한 활성화시 상기 저마찰 이동 클램프의 이동을 정지시키는 적어도 하나의 이동 정지단을 더 포함하는, 엔드 이펙터.
  11. 제 1 항에 있어서, 상기 저마찰 이동 클램프는 상기 힘을 적용하기 위한 직사각 타격면(rectangular strike face)을 포함하는, 엔드 이펙터.
  12. 제 1 항에 있어서, 상기 저마찰 이동 클램프는 상기 힘을 적용하기 위한 각 타격면(angular strike face)을 포함하는, 엔드 이펙터.
  13. 제 12 항에 있어서, 상기 각 타격면은 실질적으로 중심 피봇점 주위를 피봇회전하는, 엔드 이펙터.
  14. 제 13 항에 있어서, 상기 저마찰 이동 클램프는 타격력을 실질적으로 전할 수 있는 2 개의 경사 롤러들을 더 포함하는, 엔드 이펙터.
  15. 제 1 항에 있어서, 상기 복수의 지지 패드들은 적어도 4 개의 지지 패드들을 포함하고, 이때 상기 지지 패드들 중 적어도 2 개는 상기 베어링 암에 근접하고, 이때 상기 지지 패드들 중 적어도 나머지 2 개는 상기 베어링 암에서 멀리 떨어져 있는, 엔드 이펙터.
  16. 제 15 항에 있어서, 상기 적어도 2 개의 말단 지지 패드들 각각은 상기 반도체 웨이퍼의 중심축과 관련하여 경사진 중심축을 가지는 롤러 부분 및 경사로 부분(ramped portion)을 포함하는, 엔드 이펙터.
  17. 제 15 항에 있어서, 상기 적어도 2 개의 근위 지지 패드들은 경사로 부분을 포함하는, 엔드 이펙터.
  18. 제 15 항에 있어서, 적어도 상기 근위 지지 패드들 또는 상기 말단 지지 패드들은 융기된 마루 부분을 포함하는, 엔드 이펙터.
  19. 제 1 항에 있어서, 상기 웨이퍼 지지부는 상기 반도체 웨이퍼를 잡기 위한 적어도 하나의 진공 아일렛을 더 포함하는, 엔드 이펙터.
  20. 제 1 항에 있어서, 상기 웨이퍼 지지부는 광섬유 웨이퍼 존재 센서를 더 포함하는, 엔드 이펙터.
KR1020170165948A 2016-12-06 2017-12-05 엔드 이펙터를 제공하기 위한 장치, 시스템 및 방법 KR102500546B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/370,125 US9919430B1 (en) 2016-12-06 2016-12-06 Apparatus, system and method for providing an end effector
US15/370,125 2016-12-06

Publications (2)

Publication Number Publication Date
KR20180064996A true KR20180064996A (ko) 2018-06-15
KR102500546B1 KR102500546B1 (ko) 2023-02-15

Family

ID=60629488

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170165948A KR102500546B1 (ko) 2016-12-06 2017-12-05 엔드 이펙터를 제공하기 위한 장치, 시스템 및 방법

Country Status (6)

Country Link
US (5) US9919430B1 (ko)
EP (1) EP3333886B1 (ko)
JP (2) JP7301260B2 (ko)
KR (1) KR102500546B1 (ko)
CN (2) CN116936449A (ko)
TW (2) TWI776551B (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9919430B1 (en) * 2016-12-06 2018-03-20 Jabil Inc. Apparatus, system and method for providing an end effector
US9975255B1 (en) * 2016-12-15 2018-05-22 Jabil Inc. Apparatus, system and method for providing a conformable vacuum cup for an end effector
US10553472B2 (en) * 2018-06-22 2020-02-04 Jabil Inc. Apparatus, system and method for providing a bernoulli-based semiconductor wafer pre-aligner
CN109037109A (zh) * 2018-08-03 2018-12-18 德淮半导体有限公司 一种半导体设备及清洗晶圆的方法
KR102204884B1 (ko) * 2018-09-27 2021-01-19 세메스 주식회사 기판 반송 로봇 및 기판 처리 설비
US20200161161A1 (en) * 2018-10-30 2020-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and methods for handling semiconductor part carriers
CN111319047A (zh) * 2018-12-13 2020-06-23 上海新昇半导体科技有限公司 一种晶圆夹持机械手臂组件
EP3898192B1 (en) * 2018-12-19 2023-11-01 Jabil Inc. System for kinematic-based heating of an additive manufacturing print filament
CN111376284A (zh) * 2018-12-29 2020-07-07 江苏鲁汶仪器有限公司 机械手及其机械手指
JP7299808B2 (ja) * 2019-09-19 2023-06-28 川崎重工業株式会社 傾き調整装置、及びそれを備えるロボット
JP7454385B2 (ja) * 2020-01-23 2024-03-22 浜松ホトニクス株式会社 ウェハ搬送ユニット及びウェハ搬送方法
WO2021167584A1 (en) * 2020-02-17 2021-08-26 Jabil Inc. Apparatus, system and method for providing self extracting grips for an end effector
CN111660309A (zh) * 2020-06-05 2020-09-15 中国科学院微电子研究所 一种用于转移晶圆的机器臂
US20220063113A1 (en) * 2020-08-26 2022-03-03 WaferPath, Inc. Protective cap for a robot end effector
US11862507B2 (en) * 2020-11-25 2024-01-02 Kawasaki Jukogyo Kabushiki Kaisha Robot system, and slip determination method
CN115847464A (zh) * 2022-11-30 2023-03-28 西安奕斯伟材料科技有限公司 一种机械手及机械手夹取状态检测方法
CN116682781A (zh) * 2023-07-04 2023-09-01 上海广川科技有限公司 一种用于半导体晶圆运输系统的智能化末端执行器结构

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0715285U (ja) * 1993-08-12 1995-03-14 信越化学工業株式会社 円盤またはドーナツ盤の把握治具
JP2003258076A (ja) * 2002-03-05 2003-09-12 Tokyo Electron Ltd 搬送装置
JP2004063668A (ja) * 2002-07-26 2004-02-26 Juki Corp 基板搬送装置
US20050017529A1 (en) * 2003-07-21 2005-01-27 Rogers Theodore W. Active edge gripping end effector
JP2006332460A (ja) * 2005-05-27 2006-12-07 Hitachi High-Tech Control Systems Corp ウェーハの搬送装置
JP2011199229A (ja) * 2010-03-24 2011-10-06 Yaskawa Electric Corp ウエハ保持装置

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4927765A (en) * 1988-02-29 1990-05-22 Pharmacia Eni Diagnostics, Inc. Automatic reagent dispenser
US5061144A (en) * 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
US6313469B1 (en) * 1998-03-13 2001-11-06 Ebara Corporation Substrate handling apparatus and ion implantation apparatus
US6256555B1 (en) * 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
AU2041000A (en) * 1998-12-02 2000-06-19 Kensington Laboratories, Inc. Specimen holding robotic arm end effector
US6454332B1 (en) * 1998-12-04 2002-09-24 Applied Materials, Inc. Apparatus and methods for handling a substrate
WO2000066480A2 (en) * 1999-05-04 2000-11-09 Ade Corporation Edge gripping end effector wafer handling apparatus
US20020071756A1 (en) * 2000-12-13 2002-06-13 Gonzalez Jose R. Dual wafer edge gripping end effector and method therefor
JP2002264065A (ja) * 2001-03-13 2002-09-18 Yaskawa Electric Corp ウエハ搬送ロボット
JP3920587B2 (ja) * 2001-04-16 2007-05-30 東京エレクトロン株式会社 基板搬送手段のティーチング方法
US7334826B2 (en) * 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
JP2003225884A (ja) * 2002-01-31 2003-08-12 Denso Wave Inc ロボット
US6769861B2 (en) * 2002-10-08 2004-08-03 Brooks Automation Inc. Apparatus for alignment and orientation of a wafer for processing
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US7290813B2 (en) * 2004-12-16 2007-11-06 Asyst Technologies, Inc. Active edge grip rest pad
US20060157998A1 (en) * 2005-01-18 2006-07-20 Elik Gershenzon Contamination-free edge gripping mechanism and method for loading/unloading and transferring flat objects
US7611182B2 (en) * 2005-02-25 2009-11-03 Semes Co., Ltd. Wafer transfer apparatus
JP4959427B2 (ja) 2007-06-05 2012-06-20 日本電産サンキョー株式会社 産業用ロボット
US20090092470A1 (en) * 2007-10-03 2009-04-09 Bonora Anthony C End effector with sensing capabilities
DE112009000297B4 (de) * 2008-02-06 2024-07-04 Ulvac, Inc. Roboterhand zum Substrat-Transfer
JP5226443B2 (ja) 2008-09-22 2013-07-03 株式会社ウインズ 半導体ウエーハ搬送用ハンド
JP5313094B2 (ja) * 2009-09-15 2013-10-09 本田技研工業株式会社 流体圧伝達装置及びロボットハンド装置
JP2013006222A (ja) * 2009-10-14 2013-01-10 Rorze Corp 薄板状物の把持装置、および薄板状物の把持方法
JP5491834B2 (ja) * 2009-12-01 2014-05-14 川崎重工業株式会社 エッジグリップ装置、及びそれを備えるロボット。
CN202678300U (zh) * 2011-06-28 2013-01-16 清华大学 一种利用弹簧夹子的晶圆夹持装置
JP5549655B2 (ja) * 2011-09-26 2014-07-16 株式会社安川電機 ハンドおよびロボット
JP2014086472A (ja) 2012-10-19 2014-05-12 Sinfonia Technology Co Ltd クランプ装置及びワーク搬送ロボット
JP2015079820A (ja) * 2013-10-16 2015-04-23 株式会社東京精密 基板搬送装置
US9919430B1 (en) * 2016-12-06 2018-03-20 Jabil Inc. Apparatus, system and method for providing an end effector

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0715285U (ja) * 1993-08-12 1995-03-14 信越化学工業株式会社 円盤またはドーナツ盤の把握治具
JP2003258076A (ja) * 2002-03-05 2003-09-12 Tokyo Electron Ltd 搬送装置
JP2004063668A (ja) * 2002-07-26 2004-02-26 Juki Corp 基板搬送装置
US20050017529A1 (en) * 2003-07-21 2005-01-27 Rogers Theodore W. Active edge gripping end effector
JP2006332460A (ja) * 2005-05-27 2006-12-07 Hitachi High-Tech Control Systems Corp ウェーハの搬送装置
JP2011199229A (ja) * 2010-03-24 2011-10-06 Yaskawa Electric Corp ウエハ保持装置

Also Published As

Publication number Publication date
KR102500546B1 (ko) 2023-02-15
JP2018111200A (ja) 2018-07-19
TW201826440A (zh) 2018-07-16
US11602859B2 (en) 2023-03-14
CN116936449A (zh) 2023-10-24
TW202143381A (zh) 2021-11-16
JP2023092532A (ja) 2023-07-03
CN108155143B (zh) 2023-08-01
EP3333886B1 (en) 2023-06-07
US11059183B2 (en) 2021-07-13
US20220143843A1 (en) 2022-05-12
JP7301260B2 (ja) 2023-07-03
US10576639B2 (en) 2020-03-03
US20230278235A1 (en) 2023-09-07
EP3333886A1 (en) 2018-06-13
US9919430B1 (en) 2018-03-20
US20180161989A1 (en) 2018-06-14
CN108155143A (zh) 2018-06-12
US20200306990A1 (en) 2020-10-01
TWI732975B (zh) 2021-07-11
TWI776551B (zh) 2022-09-01

Similar Documents

Publication Publication Date Title
KR20180064996A (ko) 엔드 이펙터를 제공하기 위한 장치, 시스템 및 방법
EP3588543B1 (en) Pre-aligner
TWI636858B (zh) Substrate transfer robot and substrate processing system
TWI617403B (zh) Substrate transfer robot and substrate processing system
US8744617B2 (en) Component pane handler configured to handle component panes of multiple sizes
US20100222918A1 (en) Transfer device and transfer method
US9111976B2 (en) Transfer system
US7011484B2 (en) End effector with tapered fingertips
WO2014110944A1 (zh) 机械手和半导体设备
US6558562B2 (en) Work piece wand and method for processing work pieces using a work piece handling wand
KR102160231B1 (ko) 다중 기판 이송로봇
JP2023088620A (ja) 産業用ロボットおよび産業用ロボットの制御方法
US9431282B2 (en) Wafer inversion mechanism
JP2020088071A (ja) ウエハ受け渡し装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant