TWI767992B - 半導體裝置和其製造方法 - Google Patents

半導體裝置和其製造方法 Download PDF

Info

Publication number
TWI767992B
TWI767992B TW107104741A TW107104741A TWI767992B TW I767992 B TWI767992 B TW I767992B TW 107104741 A TW107104741 A TW 107104741A TW 107104741 A TW107104741 A TW 107104741A TW I767992 B TWI767992 B TW I767992B
Authority
TW
Taiwan
Prior art keywords
encapsulation
semiconductor die
underlying
metal layer
redistribution structure
Prior art date
Application number
TW107104741A
Other languages
English (en)
Other versions
TW201924008A (zh
Inventor
納都漢
朴松森
金德宮
Original Assignee
美商艾馬克科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商艾馬克科技公司 filed Critical 美商艾馬克科技公司
Publication of TW201924008A publication Critical patent/TW201924008A/zh
Application granted granted Critical
Publication of TWI767992B publication Critical patent/TWI767992B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13026Disposition relative to the bonding area, e.g. bond pad, of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/182Disposition

Abstract

半導體裝置,其包括:第一半導體晶粒;第一囊封物,其圍繞第一半導體晶粒;以及第一重新分佈結構,其被形成在第一半導體晶粒和第一囊封物上。半導體裝置進一步包括:第二半導體晶粒;第二囊封物,其圍繞第二半導體晶粒;以及第二重新分佈結構,其被形成在第二半導體晶粒和第二囊封物上。半導體裝置亦包括:傳導通孔,其將第一重新分佈結構電性連接至第二重新分佈結構。

Description

半導體裝置和其製造方法
本發明揭示的各種態樣是有關於半導體裝置和其製造方法。
半導體封裝保護積體電路或晶片免於受到物理性損壞和外部應力所影響。此外,半導體封裝可以提供熱傳導路徑以有效去除晶片中所產生的熱,並且舉例而言還提供到其他構件的電連接(諸如印刷電路板)。用於半導體封裝的材料通常包括陶瓷或塑料,並且形狀因素已經從陶瓷扁平裝配和雙列直插封裝發展到接腳柵格陣列和無接腳晶片載體封裝等等。
通過將這樣的系統與如本申請案的其餘部分中參照附圖所闡述的本揭示的一些態樣進行比較,習知和傳統方法的其他限制和缺點對於本領域技術人士而言將變得顯而易見。
本發明的態樣提供一種半導體裝置,其包括:第一半導體晶粒,其第一表面、相對於所述第一半導體晶粒的所述第一表面的第二表面以及形成在所述第一半導體晶粒的所述第一表面的第一接合墊;第一囊封物,其圍繞所述第一半導體晶粒並且包括相鄰所述第一半導體晶粒的所述第一表面的第一表面;第一重新分佈結構,其形成在所述第一半導體晶粒的所述第一表面和所述第一囊封物的所述第一表面上;第二半導體晶粒,其包括第一表面、相對於所述第二半導體晶粒的所述第一表面的第二表面以及形成在所述第二半導體晶粒 的所述第一表面的第二接合墊;第二囊封物,其圍繞所述第二半導體晶粒並且包括相鄰所述第二半導體晶粒的所述第一表面的第一表面;第二重新分佈結構,其形成在所述第二半導體晶粒的所述第一表面和所述第二囊封物的所述第一表面上;以及傳導通孔,其延伸穿過所述第一囊封物和所述第二囊封物以將所述第一重新分佈結構電性連接至所述第二重新分佈結構。
本發明的另一態樣提供一種半導體裝置,其包括:第一半導體晶粒,其包括接合墊;第一囊封物,其圍繞所述第一半導體晶粒並且暴露所述第一半導體晶粒的所述接合墊;第一重新分佈結構,其形成在所述第一半導體晶粒和所述第一囊封物上並且被電性連接至所述第一半導體晶粒的所述接合墊;第二半導體晶粒,其包括接合墊;第二囊封物,其圍繞所述第二半導體晶粒並且暴露所述第二半導體晶粒的所述接合墊;第二重新分佈結構,其形成在所述第二半導體晶粒和所述第二囊封物上並且被電性連接至所述第二半導體晶粒的所述接合墊;以及傳導通孔,其將所述第一重新分佈結構電性連接至所述第二重新分佈結構。
本發明的又另一態樣提供一種半導體裝置,其包括:第一半導體晶粒;第一囊封物,其圍繞所述第一半導體晶粒;第一重新分佈結構,其形成在所述第一半導體晶粒和所述第一囊封物上;第二半導體晶粒;第二囊封物,其圍繞所述第二半導體晶粒;第二重新分佈結構,其形成在所述第二半導體晶粒和所述第二囊封物上;以及傳導通孔,其將所述第一重新分佈結構電性連接至所述第二重新分佈結構。
100‧‧‧半導體裝置
110‧‧‧第一半導體晶粒
111‧‧‧第一表面
112‧‧‧第二表面
113‧‧‧第三表面
114‧‧‧接合墊
120‧‧‧第一囊封物
120A‧‧‧第一囊封物
121‧‧‧第一表面
122‧‧‧第二表面
123‧‧‧第三表面
130‧‧‧第一重新分佈結構
131‧‧‧金屬層
132‧‧‧介電層
133‧‧‧傳導貫孔
134‧‧‧開口
140‧‧‧第二半導體晶粒
141‧‧‧第一表面
142‧‧‧第二表面
143‧‧‧第三表面
144‧‧‧接合墊
150‧‧‧第二囊封物
150A‧‧‧第二囊封物
151‧‧‧第一表面
152‧‧‧第二表面
153‧‧‧第三表面
160‧‧‧第二重新分佈結構
161‧‧‧金屬層
162‧‧‧介電層
170‧‧‧傳導通孔
180‧‧‧黏合層
190‧‧‧外部互連結構
199‧‧‧鋸切工具
210‧‧‧第一載體
211‧‧‧第一臨時黏合層
220‧‧‧第二載體
221‧‧‧第二臨時黏合層
300‧‧‧半導體裝置
380‧‧‧黏合層
400‧‧‧半導體裝置
410‧‧‧囊封物
所附圖式和詳細描述使用相同的元件符號表示相同和/或相似的元件。
圖1是例示根據本揭示的範例實施例的半導體裝置的橫截面圖。
圖2是根據圖1的範例實施例的半導體裝置的製造方法的流程圖。
圖3A至圖3J是例示根據圖1的範例實施例的半導體裝置的製造方法的橫截面圖。
圖4是根據本揭示的另一範例實施例的半導體裝置的橫截面圖。
圖5A至圖5C是例示根據圖4的範例實施例的半導體裝置的製造方法的橫截面圖。
圖6是根據本揭示的又另一範例實施例的半導體裝置的橫截面圖。
圖7例示圖6的半導體裝置的製造方法的橫截面圖。
本揭示的各種態樣可以用許多不同的形式來實施,並且不應該被解釋為限於在此處闡述的範例實施例。而是,本揭示的這些範例實施例被加以提供,以使得本揭示將是徹底和完整的,並將向本領域技術人士傳達本揭示的各種態樣。
在附圖中,為了清楚起見,疊層和區域的厚度被加以放大。在此處,相同的附圖標記在本文中指代相同的元件。如在此處所使用的,術語“和/或”包括一個或多個相關所列項目的任何和所有組合。亦將理解的是,當元件A被稱為“連接到”元件B時,元件A可以直接連接到元件B或者可以存在中間元件C並且元件A和元件B間接地彼此連接。
本文中所使用的術語僅出於描述特定實例的目的,且並不希望限制本揭示。如本文中所使用的,除非上下文另有清晰指示,否則單數形式也意欲包含複數形式。將進一步理解的是,術語“包括”和/或“包含”當在本說明書中使用時,指定所陳述特徵、整體、步驟、操作、元件和/或構件的存在,但是不排除一或多個其它特徵、整體、步驟、操作、元件、構件和/或其之群組的存在 或添加。
將理解的是,雖然本文中可使用術語第一、第二等來描述各種部件、元件、區域、層和/或區段,但是這些部件、元件、區域、層和/或區段不應受這些術語所限制。這些術語僅用於將一個部件、元件、區域、層和/或區段與另一者區分開。因此,舉例而言,在不脫離本發明教示的情況下,下面討論的第一部件、第一元件、第一區域、第一層和/或第一區段可被稱為第二部件、第二元件、第二區域、第二層和/或第二區段。
為便於描述,在此處使用諸如“在...之下”,“在...下方”,“下方”,“在...之上”,“上方”等等的空間相對術語來描述一個元件或特徵與另一個(多個)元件或特徵的關係(如圖所示)。將理解的是,空間相關術語旨在包括除了所附圖示中所繪的指向之外的使用或操作中的裝置的不同取向。舉例而言,如果附圖中的裝置被翻轉,則被描述為在其他元件或特徵“下方”或“之下”的元件將被定向為在其他元件或特徵“之上”。因此,範例性術語“在...下方”可以涵蓋上方和下方的方位。該裝置可以用其他方式定向(旋轉90度或在其他方向)並且因而可解釋在此處所使用的空間相對描述。
此外,術語“共平面”和類似的術語在此處使用以表示位於同一平面內的兩個表面。共平面的表面可彼此相鄰或鄰接;然而不相鄰和/或不鄰接的表面也可以是共平面的。舉例而言,可以在共平面的表面之間插入間隙、空隙和/或其它結構。再者,由於製造公差、熱膨脹等等,共平面中可能存在些微的偏差。這種偏差會導致一個表面比另一個表面略高,從而在表面之間形成階梯差(step-off)(例如,上升或下降)。如在此處所使用的,術語“共平面”包括具有範圍在0和7微米之間的有階梯差的表面。
本揭示的各種實施例提供了一種半導體裝置及其製造方法,其可以通過晶圓級製程實現包括感測器的三維(3D)系統封裝。
本揭示的各種實施例亦提供了一種半導體裝置及其製造方法,其可以實現包括感測器的非常薄的三維(3D)封裝。
本揭示的各種實施例亦提供了一種半導體裝置以及其製造方法,其可以被使用於指紋感測器、光學感測器或胎壓感測器。
根據本揭示的各種實施例,半導體裝置可包括:第一半導體晶粒,其包括第一表面、與第一表面相對的第二表面以及形成在第一表面上的第一晶粒接合墊;第一囊封物,其圍繞第一半導體晶粒並且包括與所述第一表面相鄰的第一表面;第一重新分佈結構,其形成在第一半導體晶粒的第一表面和第一囊封物的第一表面上;第二半導體晶粒,其包括第一表面、與第一表面相對的第二表面以及形成在第一表面上的第二晶粒接合墊;第二囊封物,其圍繞第二半導體晶粒並且包括與所述第一表面相鄰的第一表面;第二重新分佈結構,其形成在第二半導體晶粒的第一表面以及第二囊封物的第一表面上;以及傳導通孔,其延伸穿過第一囊封物和第二囊封物,以將第一重新分配結構和第二重新分配結構彼此電性連接。
此外,根據本揭示的各種實施例,半導體裝置可包括:第一半導體晶粒,其包括第一晶粒接合墊;第一囊封物,其暴露第一晶粒接合墊且圍繞第一半導體晶粒;第一重新分佈結構,其形成在第一半導體晶粒和第一囊封物上且被連接至第一晶粒接合墊;第二半導體晶粒,其包括第二晶粒接合墊;第二囊封物,其暴露第二晶粒接合墊且圍繞第二半導體晶粒;第二重新分佈結構,其形成在第二半導體晶粒和第二囊封物上且被連接至第二晶粒接合墊;以及傳導通孔,其將第一重新分配結構和第二重新分配結構彼此電性連接。
再者,根據本揭示的各種實施例,半導體裝置可包括:第一半導體晶粒;第一囊封物,其圍繞第一半導體晶粒;第一重新分佈結構,其形成在第一半導體晶粒和第一囊封物上;第二半導體晶粒;第二囊封物,其圍繞第二 半導體晶粒;第二重新分佈結構,其形成在第二半導體晶粒和第二囊封物;以及傳導通孔,其將第一重新分配結構和第二重新分配結構彼此電性連接。
如上面所描述的,根據本揭示的各種實施例,可提供一種半導體裝置及其製造方法,其可以通過晶圓級製程實現包括感測器的三維(3D)系統封裝。也就是說,根據本揭示的各種實施例,第一囊封物被形成且被確定為良品的第一半導體晶粒(例如,邏輯晶粒等等)被安裝在第一載體上,並且第二囊封物被形成且被確定為良品的第二半導體晶粒(例如,感測器晶粒等等)被安裝在第二載體上。接著,在第一囊封物和第二囊封物彼此黏附的狀態下,傳導通孔和重新分佈結構被加以形成。最後,個別裝置藉由鋸切製程被加以形成,從而使用晶圓級製程實現包括感測器的3D系統封裝。
此外,根據本揭示的各種實施例,可提供了一種半導體裝置及其製造方法,其可以實現包括感測器的非常薄的三維(3D)系統封裝。也就是說,第一半導體晶粒(舉例而言,邏輯晶粒等等)和第二半導體晶粒(舉例而言,感測器晶粒等等)彼此靠近以接著垂直堆疊,並且薄的重新分佈結構(而非相對厚的電路板)藉由扇出方法被形成在第一和第二半導體晶粒的表面上,從而實現包括感測器的非常薄的三維(3D)封裝。雖然各種實施例使用由扇出方法提供的薄的重新分佈結構,但是其他實施例可替代地使用預先製作的電路板。
再者,根據本揭示的各種實施例,可提供一種半導體裝置以及其製造方法,其可以被使用於指紋感測器、光學感測器或胎壓感測器。特別是,根據本揭示的各種實施例,各種感測器和處理器被整合到單一封裝中,從而減小整個系統尺寸並使功耗最小化。
參考圖1,根據本揭示的範例實施例的半導體裝置100的橫截面圖被加以示出。如圖1中所例示的,半導體裝置100可包括:一或多個第一半導體晶粒110;第一囊封物120;第一重新分佈結構130;第二半導體晶粒140;第二 囊封物150;第二重新分佈結構160;以及傳導通孔170。此外,半導體裝置100可進一步包括:黏合層180,其將第一囊封物120和第二囊封物150彼此黏合。半導體裝置100可進一步包括:多個外部互連結構190,其被連接至第一重新分佈結構130或第二重新分佈結構160。
一或多個第一半導體晶粒中的每一個可具有實質平坦的第一表面111以及與第一表面111相對的實質平坦的第二表面112。每個第一半導體晶粒10可進一步具有:實質平坦的第三表面113,其將第一表面111和第二表面112彼此連接;以及至少一接合墊114,其形成在第一表面111上。
第一表面111可進一步包括鈍化層。特別是,第一表面111可與鈍化層的表面對應。此外,第一表面111可與主動區域對應,並且第二表面112可與整合在第一半導體晶粒110中的電路的非主動區域對應。
如所示的,一或多個第一半導體晶粒110可包括多個第一半導體晶粒,其被配置以彼此水平地相隔一預定距離。因此,第一半導體晶粒110的第三表面113可被設置以彼此面對。此外,舉例而言,第一半導體晶粒110可包括從以下中選出的一或多個積體電路:邏輯電路、微控制單元、記憶體、數位信號處理器、網路處理器、電源管理單元、音頻處理器、RF電路、晶片處理器上的無線基頻系統以及特殊應用積體電路以及其等同物。
第一囊封物120可包括與第一表面111相鄰且共平面的實質平坦的第一表面121並圍繞第一半導體晶粒110。第一囊封物120可進一步包括與第一表面121相對的實質平坦的第二表面122。第一囊封物120亦可包括將第一表面121和第二表面122彼此連接的第三表面123。
第一囊封物120的第二表面122可在垂直方向上與第一半導體晶粒110的第二表面112相隔一預定距離。特別是,第一囊封物120可在與第一半導體晶粒110的第二表面112相對的實質垂直方向上具有預定厚度。
在一些實施例中,第一囊封物120可包括非傳導材料,諸如樹脂、有機樹脂、無機填充物、固化劑、催化劑、偶合劑、著色劑、阻燃劑、環氧囊封物樹脂、聚合物複合材料、具有填充物的聚合物、環氧樹脂、具有填充物的環氧丙烯酸酯(例如二氧化矽或其它無機材料)、模製化合物、矽氧樹脂和/或樹脂浸漬的B階段(B-stage)預浸物膜等等。第一囊封物120的這些特徵亦可被應用於第二囊封物150和在此處描述的任何其他囊封物。
第一重新分佈結構130可藉由扇出方法被形成在第一半導體晶粒110的第一表面111和第一囊封物120的第一表面121上。特別是,第一重新分佈結構130可包括一或多個金屬層131,其將接合墊114和傳導通孔170彼此電性連接。第一重新分佈結構130可進一步包括一或多個介電層132。在一實施例中,第一重新分佈結構130包括彼此垂直堆疊的多個金屬層131和多個介電層132,以使得介電層132被插入於金屬層131之間並且將金屬層131彼此電性隔離。第一重新分佈結構130可進一步包括多個傳導貫孔133,每一個導貫孔133皆通過各別的介電層132並且將由各別的介電層132所分開的金屬層131電性互連。
在一些實施例中,每個金屬層131和/或傳導貫孔133可以包括選自包括以下的群組中的至少一傳導材料:銅(Cu)、銅合金、鋁(Al)、鋁合金、金(Au)、金合金、鉑(Pt)、鉑合金、銀(Ag)、銀合金、鎳(Ni)、鎳合金、錫(Sn)、錫合金、鈀(Pd)、鈀合金、鉻(Cr)、鉻合金以及其等同物。此外,每個介電層132可以包括選自包括以下所組成的群組中的至少一介電材料:聚酰亞胺(PI)、苯並環丁烯(BCB)、聚苯並噁唑(PBO)、雙馬來醯亞胺(BT)、酚醛樹脂、環氧囊封物化合物、環氧囊封物樹脂或其等同物。第一重新分佈結構130的這些特徵可被同樣地應用於下面描述的第二重新分佈結構160。
第二半導體晶粒140可包括:實質平坦的第一表面141;與第一表面141相對的實質平坦的第二表面142;以及將第一表面141和第二表面142彼此 連接的實質平坦的第三表面143。第二半導體晶粒140可進一步包括形成在第一表面141上的接合墊144。
第一表面141可包括鈍化層。特別是,第一表面111可與鈍化層的表面對應。此外,第一表面141可與主動區域(例如,感測區域)對應,並且第二表面142可與整合在第二半導體晶粒140中的電路的非主動區域對應。再者,第一表面141的鈍化層可保護感測區域免受外部環境影響。
在一此實施例中,第二半導體晶粒140可包括感測電路,舉例而言諸如指紋感測器、光學感測器、壓力感測器、加速計、陀螺儀感測器和MEMS(微機電系統)裝置或其等同物。如此,第二半導體晶粒140可包括在第一表面141上的對應的感測區域,舉例而言諸如指紋感測區域、光感測區域、壓力感測區域、加速度感測區域或廻轉感測區域。
第二囊封物150可包括與第二半導體晶粒140的第一表面141相鄰且共平面的實質平坦的第一表面151並且圍繞第二半導體晶粒140。第二囊封物140可進一步包括與第一表面151相對的實質平坦的第二表面142以及將第一表面151和第二表面152彼此連接的第三表面153。第二囊封物150的第二表面152可在垂直方向上與第二半導體晶粒140的第二表面142相隔一預定距離。特別是,第二囊封物150可在與第二半導體晶粒140的第二表面142相對的實質垂直方向上具有預定厚度。
此外,第二囊封物150的物理化學特徵可與第一囊封物120相同、相似或不同。作為例子,第二囊封物150的模數(modulus)可小於第一囊封物120的模數。更特別地,第二囊封物150的彈性力可以比第一囊封物120的彈性力大。結果,第二囊封物150可以經受外部施加的機械衝擊和壓力,而不會因其外形變化而斷裂。當第二半導體晶粒140暴露於外部環境時,這種特性對於安全地保護半導體裝置100是特別有利的。
同時,第一囊封物120的第二表面122和第二囊封物150的第二表面152可彼此黏附。在範例實施例中,黏合層180可被插入在第一囊封物120的第二表面122和第二囊封物150的第二表面152之間。在此些實施例中,黏合層180可包括可熱固化的環氧黏合劑、可熱固化的環氧樹脂雙面黏合劑或其等同物。
如所示的,第一半導體晶粒110和第二半導體晶粒140可在垂直方向上藉由第一囊封物120和第二囊封物150彼此相隔一預定距離。如此,第一半導體晶粒110的第二表面112和第二半導體晶粒140的第二表面142可在垂直方向上由於插入的第一囊封物120和第二囊封物150而彼此相隔一預定距離。
第二重新分佈結構160可藉由扇出方法被形成在第二半導體晶粒140的第一表面141和第二囊封物150的第一表面151上。與第一重新分佈結構130相似,第二重新分佈結構160可包括一或多個金屬層161,其將接合墊144電性連接至傳導通孔170。第二重新分佈結構160可進一步包括一或多個介電層162。在一實施例中,第二重新分佈結構160包括彼此垂直堆疊的多個金屬層161和多個介電層162,以使得介電層162被插入於金屬層161之間並且將金屬層161彼此電性隔離。第二重新分佈結構160可進一步包括多個傳導貫孔(未示出),每一個傳導貫孔皆通過各別的介電層162並且將由各別的介電層162所分開的金屬層131電性互連。
如所示的,第一半導體晶粒110的第一表面111可完全地由第一重新分佈結構130所覆蓋。然而,不像第一表面111,第二半導體晶粒140的第一表面141可以不完全地由第二重新分佈結構160所覆蓋。特別是,第二重新分佈結構160將第一表面141的感測區域暴露至半導體裝置外部的環境。因此,第二半導體晶粒140的感測電路可以通過感測區域感測外部環境,而不會受到第二重新分佈結構160的阻礙。再者,第一重新分佈結構130的側表面、第一囊封物120的第三表面123、黏合層180的側表面、第二囊封物150的第三表面153以及第二重 新分佈結構160的側表面可以是共平面的。
傳導通孔170可將第一重新分佈結構130和第二重新分佈結構160彼此電性連接。為此,傳導通孔170可延伸穿過第一囊封物120、黏合層180以及第二囊封物150,並且將第一重新分佈結構130的金屬層131電性連接至第二重新分佈結構160的金屬層161。在一些實施例中,有機絕緣層或無機絕緣層可被插入在傳導通孔170以及第一囊封物120、黏合層180和第二囊封物150的每一個之間。再者,傳導通孔170可包括選自包括以下的群組中的至少一傳導材料:銅(Cu)、銅合金、鋁(Al)、鋁合金、金(Au)、金合金、鉑(Pt)、鉑合金、銀(Ag)、銀合金、鎳(Ni)、鎳合金、錫(Sn)、錫合金、鈀(Pd)、鈀合金、鉻(Cr)、鉻合金以及其等同物。
因為第一半導體晶粒110和第二半導體晶粒140藉由傳導通孔170彼此電性連接,所以第一半導體晶粒110可處理來自第二半導體晶粒140所感測的信號。第一半導體晶粒110可進一步經由一或多個外部互連結構190將經處理的信號傳送至外部裝置。
外部互連結構190可被形成在第一重新分佈結構130或第二重新分佈結構160上。舉例而言,如果第一重新分佈結構130要被安裝在外部裝置上,則外部互連結構190可被電性連接至第一重新分佈結構130的金屬層131。替代而言,如果第二重新分佈結構160要被安裝在外部裝置上,則外部互連結構190可被電性連接至第二重新分佈結構160的金屬層161。圖1例示形成在第一重新分佈結構130上的外部互連結構190。
此外,每一個外部互連結構190可包括金屬柱、具有焊料帽的金屬柱、焊料凸塊、焊料球、凸塊、焊盤(land)、可撓性電路板以及其等同物。特別是,外部互連結構190(諸如金屬柱、焊料凸塊、焊料球或焊盤)可允許將半導體裝置100定位而靠近所連接至的外部裝置。相對而言,諸如可撓性電路板的外 部互連結構190可以被製造以具有各種形狀和長度,並且可允許將半導體裝置100定位的較例如凸塊或焊盤離外部裝置更遠。
如上面所述的,根據本揭示的實施例的半導體裝置100可在最小的體積空間內同時容納處理信號的第一半導體晶粒110和感測信號的第二半導體晶粒140。更具體地,半導體裝置100可提供一包括感測器的非常薄的3D封裝,其是用第一半導體晶粒110(例如邏輯晶粒等等)和第二半導體晶粒140(例如感測器晶粒等等)被垂直堆疊在薄的第一和第二重新分佈結構130和160之間,以獲得相對薄的半導體裝置100。
在範例實施例中,與習知半導體裝置相比,堆疊第一半導體晶粒110和第二半導體晶粒140可減少半導體裝置100的水平面積的約40%至60%。此外,與習知半導體裝置相比,藉由扇出方法所形成的第一重新分佈結構130和第二重新分佈結構160可減少半導體裝置100的垂直厚度的約30%至40%。此外,由於半導體裝置100的面積和厚度減小,所以減少半導體裝置100的功率消耗同時改善處理速度。
參考圖2,製造半導體裝置100的範例方法的流程圖被加以示出。如圖2所例示的,該範例製造方法可以包括以下步驟:將第一半導體晶粒附接到第一載體上並且形成第一囊封物(步驟S1);將第二半導體晶粒附著到第二載體上並且形成第二囊封物(步驟S2);將第一囊封物和第二囊封物彼此附接(步驟S3);移除第一載體(步驟S4);形成傳導通孔(步驟S5);形成第一重新分佈結構(步驟S6);移除第二載體(步驟S7);形成第二重新分佈結構(步驟S8);形成外部互連結構(步驟S9);以及鋸切(步驟S10)。
上述步驟的次序可根據製造方法的特定範例實施例而被改變。舉例而言,步驟S2可首先被執行並且步驟S1可接著被執行。替代而言,步驟S1和步驟S2可被同時執行。在另一範例實施例中,步驟S7可首先被執行並且步驟S5 可接著被執行。在又另一範例實施例中,步驟S8可首先被執行並且步驟S6可接著被執行。
參考圖3A至圖3J,例示製造半導體裝置100的方法的橫截面圖被加以例示。特別是,根據步驟S1,圖3A例示將第一半導體晶粒110附接在第一載體210上並且形成第一囊封物120。特別是,第一臨時黏合層211可被形成在第一載體210上,並且第一半導體晶粒110可被附接至第一臨時黏合層211上。再者,第一囊封物120可被模製在被設置於第一臨時黏合層211上的第一半導體晶粒110上,以使得第一囊封物120圍繞並覆蓋第一半導體晶粒110和第一臨時黏合層211。
在一些實施例中,第一載體210可以包括玻璃、低品質的矽晶圓、金屬(例如銅、鋁、不銹鋼、鎳等等)、陶瓷(例如氧化鋁、碳化矽、氮化鋁、氧化鋯等等)以及其等同物。第一載體210可被表面處理,以允許第一臨時黏合層211具有適當的黏著力。在範例實施例中,第一載體210可具有約2μm或更小的表面粗糙度,並且可具有在200mm和300mm之間的直徑,其類似於標準的半導體晶圓尺寸。此外,第一載體210舉例而言可僅在一特定方向被研磨,以便於在後續步驟中從第一載體移除/剝離第一臨時黏合層211。舉例而言,第一載體210可以具有經陽極處理的表面。舉例而言,第一載體210可包括能夠操作以承受大的溫度變化而不變形並且隨時間表現出最小的表面腐蝕的金屬合金。第一載體210的這些特徵亦可被應用於下面描述的第二載體220。
第一臨時黏合層211(或黏合膜)可舉例而言包括熱敏雙面膠帶,其將第一半半導體晶粒110(例如經鋸切或單粒化的晶粒)黏附至第一載體210。在一些實施例中,第一臨時黏合層211可包括熱可剝離膠帶,其在約90℃至約200℃的範圍內的溫度下表現出降低的黏附性。這種熱可剝離膠帶可包括泡沫黏合劑、聚醚膜和夾在襯墊層之間的基礎黏合劑,舉例而言諸如在REVALPHA的商 品名稱下由Nitto Denko所製造的黏著膠帶。作為範例,熱可剝離膠帶可包括約75μm厚的聚酯襯裡、約10μm厚的基底黏合劑、約40μm厚的聚酯膜、約50μm厚的泡沫黏合劑以及約40μm厚的聚酯襯裡。
在一些實施例中,第一臨時黏合層211可承受溫度變化並且可以在後續製程期間(例如,半導體晶粒附接和/或囊封)在高溫下保持其黏著力期間在高溫下保持其黏附力。此外,第一臨時黏合層211可以承受在隨後半導體晶粒附著和/或囊封製程期間的的壓縮負荷。舉例而言,在此壓縮製程期間(舉例而言,在附接半導體晶粒的步驟中)所附接的第一半導體晶粒110較佳而言可盡可能最小化地穿透第一臨時黏合層211的平面,從而保持在晶粒表面和囊封物表面之間的平坦度或共平面性。第一臨時黏合層211的這些特徵亦可應用於下面描述的第二臨時黏合層221。
如圖3A中所示,第一半導體晶粒110的接合墊114和第一表面111可被直接附接至第一臨時黏合層211。再者,第一半導體晶粒110的接合墊114和第一表面111不需要過度地穿透至第一臨時黏合層211中或擠壓第一臨時黏合層211。此外,第一囊封物120可被形成以圍繞被設置在第一臨時黏合層211上的第一半導體晶粒110。因此,第一半導體晶粒110的第一表面111和第一囊封物120的第一表面121變得彼此共平面。在一些實施例中,第一囊封物120可藉由壓縮模製(例如,使用液體、粉末和/或膜的製程)、真空模製、轉移模製、注入模製等等而形成。
此外,第一囊封物120可以具有預定的厚度,其中第二表面122從第一半導體晶粒110的第二表面112在實質垂直方向上偏移。特別是,第一囊封物120的第二表面122可在垂直方向上與第一半導體晶粒110的第二表面112相隔一預定距離。然而,在一些情況下,第一囊封物120的預定區域可藉由機械和/或化學研磨製程來移除。此移除可造成第一囊封物120的第二表面122與第一半 導體晶粒110的第二表面112共平面。
如圖3A描繪只有兩個第一半導體晶粒110被附接且模製在第一載體210和第一臨時黏合層211上。然而,在一些實施例中,許多更多的第一半導體晶粒110(例如,10到100個)可在水平方向上被排列、附接和模製在第一載體210和第一臨時黏合層211上。
如圖3B中所例示的,步驟S2可包括將第二半導體晶粒140附接至第二載體220上並形成第二囊封物150。更特別地是,第二臨時黏合層221可被形成在第二載體220上,並且第二半導體晶粒140可被附接至第二臨時黏合層221上。再者,第二囊封物150可被模製在被設置在第二臨時黏合層221上的第二半導體晶粒140上,以使得第二囊封物150圍繞並覆蓋第二半導體晶粒140和第二臨時黏合層221。第二臨時黏合層221的這些特徵可與上面描述的第一臨時黏合層211和第一載體210相同或相似。
在一些實施例中,第二半導體晶粒140的第一表面141和接合墊144可被直接附接至第二臨時黏合層221。再者,第二半導體晶粒140的接合墊144和第一表面141不需要過度地穿透至第二臨時黏合層221中或擠壓第二臨時黏合層221。此外,第二囊封物150可被形成以圍繞被設置在第二臨時黏合層221上的第二半導體晶粒140。因此,第二半導體晶粒140的第一表面141和第二囊封物150的第一表面151彼此共平面。
此外,第二囊封物150可以具有預定的厚度,其中第二表面152從第二半導體晶粒140的第二表面142在實質垂直方向上偏移。特別是,第二囊封物150的第二表面152可在垂直方向上與第二半導體晶粒140的第二表面142相隔一預定距離。然而,在一些情況下,第二囊封物150的預定區域可藉由研磨和/或蝕刻製程來移除。此移除可造成第二囊封物150的第二表面152與第二半導體晶粒140的第二表面142共平面。
如圖3B描繪被附接且模製在第二載體220和第二臨時黏合層221上的僅有一個第二半導體晶粒140。然而,在一些實施例中,許多更多的第二半導體晶粒140(例如,5到50個)可在水平方向上被排列、附接和模製在第二載體220和第二臨時黏合層221上。
如圖3C中所例示的,步驟S3可包括將第一囊封物120和第二囊封物150彼此附接。為此,黏合層180可被插入在第一囊封物120和第二囊封物150之間,以使得第一囊封物120和第二囊封物150彼此黏合。更特別地,第一囊封物120的第二表面122和第二囊封物150的第二表面152可彼此黏附,同時黏合層180可插入在其間。
在一些實施例中,可通過施加約100℃至約200℃範圍內的溫度和1MPa至100MPa範圍內的壓力來固化黏合層180。特別是,在黏合層180被插入在第一囊封物120和第二囊封物150之間之後,第一囊封物120和第二囊封物150可被定位在上方模具和下方模具(其各自具有安裝在其上的加熱器)之間。接著,約100℃至約200℃範圍內的溫度和1MPa至100MPa範圍內的壓力可經由上方和下方模具來施加。
同時,晶粒附接和囊封物製程的操作溫度較佳地是低於剝離第一和第二臨時黏合層211和221的溫度。舉例而言,如果第一臨時黏合層211和第二臨時黏合層221在約200℃的溫度下被剝離,則晶粒附接和囊封物製程的操作溫度較佳地是低於約200℃。
另外,為了便於處理,第一臨時黏合層211和第二臨時黏合層221可以在不同的溫度下剝離。舉例而言,如果第一臨時黏合層211在約190℃下被剝離,則第二臨時黏合層221可在約200℃下被剝離。在此實施例中,在第一臨時黏合層211被剝離之後,第二半導體晶粒140和第二囊封物150可維持附接至第二臨時黏合層221。特別是,第二臨時層221可在傳導通孔170和第一重新分佈結 構130的形成期間維持黏附,從而防止第二半導體晶粒140和第二囊封物150被外部環境污染。
如圖3D中所例示的,步驟S4可包括將第一載體210和第一臨時黏合層211從第一半導體晶粒110和第一囊封物120移除。為此,第一臨時黏合層211可被加熱直到第一載體210與第一半導體晶粒110和第一囊封物120分開。在加熱第一臨時黏合層211之後,第一載體210和第一臨時黏合層211被剝離並且從第一半導體晶粒110和第一囊封物120移除。特別是,第一臨時黏合層211不需要保留在第一半導體晶粒110的第一表面111和第一囊封物120的第一表面121上。如上面所述,第一載體210可在特定方向上被研磨。此研磨可造成第一臨時黏合層211維持黏附到第一載體,同時解除其對第一半導體晶粒110和第一囊封物120的黏附性。
如所示的,在移除第一臨時黏合層211之後,第一半導體晶粒110的第一表面111和第一囊封物120的第一表面121是彼此共平面且被暴露的。特別是,第一臨時黏合層211的移除將第一半導體晶粒110的第一表面111(例如第一晶粒鈍化層)和接合墊114暴露於半導體裝置100外部的環境。
如圖3E中所例示的,步驟S5可包括形成傳導通孔170,其延伸穿過第一囊封物120、黏合層180和第二囊封物150。在範例實施例中,雷射射束、機械鑽孔或化學蝕刻被用來形成貫孔,其延伸穿過第一囊封物120、黏合層180和第二囊封物150。再者,此貫孔可用傳導材料填充,以在貫孔中形成傳導通孔170。特別是,傳導通孔170可使用各種製程(舉例而言,諸如無電解電鍍、電鍍或濺射)形成在貫孔中。在一些實施例中,絕緣層可使用有機材料和/或無機材料被形成在貫孔中,並且接著傳導通孔170可被形成在絕緣層的內部表面上。無論如何,傳導通孔可包括銅(Cu)、銅合金、鋁(Al)、鋁合金、金(Au)、金合金、鉑(Pt)、鉑合金、銀(Ag)、銀合金、鎳(Ni)、鎳合金、錫(Sn)、錫合金、鈀(Pd)、鈀 合金、鉻(Cr)、鉻合金以及其等同物。
如圖3F中所例示的,步驟S6可包括藉由扇出方法將第一重新分佈結構130形成在第一半導體晶粒110的第一表面111和第一囊封物120的第一表面121上。特別是,第一重新分佈結構130的金屬層131可被形成以將第一半導體晶粒110的接合墊114電性連接至傳導通孔170。為此,金屬層131可藉由無電解電鍍、電鍍或濺鍍形成在第一半導體晶粒110的第一表面111和第一囊封物120的第一表面121上。金屬層131可藉由後續的光微影蝕刻製程被進一步圖案化或佈線。
再者,介電層132可被形成在第一半導體裝置110的第一表面111、第一囊封物的第一表面121和經圖案化的金屬層131上。特別是,介電層132可以使用諸如旋塗法、噴塗法、深塗法(deep coating)等各種製程形成。如所示的,第一重新分佈結構130可具有多層結構。特別是,第一重新分佈結構130可包括彼此垂直堆疊的多個金屬層131和介電層132。再者,第一重新分佈結構可包括多個傳導貫孔133,其通過各別的介電層132,以將另外由各別的介電層132所分開的金屬層131電性互連。圖3F例示包括三個金屬層131和三個電介質層132的第一重新分佈結構130的實施例。然而,第一重新分佈結構130的其他實施例可包括不同數目的金屬層131和/或介電層132。
圖3F進一步描繪形成在最底部的介電層132中的開口134。特別是,開口134可利用光微影製程或其他製程形成。再者,每一個開口134可將金屬層131的一預定區域暴露。這樣的暴露可允許將外部互連結構190電性連接到金屬層131的暴露區域。
如圖3G中所例示的,步驟S7可包括將第二載體220和第二臨時黏合層221從第二半導體晶粒140和第二囊封物150移除。特別是,第二臨時黏合層221可被加熱直到第二載體220和第二半導體晶粒140和第二囊封物150之間的黏 合物被移除或減少。在加熱之後,第二載體220和第二臨時黏合層221可與第二半導體晶粒140和第二囊封物150分開。這樣的移除可將第二半導體晶粒140的第一表面141、第二囊封物150的第一表面151和傳導通孔170的表面暴露於半導體裝置100外部的環境。再者,此移除可造成第一表面141、第一表面151和傳導通孔170的表面彼此共平面。
如圖3H中所例示的,步驟S8可包括藉由扇出方法將第二重新分佈結構160形成在第二半導體晶粒140的第一表面141和第二囊封物150的第一表面151上。特別是,第二重新分佈結構160的金屬層161可將第二半導體晶粒140的接合墊144電性連接至傳導通孔170。再者,第二介電層162可被形成在第二半導體裝置的第一表面141、第二囊封物的第一表面151和金屬層161上。如所例示的,第二重新分佈結構150包括單一金屬層161和單一介電層162。然而,在其他實施例中,第二重新分佈結構160可包括與上面所述的第一重新分佈結構130相似的多層結構。由於上述製程的結果,第一重新分佈結構130和第二重新分佈結構160可藉由傳導通孔170彼此電性連接。
如上面所述,第一重新分佈結構130和第二重新分佈結構160經由扇出方法被形成在原地。然而,在各種實施例中,第一重新分佈結構130和/或第二重新分佈結構160可利用印刷電路板或其他預先建立的結構,而不是經由在原地的扇出方法形成。
如在圖3H中所進一步例示的,第二重新分佈結構160可將第二半導體晶粒140的第一表面141的感測區域暴露至半導體裝置100外部的環境。特別是,第二重新分佈結構160不需要覆蓋第二半導體晶粒140的第一表面141的感測區域,以允許經由感測區域直接感測外部的現象。在一些實施例中,保護構件或層可進一步被附接到第二重新分佈結構160和第二半導體晶粒140的第一表面141上,以保護第一表面141的感測區域免受外部環境影響。
如在圖3I中所例示的,步驟S9可包括形成外部互連結構190,其被電性連接至第一重新分佈結構130。特別是,形成互連結構190可包括形成一或多個金屬柱、焊料凸塊、焊料球、凸塊、焊盤或可撓性電路板,其被電性連接至由被形成在介電層132中的開口134所暴露的金屬層131的區域。在範例性實施例中,外部互連結構190被附接至第一重新分佈結構130。然而,在一些實施例中,取代第一重新分佈結構130或除了第一重新分佈結構130以外,外部互連結構190可被附接至第二重新分佈結構160。
如圖3J中所例示的,步驟S10可包括利用鋸切工具199鋸切第一重新分佈結構130、第一囊封物120、黏合層180、第二囊封物150以及第二重新分佈結構160,以提供個別的半導體裝置100。特別地,半導體裝置100可用將多個裝置110配置成帶狀或矩陣結構的方式來製造,以提高生產率。鋸切、切割或其他單粒化製程可在製造製程的終端階段執行,以將經整合的裝置分離成個別的半導體裝置100。
熱可剝離膠帶(如臨時黏合層211和221)已在本揭示所例示的實施例中描述。然而,UV可剝離膠帶亦可被使用作為臨時黏合層211和221。在此實施例中,載體210和220可由諸如玻璃的透射材料所形成,而UV輻射可以通過透射材料以剝離或減少黏附。
參考圖4,根據本揭示的範例實施例的半導體裝置300的橫截面圖被加以示出。由於圖4中所例示的半導體裝置300是類似於圖1中的半導體裝置100,所以以下將聚焦在半導體裝置之間的差異。
不像半導體裝置100,半導體裝置300的第一半導體晶粒110的第二表面112可藉由黏合層380被黏附到第二半導體晶粒140的第二表面142。如圖1所例示的,半導體裝置100包括在第一半導體晶粒110的第二表面112和第一囊封物120的第二表面122之間有預定厚度的囊封物材料以及在第二半導體晶粒140 的第二表面142和第二囊封物150的第二表面152之間有預定厚度的囊封物材料。然而,在圖4中所例示的半導體裝置300中,第一半導體晶粒110的第二表面112和第一囊封物120的第一表面121是共平面的,並且第二半導體晶粒140的第二表面142和第二囊封物150的第二表面152是共平面的。因此,半導體裝置300可達到比半導體裝置100更細長的輪廓。
參考圖5A至圖5C,例示製造半導體裝置300的方法的橫截面圖被加以例示。如圖5A中所例示的,在將第一半導體晶粒110附接至第一載體210並形成第一囊封物120之後,第一囊封物120可接受研磨和/或蝕刻製程。此製程可造成第一半導體晶粒110的第二表面112和第一囊封物120的第二表面122彼此共平面。再者,此製程可將第一半導體晶粒110的第二表面112和第一囊封物120的第二表面122暴露於半導體裝置300外部的環境。
如圖5B中所例示的,在將第二半導體晶粒140附接至第二載體220並形成第二囊封物150之後,第二囊封物150可接受研磨和/或蝕刻製程,而造成第二半導體晶粒140的第二表面142和第二囊封物150的第二表面152彼此共平面。特別是,此製程可將第二半導體晶粒140的第二表面142和第二囊封物150的第二表面152暴露於半導體裝置300外部的環境。
如圖5C中所例示的,黏合層380可被插入在第一半導體晶粒110和第二半導體晶粒120之間。特別是,黏合層380可將第一半導體晶粒110的第二表面112和第一囊封物120的第二表面122黏附至第二半導體晶粒140的第二表面142和第二囊封物150的第二表面152。也就是說,第二半導體晶粒140的第二表面142可大致上被黏附至第一半導體晶粒110的第二表面112,並且第二囊封物150的第二表面152可大致上被黏附至第一囊封物120的第二表面122。
之後,可執行加熱和加壓製程,以進一步經由黏合層380將第一半導體晶粒110、第一囊封物120、第二半導體晶粒140和第二囊封物150整合。 再者,在完成上面描述的製程之後,製造方法可包括幾個後續的製程以獲得圖4的半導體裝置。舉例而言,該方法可進一步包括以和半導體裝置100的製造方法類似的方式形成第一重新分佈結構130、形成傳導通孔170、形成第二重新分佈結構160以及形成外部互連結構190。
參考圖6,根據本揭示的範例實施例的半導體裝置400的橫截面圖被加以示出。由於圖6中所例示的半導體裝置400是類似於圖4中所例示的半導體裝置300,所以以下將聚焦在半導體裝置300和400之間的差異。
如圖6中所例示的,半導體裝置的第一半導體晶粒100可藉由第一囊封物120A和/或第二囊封物150A被附接至第二半導體晶粒140。第一囊封物120A和/或第二囊封物150A之間的邊界不需要被注意或者不需要存在。更特別地,第一囊封物120A和第二囊封物150A可被整合,從而形成單一囊封物410。
此外,第一半導體晶粒110的第二表面112和第二半導體晶粒140的第二表面142可彼此相隔一預定距離。特別是,第一囊封物120A和/或第二囊封物150A的樹脂材料可被插入在第一半導體晶粒110的第二表面112和第二半導體晶粒140的第二表面142之間。在一些實施例中,樹脂和填充物材料可一起被插入在第一半導體晶粒110的第二表面112和第二半導體晶粒140的第二表面142之間。
雖然圖6描繪在第一半導體晶粒和第二半導體晶粒之間的囊封物410的部分,但是在一些實施例中第一半導體晶粒110的第二表面112可被直接黏附至第二半導體晶粒140的第二表面142或與第二半導體晶粒140的第二表面142接觸。更特別地是,第一半導體晶粒110的矽表面可被直接黏附至第二半導體晶粒140的矽表面或與第二半導體晶粒140的矽表面直接接觸。
由於在第一囊封物120A和第二囊封物150A之間的邊界處不存在界面表面或黏合層,所以濕氣被避免滲入界面表面或黏合層中。此外,由於界 面表面或黏著層在第一封裝膠體120A與第二封裝膠體150A之間不會在外部被觀察到,因此半導體裝置400可享有改善的或更具視覺效果的產品外觀。
參考圖7,半導體裝置400的製造方法的橫截面圖被加以例示。如圖7中所例示的,製造方法可包括利用在B階段的第一囊封物120A(或第一預浸物)囊封被設置在第一載體210上的第一半導體晶粒110。該方法可進一步包括藉由在B階段的第二囊封物150A(或第二預浸物)囊封被設置在第二載體220上的第二半導體晶粒140。該方法亦可包括將第一囊封物120A和第二囊封物150A彼此黏附。在一實施例中,在B階段(B-stage)中的第一囊封物120A和第二囊封物150A是半固化且柔軟的。如此,第一囊封物120A和第二囊封物150A可在當被適當地加熱和加壓時彼此黏附。以此方式,第一囊封物120A和第二囊封物150A可被整合成單一囊封物140,而沒有存在於其間的邊界線。因此,第一囊封物120A和第二囊封物150A可彼此黏附,並且第一半導體晶粒110和第二半導體晶粒140可彼此接觸。
如上所述,第一囊封物120A和第二囊封物150A可被加熱和加壓。這種加熱和加壓可以使B階段的第一和第二密封劑120A和150A經歷相變(phase change)而進入C階段(C-stage)的第一和第二密封劑120A和150A(亦即,進入單一囊封物410)。特別地是,可以將範圍從約100℃到約200℃的溫度和範圍從約1MPa到約100MPa的壓力施加到第一和第二密封劑120A和150A,以形成整合、固化的囊封物410。
在完成上面描述的製程之後,該方法可包括幾個後續的製程以獲得半導體裝置400。特別是,該方法可包括以和半導體裝置100的製造方法類似的方式形成第一重新分佈結構130、形成傳導通孔170、形成第二重新分佈結構160以及形成外部互連結構190。
本揭示提供範例性實施例。本揭示的範疇不被這些範例性實施例 所限制。本領域技術人員鑑於本揭示可以實現無論是由說明書所明確指出或由說明書所暗示多種變化(諸如結構變化、尺寸、材料類型和製造製程)。
100‧‧‧半導體裝置
110‧‧‧第一半導體晶粒
111‧‧‧第一表面
112‧‧‧第二表面
113‧‧‧第三表面
114‧‧‧接合墊
120‧‧‧第一囊封物
121‧‧‧第一表面
122‧‧‧第二表面
123‧‧‧第三表面
130‧‧‧第一重新分佈結構
131‧‧‧金屬層
132‧‧‧介電層
133‧‧‧傳導貫孔
140‧‧‧第二半導體晶粒
141‧‧‧第一表面
142‧‧‧第二表面
143‧‧‧第三表面
144‧‧‧接合墊
150‧‧‧第二囊封物
151‧‧‧第一表面
152‧‧‧第二表面
153‧‧‧第三表面
160‧‧‧第二重新分佈結構
161‧‧‧金屬層
162‧‧‧介電層
170‧‧‧傳導通孔
180‧‧‧黏合層
190‧‧‧外部互連結構

Claims (20)

  1. 一種半導體裝置,其包括:下方半導體晶粒,其包括底表面、相對於所述下方半導體晶粒的所述底表面的頂表面以及在所述下方半導體晶粒的所述底表面上的第一接合墊;下方囊封物,其圍繞所述下方半導體晶粒,其中所述下方囊封物包括相鄰所述下方半導體晶粒的所述底表面的底表面;下方重新分佈結構,其在所述下方半導體晶粒的所述底表面上和所述下方囊封物的所述底表面上,其中所述下方重新分佈結構包括下方金屬層和下方介電層,其中所述下方金屬層具有下方金屬層頂側和下方金屬層底側,以及其中所述下方介電層覆蓋所述下方金屬層底側;上方半導體晶粒,其包括頂表面、相對於所述上方半導體晶粒的所述頂表面的底表面以及在所述上方半導體晶粒的所述頂表面上的第二接合墊;上方囊封物,其在所述下方囊封物的頂表面上方,所述上方囊封物圍繞所述上方半導體晶粒,其中所述上方囊封物包括相鄰所述上方半導體晶粒的所述頂表面的頂表面;上方重新分佈結構,其在所述上方半導體晶粒的所述頂表面和所述上方囊封物的所述頂表面上,其中所述上方重新分佈結構包括上方金屬層和上方介電層,其中所述上方金屬層具有上方金屬層頂側和上方金屬層底側,以及其中所述上方介電層覆蓋所述上方金屬層頂側;以及傳導通孔,其延伸穿過所述下方囊封物和所述上方囊封物並且將所述下方重新分佈結構連接至所述上方重新分佈結構,其中所述傳導通孔包括通過所述下方囊封物的至少一部分和所述上方囊封物的至少一部分的單一傳導結構;以及黏合層,其將所述上方半導體晶粒黏附至所述下方半導體晶粒,其中所述 黏合層接觸所述上方半導體晶粒的所述底表面和所述下方半導體晶粒的所述頂表面中的至少一者。
  2. 如請求項1的半導體裝置,其中所述黏合層進一步將所述下方囊封物的所述頂表面黏附至所述上方囊封物的所述底表面。
  3. 如請求項1的半導體裝置,其中:所述上方半導體晶粒包括以下中的一個:指紋感測器、光學感測器、壓力感測器、加速計、陀螺儀感測器和微機電系統(MEMS)裝置。
  4. 如請求項1的半導體裝置,其進一步包括:外部互連結構,其耦接至所述下方重新分佈結構,其中所述外部互連結構包括以下中的一個:金屬柱、焊料凸塊、焊球、焊盤和可撓性電路板。
  5. 如請求項1的半導體裝置,其中:所述下方重新分佈結構包括:第一金屬層,其在所述下方半導體晶粒的所述底表面上和所述下方囊封物的所述底表面上,所述第一金屬層將所述下方半導體晶粒的所述第一接合墊連接至所述傳導通孔;以及第一介電層,其在所述第一金屬層上;並且所述上方重新分佈結構包括:第二金屬層,其在所述上方半導體晶粒的所述頂表面和所述上方囊封物的所述頂表面上,所述第二金屬層將所述上方半導體晶粒的所述第二接合墊連接至所述傳導通孔;以及第二介電層,其在所述第二金屬層上。
  6. 如請求項5的半導體裝置,其中所述傳導通孔將所述下方重新分佈結構的所述第一金屬層連接至所述上方重新分佈結構的所述第二金屬層。
  7. 如請求項5的半導體裝置,其進一步包括外部互連結構,其耦接至所述下方重新分佈結構的的所述第一金屬層。
  8. 如請求項1的半導體裝置,其中:所述上方半導體晶粒包括感測電路,所述感測電路被配置以經由所述上方半導體晶粒的所述頂表面的感測區域來感測現象;所述上方重新分佈結構包括一或多個金屬層;來自所述一或多個金屬層的金屬並未在所述上方半導體晶粒的所述頂表面的所述感測區域上方延伸;以及所述感測電路被配置以在沒有所述一或多個金屬層的所述金屬的阻礙下,經由所述上方半導體晶粒的所述感測區域和所述上方重新分佈結構來感測在所述半導體裝置外部的環境的現象。
  9. 如請求項1的半導體裝置,進一步包括插入於所述傳導通孔以及所述下方囊封物和所上方囊封物中的每一者之間的絕緣層。
  10. 如請求項1的半導體裝置,其中所述下方半導體晶粒的所述頂表面與所述下方囊封物的所述頂表面共平面。
  11. 一種半導體裝置,其包括:上方半導體晶粒,其包括在所述上方半導體晶粒的頂側上的接合墊;下方半導體晶粒,其包括在所述下方半導體晶粒的底側上的接合墊,其中所述下方半導體晶粒的頂側是在所述上方半導體晶粒的底側下方;囊封物,其接觸且圍繞所述上方半導體晶粒和所述下方半導體晶粒,所述囊封物的頂側暴露所述上方半導體晶粒的所述接合墊,並且所述囊封物的底側暴露所述下方半導體晶粒的所述接合墊;上方重新分佈結構,其在所述上方半導體晶粒和所述囊封物的所述頂側上,其中所述上方重新分佈結構包括上方金屬層和上方介電層,其中所述上方 金屬層具有上方金屬層頂側和上方金屬層底側,其中所述上方介電層覆蓋所述上方金屬層頂側,以及其中所述上方金屬層被連接至所述上方半導體晶粒的所述接合墊;下方重新分佈結構,其在所述下方半導體晶粒和所述囊封物下方並且被連接至所述下方半導體晶粒的所述接合墊,其中所述下方重新分佈結構包括下方金屬層和下方介電層,其中所述下方金屬層具有下方金屬層頂側和下方金屬層底側,以及其中所述下方介電層覆蓋所述下方金屬層底側;以及穿過所述囊封物的傳導通孔,其中所述傳導通孔的上端耦接到所述上方重新分佈結構並且所述傳導通孔的下端耦接到所述下方重新分佈結構。
  12. 如請求項11的半導體裝置,其中所述囊封物包括圍繞所述上方半導體晶粒的囊物封上方部分和圍繞所述上方半導體晶粒的囊封物下方部分。
  13. 如請求項11的半導體裝置,其中所述囊封物被插入在所述上方半導體晶粒的所述底側和所述下方半導體晶粒的所述頂側之間。
  14. 如請求項11的半導體裝置,其中所述上方半導體晶粒的所述底被直接黏附至所述下方半導體晶粒的所述頂側。
  15. 如請求項11的半導體裝置,其進一步包括外部互連結構,其耦接至所述下方重新分佈結構。
  16. 如請求項12的半導體裝置,其中所述囊封物上方部分和所述囊封物下方部分被整合且形成單一整合的囊封物。
  17. 如請求項12的半導體裝置,其中所述囊封物上方部分和所述囊封物下方部分被整合並且在所述囊封物上方部分和所述囊封物下方部分之間沒有可辨別的邊界。
  18. 如請求項11的半導體裝置,其中所述上方半導體晶粒的所述底側接觸所述下方半導體晶粒的所述頂側。
  19. 一種半導體裝置,其包括:上方半導體晶粒,其包括上方晶粒頂側和上方晶粒底側;上方囊封層,其包括圍繞所述上方半導體晶粒的第一囊封材料,所述上方囊封層的底側與所述上方晶粒底側共平面;上方重新分佈結構,其在所述上方囊封層上方且耦接到所述上方半導體晶粒,其中所述上方重新分佈結構包括上方金屬層和上方介電層,其中所述上方金屬層具有上方金屬層頂側和上方金屬層底側,其中所述上方介電層覆蓋所述上方金屬層頂側;下方半導體晶粒,其包括下方晶粒頂側和下方晶粒底側;下方囊封層,其包括圍繞所述下方半導體晶粒的第二囊封材料,所述下方囊封層的頂側與所述下方晶粒頂側共平面;下方重新分佈結構,其在所述下方囊封層下方並且耦接到所述下方半導體晶粒,其中所述下方重新分佈結構包括下方金屬層和下方介電層,其中所述下方金屬層具有下方金屬層頂側和下方金屬層底側,以及其中所述下方介電層覆蓋所述下方金屬層底側;以及傳導通孔,其將所述上方重新分佈結構耦接至所述下方重新分佈結構,所述傳導通孔包括具有銅材料的側壁,所述側壁橫跨所述上方囊封層和所述下方囊封層之間的介面。
  20. 如請求項19的半導體裝置,其中所述上方囊封物被黏附至所述下方囊封物。
TW107104741A 2017-11-16 2018-02-09 半導體裝置和其製造方法 TWI767992B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/815,243 2017-11-16
US15/815,243 US11328969B2 (en) 2017-11-16 2017-11-16 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW201924008A TW201924008A (zh) 2019-06-16
TWI767992B true TWI767992B (zh) 2022-06-21

Family

ID=66433487

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107104741A TWI767992B (zh) 2017-11-16 2018-02-09 半導體裝置和其製造方法

Country Status (3)

Country Link
US (1) US11328969B2 (zh)
CN (1) CN109801893A (zh)
TW (1) TWI767992B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108962868B (zh) * 2017-05-25 2020-07-03 矽品精密工业股份有限公司 封装结构及其制法
KR101942740B1 (ko) * 2017-10-19 2019-01-28 삼성전기 주식회사 팬-아웃 센서 패키지 및 이를 포함하는 광학방식 지문센서 모듈
KR102052804B1 (ko) * 2017-12-15 2019-12-05 삼성전기주식회사 팬-아웃 센서 패키지
US11488881B2 (en) * 2018-03-26 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102018123492A1 (de) * 2018-03-26 2019-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterbauelement und herstellungsverfahren
US20200075510A1 (en) * 2018-08-30 2020-03-05 Powertech Technology Inc. Semiconductor package and manufacturing method thereof
US11062975B2 (en) * 2018-09-27 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures
US11532551B2 (en) * 2018-12-24 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with chamfered semiconductor device
KR20210000812A (ko) * 2019-06-25 2021-01-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP7406336B2 (ja) * 2019-10-11 2023-12-27 三星電子株式会社 半導体装置の製造方法
US11145614B2 (en) * 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
TWI749860B (zh) * 2020-11-10 2021-12-11 菱生精密工業股份有限公司 晶片封裝方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090039523A1 (en) * 2007-08-07 2009-02-12 Tongbi Jiang Packaged integrated circuit devices with through-body conductive vias, and methods of making same
US20170053898A1 (en) * 2015-08-21 2017-02-23 Powertech Technology Inc. Semiconductor package with pillar-top-interconnection (pti) configuration and its mis fabricating method
US20170092510A1 (en) * 2015-09-24 2017-03-30 Sts Semiconductor & Telecommunications Co., Ltd. Wafer level fan-out package and method for manufacturing the same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7518251B2 (en) * 2004-12-03 2009-04-14 General Electric Company Stacked electronics for sensors
US8421244B2 (en) 2007-05-08 2013-04-16 Samsung Electronics Co., Ltd. Semiconductor package and method of forming the same
KR101501739B1 (ko) * 2008-03-21 2015-03-11 삼성전자주식회사 반도체 패키지 제조 방법
US7838967B2 (en) * 2008-04-24 2010-11-23 Powertech Technology Inc. Semiconductor chip having TSV (through silicon via) and stacked assembly including the chips
US7825024B2 (en) * 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US8446017B2 (en) 2009-09-18 2013-05-21 Amkor Technology Korea, Inc. Stackable wafer level package and fabricating method thereof
US20110175218A1 (en) 2010-01-18 2011-07-21 Shiann-Ming Liou Package assembly having a semiconductor substrate
KR20110085481A (ko) 2010-01-20 2011-07-27 삼성전자주식회사 적층 반도체 패키지
US8618654B2 (en) 2010-07-20 2013-12-31 Marvell World Trade Ltd. Structures embedded within core material and methods of manufacturing thereof
KR101099583B1 (ko) 2010-04-16 2011-12-28 앰코 테크놀로지 코리아 주식회사 웨이퍼 레벨의 칩 적층형 패키지 및 그 제조 방법
US8847376B2 (en) * 2010-07-23 2014-09-30 Tessera, Inc. Microelectronic elements with post-assembly planarization
US8754514B2 (en) * 2011-08-10 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip wafer level package
TWI491017B (zh) * 2013-04-25 2015-07-01 矽品精密工業股份有限公司 半導體封裝件及其製法
US9111870B2 (en) * 2013-10-17 2015-08-18 Freescale Semiconductor Inc. Microelectronic packages containing stacked microelectronic devices and methods for the fabrication thereof
US9601463B2 (en) * 2014-04-17 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) and the methods of making the same
CN104332452B (zh) * 2014-08-20 2017-04-19 深圳市汇顶科技股份有限公司 芯片封装模组
CN104157619B (zh) * 2014-08-22 2016-09-28 山东华芯半导体有限公司 一种新型PoP堆叠封装结构及其制造方法
KR101640076B1 (ko) * 2014-11-05 2016-07-15 앰코 테크놀로지 코리아 주식회사 웨이퍼 레벨의 칩 적층형 패키지 및 이의 제조 방법
US9583472B2 (en) * 2015-03-03 2017-02-28 Apple Inc. Fan out system in package and method for forming the same
US9524959B1 (en) * 2015-11-04 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming same
WO2018125242A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Microelectronic devices designed with 3d stacked ultra thin package modules for high frequency communications

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090039523A1 (en) * 2007-08-07 2009-02-12 Tongbi Jiang Packaged integrated circuit devices with through-body conductive vias, and methods of making same
US20170053898A1 (en) * 2015-08-21 2017-02-23 Powertech Technology Inc. Semiconductor package with pillar-top-interconnection (pti) configuration and its mis fabricating method
US20170092510A1 (en) * 2015-09-24 2017-03-30 Sts Semiconductor & Telecommunications Co., Ltd. Wafer level fan-out package and method for manufacturing the same

Also Published As

Publication number Publication date
CN109801893A (zh) 2019-05-24
US11328969B2 (en) 2022-05-10
TW201924008A (zh) 2019-06-16
US20190148254A1 (en) 2019-05-16

Similar Documents

Publication Publication Date Title
TWI767992B (zh) 半導體裝置和其製造方法
US11393734B2 (en) Fingerprint sensor and manufacturing method thereof
TWI780101B (zh) 半導體裝置及其製造方法
US9646856B2 (en) Method of manufacturing a semiconductor device including removing a relief layer from back surface of semiconductor chip
US20230005832A1 (en) Semiconductor device and manufacturing method thereof
KR101890535B1 (ko) 반도체 장치 및 제조 방법
CN110729270A (zh) 芯片封装方法及封装结构
TW202324648A (zh) 指紋感測器裝置
KR20160132093A (ko) 공동을 가진 기판에 의해 보호되는 집적 회로 및 제조 방법
KR20120052171A (ko) 반도체 패키지 및 반도체 소자 패키징 방법
US8592241B2 (en) Method for packaging an electronic device assembly having a capped device interconnect
CN109003946B (zh) 封装结构及其制造方法
TWI683376B (zh) 封裝結構及其製造方法
US20170178993A1 (en) Electronic component and methods of manufacturing the same
TWI834594B (zh) 半導體裝置及其製造方法
TWI839321B (zh) 指紋感測器裝置
KR100922848B1 (ko) 웨이퍼 레벨 패키지 및 그 제조방법
CN112397460A (zh) 多晶粒封装结构、芯片封装结构以及各自的制作方法
KR20090085206A (ko) 웨이퍼 레벨 패키지 및 그 제조방법