TWI745778B - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TWI745778B
TWI745778B TW108140382A TW108140382A TWI745778B TW I745778 B TWI745778 B TW I745778B TW 108140382 A TW108140382 A TW 108140382A TW 108140382 A TW108140382 A TW 108140382A TW I745778 B TWI745778 B TW I745778B
Authority
TW
Taiwan
Prior art keywords
plasma
forming
chemical vapor
vapor deposition
source
Prior art date
Application number
TW108140382A
Other languages
English (en)
Other versions
TW202032638A (zh
Inventor
洪敏修
張阡
趙翊翔
黃鴻儀
張志維
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/265,747 external-priority patent/US11107690B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202032638A publication Critical patent/TW202032638A/zh
Application granted granted Critical
Publication of TWI745778B publication Critical patent/TWI745778B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

半導體裝置的形成方法包含在閘極結構的兩側形成源極/汲極區,其中閘極結構在鰭上方且被第一介電層圍繞;在第一介電層中形成複數個開口以暴露出源極/汲極區;使用電漿輔助化學氣相沉積製程在源極/汲極區上的開口中選擇性形成矽化物區;以及以導電材料填充開口。

Description

半導體裝置的形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置的形成方法。
半導體工業由於各種電子組件(例如電晶體、二極體、電阻、電容等)的集成密度的持續改善的緣故已經歷了快速成長。在大部分情況下,集成密度的改善來自於最小部件尺寸不斷縮小,使得更多的組件能夠集成於既定區域中。
鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)裝置正逐漸用於積體電路中。鰭式場效電晶體裝置具有包括從基底突出的半導體鰭的三維結構。被配置為控制鰭式場效電晶體裝置的導電通道中的電荷載子的流動的閘極結構環繞半導體鰭。舉例來說,在三閘極鰭式場效電晶體裝置中,閘極結構環繞半導體鰭的三面,進而在半導體鰭的三面上形成導電通道。
在一些實施例中,提供半導體裝置的形成方法,此方法包含在閘極結構的兩側形成複數個源極/汲極區,其中閘極結構在鰭上方且被第一介電層圍繞;在第一介電層中形成複數個開口以暴露出源極/汲極區;使用電漿輔助化學氣相沉積製程在源極/汲極區上的開口中選擇性形成複數個矽化物區;以及以導電材料填充開口。
在一些其他實施例中,提供半導體裝置的形成方法,此方法包含確定用於在半導體結構的第一材料上形成第三材料的第一活化能;確定用於在半導體結構的第二材料上形成第三材料的第二活化能,第二活化能大於第一活化能;以及透過進行電漿輔助化學氣相沉積製程在第一材料上選擇性沉積第三材料,其中電漿輔助化學氣相沉積製程的電漿的平均能量大於第一活化能且小於第二活化能。
在另外一些實施例中,提供半導體裝置的形成方法,此方法包含在鰭上方形成虛設閘極結構,虛設閘極結構被第一介電層圍繞,第一介電層覆蓋設置於虛設閘極結構的兩側的複數個源極/汲極區;以金屬閘極結構取代虛設閘極結構;在第一介電層中形成複數個開口,以暴露出源極/汲極區;透過進行電漿輔助化學氣相沉積製程,在源極/汲極區上的開口的底部選擇性形成矽化物材料,其中在電漿輔助化學氣相沉積製程期間,將用於電漿輔助化學氣相沉積製程的射頻源週期性地開啟和關閉;以及以導電材料填充開口。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
本發明實施例可在形成鰭式場效電晶體裝置的背景中,且具體是在用於形成矽化物區的源極/汲極區上方選擇性沉積金屬層的背景中討論。所揭露的選擇性沉積方法也可用於在不同材料上方選擇性沉積層狀物。
在一實施例中,開口形成於介電層中以暴露出電晶體的源極/汲極區。接著,矽化物層透過使用電漿輔助化學氣相沉積(PECVD)製程選擇性形成於源極/汲極區上的開口中,且由開口暴露的介電層的側壁在電漿輔助化學氣相沉積製程之後大致不含矽化物層。由於介電層的側壁在電漿輔助化學氣相沉積製程之後大致不含矽化物層,因此在形成矽化物區之後,不須蝕刻製程從介電層的側壁移除矽化物層,其避免了蝕刻製程影響的效能問題,例如矽化物區的消耗及/或氧化。此外,由於介電層的側壁大致不含矽化物層,因此開口的寬度(從介電層的上表面測量)較大,使得在後續製程中導電材料較容易填充於開口中,進而減少或避免在填充開口時形成空隙(例如空間)。在一些實施例中,透過將用於電漿輔助化學氣相沉積製程的射頻源交錯地開啟和關閉,以達到透過控制電漿輔助化學氣相沉積製程的電漿的平均能量大於在源極/汲極區上形成矽化物層的第一活化能但是小於在介電層上形成矽化物層的第二活化能,以實現在源極/汲極區上選擇性形成矽化物層。此外,將電漿輔助化學氣相沉積製程的製程條件(例如前驅物的流量之間的比率(例如用於形成包括鈦的金屬層的氫和四氯化鈦))控制在特定範圍中(例如流量比率在1與2之間)以達到選擇性沉積矽化物層。雖然所揭露的實施例使用在源極/汲極區上方選擇性形成矽化物層作為範例,但是所揭露的方法的原理可用於在不同材料的表面上方選擇性形成其他材料層。
第1圖顯示鰭式場效電晶體30的範例的透視圖。鰭式場效電晶體30包含基底50和突出於基底50之上的半導體鰭64(有時被簡稱為鰭)。隔離區62(有時也被稱為淺溝槽隔離區)形成於半導體鰭64的兩側,有著半導體鰭64突出於隔離區62之上。閘極介電質66係沿半導體鰭64的側壁和頂表面,且閘極電極68在閘極介電質66上方。磊晶源極/汲極區80(有時被簡稱為源極/汲極區)在半導體鰭64中且在閘極介電質66和閘極電極68的兩側。第1圖更顯示用於之後圖式的參考剖面。剖面B-B沿鰭式場效電晶體30的閘極電極68的縱軸延伸。剖面A-A垂直於剖面B-B且沿半導體鰭64的縱軸,且在例如磊晶源極/汲極區80之間的電流方向。剖面C-C平行於剖面B-B且橫跨磊晶源極/汲極區80。為了清楚起見,後續圖式參考這些參考剖面。
第2-6、7A-7C、8-12、16、17A和17B圖為依據一實施例之製造鰭式場效電晶體裝置100的各個中間階段的剖面示意圖。鰭式場效電晶體裝置100相似於第1圖中的鰭式場效電晶體30,但是鰭式場效電晶體裝置100有著多個鰭和多個閘極結構。第2-5圖顯示沿剖面B-B的鰭式場效電晶體裝置100的剖面示意圖。第6、7A、8-12、16和17A圖顯示沿剖面A-A的鰭式場效電晶體裝置100的剖面示意圖。第7B和7C圖顯示沿剖面C-C的鰭式場效電晶體裝置100的剖面示意圖。第17B圖顯示沿剖面B-B的鰭式場效電晶體裝置100的剖面示意圖。在本說明書中,有著相同數字但是不同字母的圖式(例如17A、17B)係指在相同製程步驟中的相同半導體裝置沿不同剖面的不同視圖。
第2圖顯示基底50的剖面示意圖。基底50可為半導體基底,例如塊狀(bulk)半導體、絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底或類似物,基底50可為摻雜(例如摻雜p型或n型摻雜物)或未摻雜。基底50可為晶圓,例如矽晶圓。一般來說,絕緣層上覆半導體基底包含形成於絕緣層上的半導體材料層。絕緣層可為例如埋置氧化(buried oxide,BOX)層、氧化矽層或類似物。絕緣層提供於基底上,一般為矽基底或玻璃基底。也可使用其他基底,例如多層或漸變(gradient)基底。在一些實施例中,基底50的半導體材料可包含矽、鍺、化合物半導體(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP)或前述之組合。
請參照第3圖,使用例如光微影和蝕刻技術將第2圖所示的基底50圖案化。舉例來說,遮罩層(例如墊氧化層52和上方的墊氮化層56)形成於基底50上方。墊氧化層52可為例如使用熱氧化製程形成的包括氧化矽的薄膜。墊氧化層52可作為基底50與墊氮化層56之間的黏著層。在一些實施例中,墊氮化層56由氮化矽、氮氧化矽、氮碳化矽、類似物或前述之組合形成,且可例如透過使用低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)或電漿輔助化學氣相沉積(PECVD)形成。
遮罩層可透過使用光微影技術圖案化。一般來說,光微影技術使用沉積光阻(未顯示)、照射(曝光)光阻並將光阻顯影以移除光阻材料的一部分。剩下的光阻材料保護下方材料(例如在此範例中的遮罩層)免受後續製程步驟(例如蝕刻)損壞。在此範例中,使用光阻材料來保護墊氧化層52和墊氮化層56,以形成圖案化遮罩58,如第3圖所示。
圖案化遮罩58後續用於將基底50的暴露部分圖案化以形成溝槽61,進而定義第3圖所示之相鄰溝槽61之間的半導體鰭64(例如半導體鰭64A和64B)。在一些實施例中,半導體鰭64透過使用例如反應性離子蝕刻(reactive ion etch,RIE)、中子束蝕刻(neutral beam etch,NBE)、類似方法或前述之組合在基底50中蝕刻溝槽來形成。蝕刻製程可為非等向性。在一些實施例中,溝槽61(從上視圖來看)可為彼此平行的條狀,且彼此緊密間隔。在一些實施例中,溝槽61可為連續的並圍繞半導體鰭64。半導體鰭64之後也可被稱為鰭。
半導體鰭64可透過合適的方法圖案化。舉例來說,半導體鰭64可透過使用一個或多個光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,犧牲層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物或心軸(mandrel)將鰭圖案化。
第4圖顯示絕緣材料形成於相鄰半導體鰭64之間,以形成隔離區62。絕緣材料可為氧化物(例如氧化矽)、氮化物、類似物或前述之組合,且可透過高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable CVD,FCVD)(例如在遠端電漿系統中的基於化學氣相沉積的材料沉積,並後固化使其轉變為另一材料,例如氧化物)、類似方法或前述之組合形成。可使用其他絕緣材料及/或其他形成製程。在顯示的實施例中,絕緣材料為透過可流動化學氣相沉積製程形成的氧化矽。在形成絕緣材料之後,可進行退火製程。平坦化製程(例如化學機械研磨(chemical mechanical polish,CMP))可移除任何多餘的絕緣材料,且形成共平面(未顯示)的隔離區62的頂表面和半導體鰭64的頂表面。圖案化遮罩58(請參照第3圖)也可透過平坦化製程移除。
在一些實施例中,隔離區62包含襯墊例如襯墊氧化物(未顯示)在隔離區62與基底50/半導體鰭64之間的界面。在一些實施例中,形成襯墊氧化物以降低基底50與隔離區62之間的界面處的結晶缺陷。相似地,襯墊氧化物也可用於降低半導體鰭64與隔離區62之間的界面處的結晶缺陷。襯墊氧化物(例如氧化矽)可為透過將基底50的表面層熱氧化而形成的熱氧化物,但是也可使用其他合適的方法來形成襯墊氧化物。
接著,將隔離區62凹陷以形成淺溝槽隔離(shallow trench isolation,STI)區。將隔離區62凹陷使得半導體鰭64的上部從相鄰的隔離區62之間突出。隔離區62的頂表面可具有平坦表面(如圖所示)、凸面、凹面(例如凹陷)或前述之組合。隔離區62的頂表面可透過合適的蝕刻形成平坦、凸形及/或凹形。隔離區62可透過使用合適的蝕刻製程凹陷,例如對隔離區62的材料有選擇性的蝕刻製程。舉例來說,可進行乾蝕刻或使用稀釋氫氟酸(dilute hydrofluoric,dHF)的濕蝕刻來將隔離區62凹陷。
第2-4圖顯示形成半導體鰭64的實施例,但是半導體鰭可在各種不同製程中形成。舉例來說,基底50的頂部可透過合適的材料(例如適用於將形成的半導體裝置的所期望類型(例如n型或p型)的磊晶材料)來取代。之後,將在頂部有著磊晶材料的基底50圖案化以形成包括磊晶材料的半導體鰭64。
作為另一範例,介電層可形成於基底的頂表面上方;可蝕刻出通過介電層的溝槽;同質磊晶結構可磊晶成長於溝槽中;以及可將介電層凹陷,使得同質磊晶結構突出介電層以形成鰭。
在另一範例中,介電層可形成於基底的頂表面上方;可蝕刻出通過介電層的溝槽;異質磊晶結構可透過使用不同於基底的材料磊晶成長於溝槽中;以及可將介電層凹陷,使得異質磊晶結構突出介電層以形成鰭。
在成長磊晶材料或磊晶結構(例如異質磊晶結構或同質磊晶結構)的一些實施例中,可在成長期間原位摻雜成長材料或結構,其可免除之前或後續的佈植,但是可一起使用原位摻雜和佈植摻雜。再者,在N型金屬氧化物半導體區域中磊晶成長的材料不同於在P型金屬氧化物半導體區域中的材料可為有利的。在各種實施例中,半導體鰭64可包括矽鍺(Six Ge1-x ,其中x可在0與1之間)、碳化矽、純鍺或大致純鍺、第III-V族化合物半導體、第II-VI族化合物半導體或類似物。舉例來說,可用於形成第III-V族化合物半導體的材料包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和類似物,但不限於此。
第5圖顯示虛設閘極結構75形成於半導體鰭64上方。在一些實施例中,虛設閘極結構75包含閘極介電質66和閘極電極68。遮罩70可形成於虛設閘極結構75上方。為了形成虛設閘極結構75,介電層形成於半導體鰭64上。介電層可例如為氧化矽、氮化矽、前述之多層或類似物,且可透過沉積或熱成長形成。
閘極層形成於介電層上方,且遮罩層形成於閘極層上方。閘極層可沉積於介電層上方,且接著例如透過化學機械研磨來平坦化。遮罩層可沉積於閘極層上方。閘極層可例如由多晶矽形成,但是也可使用其他材料。遮罩層可例如由氮化矽或類似物形成。
在形成上述層(例如介電層、閘極層和遮罩層)之後,遮罩層可透過使用合適的光微影和蝕刻技術圖案化,以形成遮罩70。接著,遮罩70的圖案可透過合適的蝕刻技術轉移至閘極層和介電層,以分別形成閘極電極68和閘極介電質66。閘極電極68和閘極介電質66覆蓋半導體鰭64的個別通道區。閘極電極68也可具有長度方向大致垂直於個別半導體鰭64的長度方向。
第5圖的範例中顯示閘極介電質66形成於半導體鰭64上方(例如半導體鰭64的頂表面和側壁上方)和隔離區62上方。在其他實施例中,閘極介電質66可透過將半導體鰭64的材料熱氧化形成,且因此閘極介電質66可形成於半導體鰭64上方但不形成於隔離區62上方。這些變化和其他變化完全地被包含在本發明實施例的範圍中。
第6、7A、8-12、16和17A圖顯示沿剖面A-A(沿半導體鰭64的縱軸),鰭式場效電晶體裝置100的進一步加工的剖面示意圖。注意到在第6、7A、8-12、16和17A圖中,三個虛設閘極結構75(例如虛設閘極結構75A、75B和75C)形成於半導體鰭64上方作為非限制性範例。本領域技術人員將理解多於或少於三個虛設閘極結構可形成於半導體鰭64上方,這些變化和其他變化完全地被包含在本發明實施例的範圍中。
如第6圖所示,輕摻雜汲極(lightly doped drain,LDD)區65形成於半導體鰭64中。輕摻雜汲極區65可透過電漿摻雜製程形成。電漿摻雜製程可包含形成並圖案化遮罩(例如光阻)以覆蓋將受保護免受電漿摻雜製程影響的鰭式場效電晶體的區域。電漿摻雜製程可將n型或p型雜質植入半導體鰭64中,以形成輕摻雜汲極區65。舉例來說,可將p型雜質(例如硼)植入半導體鰭64中,以形成用於p型裝置的輕摻雜汲極區65。作為另一範例,可將n型雜質(例如磷)植入半導體鰭64中,以形成用於n型裝置的輕摻雜汲極區65。在一些實施例中,輕摻雜汲極區65鄰接鰭式場效電晶體裝置100的通道區。輕摻雜汲極區65的一部分可延伸至閘極電極68下方,並延伸至鰭式場效電晶體裝置100的通道區中。第6圖顯示輕摻雜汲極區65的非限制性範例。輕摻雜汲極區65的其他配置、形狀和形成方法也為可能的且完全地被包含在本發明實施例的範圍中。舉例來說,輕摻雜汲極區65可在形成閘極間隙壁87之後形成。在一些實施例中,省略輕摻雜汲極區65。為簡單起見,輕摻雜汲極區65未顯示於後續圖式中,但是可以理解輕摻雜汲極區65可形成於半導體鰭64中。
請參照第6圖,在形成輕摻雜汲極區65之後,閘極間隙壁87形成於虛設閘極結構75周圍。閘極間隙壁87可包含第一閘極間隙壁72和第二閘極間隙壁86。舉例來說,第一閘極間隙壁72可為閘極密封間隙壁,且形成於閘極電極68的兩側側壁上且形成於閘極介電質66的兩側側壁上。第二閘極間隙壁86形成於第一閘極間隙壁72上。第一閘極間隙壁72可由氮化物(例如氮化矽)、氮氧化矽、碳化矽、氮碳化矽、類似物或前述之組合形成,且可透過使用例如熱氧化、化學氣相沉積或其他合適的沉積製程形成。第二閘極間隙壁86可由氮化矽、氮碳化矽、前述之組合或類似物,且可透過使用合適的沉積方法形成。
在一實施例中,閘極間隙壁87透過在鰭式場效電晶體裝置100上方順應性沉積第一閘極間隔層,接著在第一閘極間隔層上方順應性沉積第二閘極間隔層來形成。接著,進行非等向性蝕刻製程(例如乾蝕刻製程)已移除設置於鰭式場效電晶體裝置100的上表面(例如遮罩70的上表面)上的第二閘極間隔層的第一部分,同時保留沿閘極結構的側壁設置的第二閘極間隔層的第二部分。在非等向性蝕刻製程之後剩下的第二閘極間隔層的第二部分形成第二閘極間隙壁86。非等向性蝕刻製程也移除設置於第二閘極間隙壁86的側壁之外的第一閘極間隔層的一部分,且第一閘極間隔層的剩下部分形成第一閘極間隙壁72。
第6圖所示的閘極間隙壁87的形狀和形成方法僅為非限制性的範例,且可能有其他形狀和形成方法。這些變化和其他變化完全地被包含在本發明實施例的範圍中。
接著,如第7圖所示,凹口形成於半導體鰭64中且與虛設閘極結構75相鄰(例如在相鄰的虛設閘極結構75之間及/或在虛設閘極結構75旁),且磊晶源極/汲極區80形成於凹口中。在一些實施例中,凹口透過例如使用虛設閘極結構75和閘極間隙壁87作為蝕刻遮罩的非等向性蝕刻製程形成,但是也可使用任何其他合適的蝕刻製程。
接著,磊晶源極/汲極區80形成於凹口中。磊晶源極/汲極區80透過在凹口中磊晶成長材料形成,且透過使用合適方法例如金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、類似方法或前述之組合形成。
如第7A、7B和7C圖所示,磊晶源極/汲極區80可具有從半導體鰭64的個別表面突起的表面(例如突出於半導體鰭64的未凹陷部分之上),且可具有多面。在第7A圖的範例中,磊晶源極/汲極區80的上表面80U延伸至半導體鰭64的上表面64U之上例如3nm或更多。相鄰半導體鰭64的磊晶源極/汲極區80可合併以形成連續的磊晶源極/汲極區80(請參照第7C圖)。在一些實施例中,相鄰半導體鰭64的磊晶源極/汲極區80不合併在一起且保持為隔開的磊晶源極/汲極區80(請參照第7B圖)。在一些實施例中,最終的鰭式場效電晶體為n型鰭式場效電晶體,且磊晶源極/汲極區80包括碳化矽(SiC)、矽磷(SiP)、磷摻雜矽碳(SiCP)或類似物。在一些實施例中,最終的鰭式場效電晶體為p型鰭式場效電晶體,且磊晶源極/汲極區80包括SiGe,且p型雜質例如硼或銦。
可將磊晶源極/汲極區80佈植摻雜物以形成源極/汲極區,接著進行退火製程。佈植製程可包含形成並圖案化遮罩(例如光阻)以覆蓋將受保護免受佈植製程影響的鰭式場效電晶體裝置100的區域。磊晶源極/汲極區80可具有雜質濃度在約1019 cm-3 至約1021 cm-3 之間的範圍中。可將p型雜質(例如硼或銦)佈植於p型電晶體的磊晶源極/汲極區80中。可將n型雜質(例如磷或砷)佈植於n型電晶體的磊晶源極/汲極區80中。在一些實施例中,磊晶源極/汲極區可在成長期間原位摻雜。
接著,如第8圖所示,接觸蝕刻停止層(contact etch stop layer,CESL)89形成於第7A圖所示的結構上方。接觸蝕刻停止層89在後續蝕刻製程中作為蝕刻停止層,且可包括合適的材料,例如氧化矽、氮化矽、氮氧化矽、前述之組合或類似物,且可透過合適的形成方法形成,例如化學氣相沉積、物理氣相沉積、前述之組合或類似方法。
接著,第一層間介電質(interlayer dielectric,ILD)90形成於接觸蝕刻停止層89上方且形成於虛設閘極結構75(例如虛設閘極結構75A、75B和75C)上方。在一些實施例中,第一層間介電質90由介電材料形成,例如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜矽酸鹽玻璃(undoped silicate glass,USG)或類似物,且可透過任何合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積或可流動化學氣相沉積。可進行平坦化製程(例如化學機械研磨製程)來移除遮罩70,並移除接觸蝕刻停止層89設置於閘極電極68上方的部分。在平坦化製程之後,第一層間介電質90的頂表面與閘極電極68的頂表面齊平,如第8圖所示。
接著,在第9圖中,進行例示性的閘極後製製程(有時被稱為取代閘極製程)來以主動閘極(也可被稱為取代閘極或金屬閘極)和主動閘極介電材料分別取代閘極電極68和閘極介電質66。因此,在閘極後製製程中,閘極電極68和閘極介電質66可分別被稱為虛設閘極電極和虛設閘極介電質。主動閘極和主動閘極介電材料可被統稱為金屬閘極結構或取代閘極結構。在顯示的實施例中,主動閘極為金屬閘極。
請參照第9圖,取代閘極結構97A、97B和97C分別取代虛設閘極結構75A、75B和75C(第8圖)。依據一些實施例,為了形成取代閘極結構97(例如取代閘極結構97A、97B和97C),在蝕刻步驟中移除閘極電極68以及在閘極電極68正下方的閘極介電質66,使得凹陷(未顯示)形成於閘極間隙壁87之間。每個凹口暴露出個別半導體鰭64的通道區。在虛設閘極移除期間,閘極介電質66可用作當蝕刻閘極電極68時的蝕刻停止層。在移除閘極電極68之後,可接著移除閘極介電質66。
接著,閘極介電層94、阻障層96、晶種層98和閘極電極99形成於用於取代閘極結構97的凹口中。閘極介電層94順應性沉積於凹口中,例如沉積於半導體鰭64的頂表面和側壁上、閘極間隙壁87的側壁上以及第一層間介電質90的頂表面上(未顯示)。依據一些實施例,閘極介電層94包括氧化矽、氮化矽或前述之多層。在其他實施例中,閘極介電層94包含高介電常數介電材料,且在這些實施例中,閘極介電層94可具有大於約7.0的介電常數值,且可包含金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb的矽酸鹽和前述之組合。閘極介電層94的形成方法可包含分子束磊晶(MBD)、原子層沉積(atomic layer deposition,ALD)、電漿輔助化學氣相沉積和類似方法。
接著,阻障層96順應性形成於閘極介電層94上方。阻障層96可包括導電材料(例如氮化鈦),但是可替代地使用其他材料,例如氮化鉭、鈦、鉭或類似物。阻障層96可透過使用化學氣相沉積製程形成,例如電漿輔助化學氣相沉積。然而,可替代地使用其他替代製程,例如濺鍍、金屬有機化學氣相沉積(MOCVD)或原子層沉積。
雖未顯示於第9圖,在一些實施例中,在形成晶種層98之前,功函數層(例如p型功函數層或n型功函數層)可形成於阻障層96上方。可包含在用於p型裝置的閘極結構中之例示性的p型功函數金屬包含TiN、TaN、Ru、Mo、Al、WN、ZrSi2 、MoSi2 、TaSi­2 、NiSi2 、其他合適的p型功函數材料或前述之組合。可包含在用於n型裝置的閘極結構中之例示性的n型功函數金屬包含Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的n型功函數材料或前述之組合。功函數值與功函數層的材料組成相關連。因此,選擇功函數層的材料來調整其功函數,使得在將要形成的裝置中達到目標的臨界電壓Vt 。功函數層可透過化學氣相沉積、物理氣相沉積(physical vapor deposition,PVD)及/或其他合適的製程來沉積。
接著,晶種層98順應性形成於阻障層96上方。晶種層98可包含銅、鈦、鉭、氮化鈦、氮化鉭、類似物或前述之組合,且可透過原子層沉積、濺鍍、物理氣相沉積或類似方法沉積。在一些實施例中,晶種層為金屬層,且可為單一層或包括由不同材料形成之複數個子層的複合層。舉例來說,晶種層98包括鈦層和在鈦層上方的銅層。
接著,閘極電極99沉積於晶種層98上方,且填充凹口的剩下部分。閘極電極99由含金屬材料製成,例如Cu、Al、W、類似物、前述之組合或前述之多層,且可透過例如電鍍、無電電鍍或其他合適的方法形成。在形成閘極電極99之後,可進行平坦化製程(例如化學機械研磨)來移除閘極介電層94、阻障層96、功函數層(如有形成)、晶種層98和閘極電極99的多餘部分,這些多餘部分在第一層間介電質90的頂表面上方。因此,閘極介電層94、阻障層96、功函數層(如有形成)、晶種層98和閘極電極99最終剩下的部分形成最終鰭式場效電晶體裝置100的取代閘極結構97。
請參照第10圖,第二層間介電質92形成於第一層間介電質90上方。接著,接觸開口91(例如接觸開口91A和91B)形成通過第二層間介電質92以暴露出取代閘極結構97(例如取代閘極結構97A、97B和97C),或通過第二層間介電質92和第一層間介電質90以暴露出磊晶源極/汲極區80。
在一實施例中,第二層間介電質92為透過可流動化學氣相沉積形成的可流動膜。在一些實施例中,第二層間介電質92由介電材料形成,例如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼摻雜磷矽酸鹽玻璃、未摻雜矽酸鹽玻璃或類似物,且可透過任何合適的方法沉積,例如化學氣相沉積和電漿輔助化學氣相沉積。在一些實施例中,第一層間介電質90和第二層間介電質92由相同材料(例如氧化矽)形成。
接觸開口91可透過使用光微影和蝕刻形成。蝕刻製程蝕刻通過接觸蝕刻停止層89以暴露出磊晶源極/汲極區80。蝕刻製程可暴露出取代閘極結構97。在第10圖的範例中,形成接觸開口91的蝕刻製程也移除磊晶源極/汲極區80的頂部,此外,接觸開口91的底部可橫向延伸超過第一層間介電質90的側壁90S。
接著,在第11圖中,層95選擇性形成(例如沉積)於由接觸開口91B(也被稱為源極/汲極接觸開口)暴露的磊晶源極/汲極區80上。在顯示的實施例中,層95為在磊晶源極/汲極區80上方的矽化物層,且因此層95也可被稱為矽化物區。層95包括能夠與半導體材料(例如矽、鍺)反應的金屬組件,例如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐火金屬、稀土金屬或前述之合金。在顯示的實施例中,層95包括矽化鈦(例如TiSi)。
在一些實施例中,選擇性形成層95於磊晶源極/汲極區80上,進行電漿輔助化學氣相沉積製程,其中調整電漿輔助化學氣相沉積製程的製程條件以實現層95的選擇性沉積,在之後討論其細節。在一些實施例中,在電漿輔助化學氣相沉積製程中使用射頻(radio frequency,RF)源(也被稱為射頻功率源)以將氣體活化(例如點燃)為電漿。在傳統的電漿輔助化學氣相沉積系統中,一旦開啟射頻源,就會在整個電漿輔助化學氣相沉積製程中保持開啟的狀態。在本發明實施例中,在電漿輔助化學氣相沉積製程期間使用射頻源交替地開啟和關閉(而非保持開啟)來進行電漿輔助化學氣相沉積製程,之後參照第13A-13C圖討論其細節。舉例來說,用於本發明實施例的電漿輔助化學氣相沉積的沉積工具的射頻源可具有控制機制,此控制機制被配置為依據可控制或可編程的一些參數(例如之後討論的開啟時間、關閉時間)在電漿輔助化學氣相沉積製程期間將射頻源交替地開啟和關閉。
在顯示的實施例中,使用包括氫氣(例如H2 )和四氯化鈦氣體(例如TiCl4 )的氣體源(例如前驅物)進行電漿輔助化學氣相沉積製程。H2 氣體和TiCl4 氣體的流量的比率小於約2,例如在約1與約2之間。H2 氣體和TiCl4 氣體透過電漿輔助化學氣相沉積製程使用的射頻源活化(例如點燃)為電漿。在電漿輔助化學氣相沉積製程期間,射頻功率小於約500W,例如在約100W與約500W之間。在顯示的實施例中,射頻源的射頻頻率在約1KHz與約10KHz之間,電漿輔助化學氣相沉積製程的壓力在約1Torr與約10Torr之間,且電漿輔助化學氣相沉積製程的溫度在約100ºC與約500ºC之間,例如約400ºC。可將前驅物之間的化學反應描述為: TiCl4 + H2 → Ti + HCl 由上述化學反應形成的鈦與在磊晶源極/汲極區80的表面處的材料(例如矽)反應以形成矽化物區,在之後討論其細節。
在一些實施例中,在電漿輔助化學氣相沉積製程期間交替地開啟和關閉電漿輔助化學氣相沉積工具的射頻源以調整電漿輔助化學氣相沉積製程的電漿(例如氫電漿和四氯化鈦電漿)的平均能量。第13A-13C顯示電漿輔助化學氣相沉積製程的電漿的能階反應交替地開啟和關閉射頻源的一些範例。在第13A-13C圖的每一個圖式中,x軸顯示電漿輔助化學氣相沉積製程的時間,y軸顯示電漿的能量。舉例來說,在第13A圖中,射頻源在時間t1開啟,且在時間t1與時間t2之間保持開啟,其導致電漿的能量從能量P1增加至能量P2,如第13A圖的曲線110所示。在時間t2,將射頻源關閉,並在時間t2與時間t3之間保持關閉,因此電漿的能量從能量P2下降至能量P3。接著,在時間t3,再次開啟射頻源,且在時間t4,再次關閉射頻源。在電漿輔助化學氣相沉積製程期間重複上述射頻源的開啟和關閉圖案,直到達到層95的目標厚度。時間t1與時間t3之間的持續時間可被稱為射頻源的循環或開關週期,且可將射頻源描述為週期性地開啟和關閉。時間t1與時間t2之間的持續時間被稱為循環中的開啟時間,且時間t2與時間t3之間的持續時間被稱為循環中的關閉時間。
第13A圖更顯示電漿輔助化學氣相沉積製程的電漿的平均能量Pav ,平均能量Pav 在能量P2與能量P1(或能量P3)之間。第13A圖顯示的電漿的能階的曲線110的形狀為非限制性範例,用於電漿輔助化學氣相沉積製程中的電漿的能階也可能為其他形狀,且其他形狀完全地被包含在本發明實施例的範圍中。舉例來說,第13B圖中的曲線120和在第13C圖中的曲線130顯示透過開關(交錯地開啟和關閉)射頻源來調變(例如調整)電漿的平均能量的兩個另外的範例。特別來說,曲線120包括三角形(也可被稱為鋸齒形),且曲線130包括梯形。
當射頻源在固定功率水平時,透過調整在開關週期中的時間t1與時間t2之間的持續時間(開啟時間)和時間t2與時間t3之間的持續時間(關閉時間),可輕易調整電漿的平均能量Pav 以達到目標位階。此顯示本發明實施例的優點。在傳統電漿輔助化學氣相沉積系統中,射頻源在電漿輔助化學氣相沉積製程期間保持開啟,因此可導致電漿輔助化學氣相沉積製程的電漿為大致固定的能階。此外,即使有著可調整的射頻源的射頻功率,傳統電漿輔助化學氣相沉積系統仍然難以輕易地調整電漿的平均能量,或精確地實現低且穩定的平均能量的電漿。現在有著週期性地開啟和關閉射頻源的電漿輔助化學氣相沉積系統提供了有效、容易且準確的方法來在廣的範圍中調整電漿輔助化學氣相沉積製程的電漿的平均能量。此可透過調整在射頻源的開關週期中的開啟時間和關閉時間來實現。
在顯示的實施例中,時間t1與時間t2之間的持續時間為約10µs,且時間t2與時間t3之間的持續時間為約50µs。換句話說,在每個開關週期中,射頻源保持開啟(例如運作)約10µs,且接著保持關閉(例如不運作)例如約50µs。以上開啟時間和關閉時間值僅為非限制性的範例。也可能為其他開啟時間和關閉時間值,且其他開啟時間和關閉時間值完全地被包含在本發明實施例的範圍中。
在一些實施例中,為了實現選擇性沉積層95於磊晶源極/汲極區80上,將電漿輔助化學氣相沉積製程的電漿的平均能量調整(例如調整循環中的開啟時間和關閉時間)為大於形成層95於磊晶源極/汲極區80上的第一活化能,但是小於形成層95於例如第一層間介電質90上的第二活化能。
請參照第14圖,曲線150顯示用於形成層95於磊晶源極/汲極區80的暴露表面上所需的能量,且曲線140顯示用於形成層9於第一層間介電質90的表面上所需的能量。舉例來說,曲線150顯示需要等於能階E2與能階E1之間的差異的活化能Ea_ 1(例如Ea_ 1=E2-E1)來打斷例如在磊晶源極/汲極區80(例如Si)的暴露表面處的Si-Si鍵結,且使得層95的金屬組件(例如Ti)與磊晶源極/汲極區80的暴露表面形成鍵結(例如Ti-Si鍵結)。因此,在一些實施例中,活化能Ea_ 1代表Si-Si鍵解離能(例如約310KJ/mol)。相似地,曲線140顯示需要等於能階E3與能階E1之間的差異的活化能Ea_ 2(例如Ea_ 2=E3-E1)來打斷例如第一層間介電質90的表面處的Si-N鍵結,且使得層95的金屬組件(例如Ti)與第一層間介電質90的表面形成鍵結。因此,在一些實施例中,活化能Ea_2 代表Si-N鍵解離能(例如約437KJ/mol)。由於活化能Ea_ 2大於活化能Ea_ 1,因此如果電漿輔助化學氣相沉積製程的電漿提供的能量在活化能Ea_ 1與活化能Ea_ 2之間,可實現層95的選擇性沉積。換句話說,如果電漿輔助化學氣相沉積製程的電漿提供的能量大於活化能Ea_ 1但小於活化能Ea_ 2,層95形成於磊晶源極/汲極區80上但不形成於第一層間介電質90上。第14圖更顯示用於沉積製程的吉布斯自由能(Gibbs free energy)ΔG。在第14圖的範例中,吉布斯自由能ΔG大於零,其顯示需要啟動反應的能量(例如ΔG>0)。
如上所述,調整揭露的電漿輔助化學氣相沉積製程的製程條件以達成層95的選擇性沉積。除了調整射頻源的每個循環中的開啟時間和關閉時間,也將控制H2 的流量與TiCl4 的流量之間的比率(為了方便討論,也可被稱為流量比率)在目標範圍中,以達成層95的選擇性沉積。為了顯示選擇性沉積層95的製程條件的重要性,第15圖顯示在不同製程條件下的電漿輔助化學氣相沉積製程的選擇性。在第15圖中,y軸顯示沉積製程的選擇性,可將其選擇性計算為在磊晶源極/汲極區80上的沉積層(例如TiSi)的厚度與在第一層間介電質90上的沉積層的厚度的比率。x軸顯示不同組實驗數據中形成於磊晶源極/汲極區80上的沉積層(例如TiSi)的厚度。第15圖顯示四種不同組合的製程條件的實驗數據,即有著射頻源脈衝的低流量比率、沒有射頻源脈衝的低流量比率、有著射頻源脈衝的高流量比率以及沒有射頻源脈衝的高流量比率,其中有著射頻源脈衝表示在電漿輔助化學氣相沉積製程期間將射頻源交替地開啟和關閉(請參照第13A-13C圖),低流量比率代表H2 和TiCl4 之間的流量比率小於2,且高流量比率代表H2 和TiCl4 之間的流量比率大於2。對區域203中的數據來說,選擇性值顯示於第15圖的左側的y軸。對區域201中的數據來說,選擇性值顯示於第15圖的右側的y軸。
從第15圖可以看出當H2 的流量與TiCl4 的流量之間的比率大於2(例如高流量比率)時,無論射頻源脈衝(交替地開啟和關閉)與否,沉積製程的選擇性很低(例如有著值在1左右)。換句話說,在顯示的實施例中,低流量比率(例如H2 的流量與TiCl4 的流量之間的比率小於2)為在磊晶源極/汲極區80上選擇性沉積TiSi的必要條件。此外,第15圖顯示具有低流量比率並非在磊晶源極/汲極區80上選擇性沉積TiSi的充分條件,因為“沒有射頻源脈衝的低流量比率”(第15圖中標示為“低H2 /TiCl4 (無脈衝)”)的製程條件為低選擇性。換句話說,在顯示的實施例中,在磊晶源極/汲極區80上選擇性沉積TiSi僅在當低流量比率結合射頻源脈衝作為製程條件時為可能的。
請參照第11圖,在磊晶源極/汲極區80上形成層95的第一活化能低於在第一層間介電質90上形成層95的第二活化能,因此,透過將電漿輔助化學氣相沉積製程的電漿的平均能量控制在大於第一活化能但是小於第二活化能,金屬(例如由前驅物之間的化學反應形成的Ti)可與磊晶源極/汲極區80形成鍵結以形成層95,但是不與第一層間介電質90形成鍵結。因此,層95(例如TiSi)形成於磊晶源極/汲極區80上,但不形成於第一層間介電質90上。相似地,透過將電漿輔助化學氣相沉積製程的電漿的平均能量控制在大於第一活化能但是小於第二層間介電質92上和在取代閘極結構97上形成層95所需的活化能,層95(例如TiSi)形成於磊晶源極/汲極區80上,但不形成於第二層間介電質92或取代閘極結構97上。因此,設置於選擇性形成層95的上表面(例如最上表面)之上的第一層間介電質90的側壁大致不含層95。
第12圖為第11圖的區域190的放大視圖。第12圖顯示透過使用所揭露的電漿輔助化學氣相沉積製程形成的層95,層95具有延伸超出第一層間介電質90的側壁90S的末端95E(在圓圈虛線之內的部分)。換句話說,末端95E設置於第一層間介電質90之下和在接觸蝕刻停止層89之下。這些末端95E增加矽化物區的尺寸,因此,改善了形成的裝置的電性效能(例如較低的接觸電阻)。
請參照第11圖,在形成層95之後,可進行選擇性退火以控制矽化物區的相位。注意到由於層95選擇性形成於磊晶源極/汲極區80上,因此第一層間介電質90和第二層間介電質92的側壁大致不含層95。因此,在形成層95之後,不需要進行蝕刻製程來從第一層間介電質90和第二層間介電質92的側壁移除層95。由於如果進行蝕刻製程,則可能將層95氧化且可消耗層95(其由於增加接觸電阻而降低裝置的電性效能),在本發明實施例中,透過消除進行例如蝕刻製程的需求,避免了由蝕刻製程導致的效能降低。此外,由於第一層間介電質90和第二層間介電質92的側壁大致不含層95,接觸開口91的寬度W(從第二層間介電質92的上表面測量)在形成層95之後保持不變,進而使後續層(例如第17A圖中的阻障層101、晶種層103和導電材料105)較容易形成於接觸開口91中。否則,如果層95覆蓋第一層間介電質90和第二層間介電質92的側壁,會降低寬度W,且將增加接觸開口91的深寬比,使得後續層較難形成於較窄的接觸開口91中,且當以導電材料填充接觸開口91時,可形成空隙(例如空間)。空隙以及較小體積的導電材料在接觸開口91中可增加後續形成的源極/汲極接點的電阻。相較之下,本發明實施例揭露的方法透過在磊晶源極/汲極區80上選擇性形成層95避免了上述問題。
接著,在第16圖中,選擇性的阻障層93形成於層95上方以保護層95例如免於進一步氧化。在顯示的實施例中,阻障層93透過進行氧化製程或氮化製程以自對準方式將層95的上部(例如第11圖中靠近層95的上表面的部分)轉變為氧化物或氮化物。舉例來說,可供應含氮電漿及/或含氮氣體接觸層95以形成層95的氮化物(例如阻障層93),其氮化物可為或包括氮矽化鈦(TiSiN)。相似地,可供應含氧電漿及/或含氧氣體接觸層95以形成層95的氧化物(例如阻障層93),其氧化物可為或包括氧矽化鈦(TiSiO)。由於阻障層93以自對準方式形成,因此不須遮罩層或蝕刻製程來形成阻障層93,形成阻障層93的簡單製程為本發明實施例的另一個優點。在其他實施例中,不形成阻障層93。為簡單起見,後續圖式不顯示阻障層93,但是可以理解可形成阻障層93。
接著,在第17A圖中,接點102(例如接點102A、102B,也可被稱為接觸插塞)形成於接觸開口91中。在顯示的實施例中,每個接點102包含阻障層101、晶種層103和導電材料105,並電性耦接至下方導電部件(例如取代閘極結構97或層95)。電性耦接至取代閘極結構97的接點102A可被稱為閘極接點,且電性耦接至層95的接點102B可被稱為源極/汲極接點。阻障層101、晶種層103和導電材料105的材料和形成方法可分別相同於或相似於上述討論的取代閘極結構97的阻障層96、晶種層98和閘極電極99,因此不重複細節。在第17A圖中,為了顯示目的,在相同剖面中顯示所有接點102。當然,此僅為範例,但不限於此。接點102可在不同剖面中形成。
第17B圖顯示第17A圖的鰭式場效電晶體裝置100,但是第17B圖為沿剖面B-B。第17B圖顯示接點102在每個半導體鰭64A和64B上方。接點102電性耦接至取代閘極結構97。接點102的數量和位置僅為顯示目的且非限制性,可能為其他數量或其他位置,且這些變化完全地被包含在本發明實施例的範圍中。
第18A和18B圖顯示依據一實施例之鰭式場效電晶體裝置100A的剖面示意圖。鰭式場效電晶體裝置100A相似於鰭式場效電晶體裝置100,有著相同參考符號代表透過相同或相似的形成製程形成的相同或相似組件,因此不重複細節。相較於鰭式場效電晶體裝置100,鰭式場效電晶體裝置100A在接點102中不具有阻障層101,在此情況中,導電材料105可為不需阻障層來防止例如銅中毒的金屬,例如鈷、鎢或類似物。換句話說,晶種層103直接形成於(例如物理接觸)第一層間介電質90和第二層間介電質92的側壁上。
本發明實施例可能有其他變化,且這些變化完全地被包含在本發明實施例的範圍中。舉例來說,雖然討論使用在磊晶源極/汲極區80上方選擇性沉積TiSi的範例選擇性沉積層95,本文揭露的原理可用於選擇性沉積層,例如在第一材料上沉積此層但不在第二材料上沉積此層。如果使此層形成於第一材料上所需的第一活化能低於此此層形成於第二材料上所需的第二活化能,可將沉積製程(例如電漿輔助化學氣相沉積製程)所提供的能量控制在大於第一活化能但是小於第二活化能,進而實現在第一材料上的選擇性沉積。為了控制沉積製程(例如電漿輔助化學氣相沉積製程)所提供的能量,如以上參照第13A-13C圖所述,可週期性地開啟和關閉電漿輔助化學氣相沉積製程的射頻源,且可調整射頻源的每個循環的開啟時間和關閉時間,以達到目標能量位階。
第19圖顯示依據一些實施例之形成半導體裝置的方法1000的流程圖。應當理解的是,第19圖所示的實施例方法僅為許多可能的實施例方法的範例。本發明所屬技術領域中具通常知識者將理解許多變化、替代或修改。舉例來說,可增加、移除、取代、重排列和重複第19圖中所示的各種步驟。
請參照第19圖,在步驟1010中,在閘極結構的兩側上形成源極/汲極區,其中閘極結構在鰭上方且被第一介電層圍繞。在步驟1020中,在第一介電層中形成開口以暴露出源極/汲極區。在步驟1030中,使用電漿輔助化學氣相沉積(PECVD)製程在源極/汲極區上的開口中選擇性形成矽化物區。在步驟1040中,以導電材料填充開口。
在一實施例中,半導體裝置的形成方法包含在閘極結構的兩側形成源極/汲極區,其中閘極結構在鰭上方且被第一介電層圍繞;在第一介電層中形成開口以暴露出源極/汲極區;使用電漿輔助化學氣相沉積(PECVD)製程在源極/汲極區上的開口中選擇性形成矽化物區;以及以導電材料填充開口。在一實施例中,此方法更包含在填充開口之前,在開口中形成阻障層,其中阻障層作為暴露於開口的第一介電層的側壁的襯墊,且作為矽化物區的頂表面的襯墊。在一實施例中,電漿輔助化學氣相沉積製程使用產生電漿的射頻源,其中在電漿輔助化學氣相沉積製程期間將射頻源交錯地開啟和關閉。在一實施例中,在電漿輔助化學氣相沉積製程中的電漿的平均能量大於在源極/汲極區上形成矽化物區的第一活化能,且小於在第一介電層上形成矽化物區的第二活化能。在一實施例中,射頻源在電漿輔助化學氣相沉積製程的每一循環中開啟第一持續時間並關閉第二持續時間,其中此方法更包括透過調整第一持續時間和第二持續時間來調整電漿的平均能量。在一實施例中,矽化物區包括矽化鈦,且電漿輔助化學氣相沉積製程透過使用包括氫和四氯化鈦的氣體源來進行。在一實施例中,氫的流量與四氯化鈦的流量之間的比率小於約2。在一實施例中,射頻源的功率在約100W與約500W之間。在一實施例中,射頻源的頻率在約1KHz與約10KHz之間,且電漿輔助化學氣相沉積製程的壓力在約1torr與約10torr之間。在一實施例中,此方法更包含在填充開口之前,在矽化物區上方形成自對準阻障層。在一實施例中,形成自對準阻障層的步驟包括供應包括氮的氣體或供應包括氮的電漿至矽化物區的表面。在一實施例中,形成自對準阻障層的步驟包括供應包括氧的氣體或供應包括氧的電漿至矽化物區的表面。
在一實施例中,半導體裝置的形成方法包含確定用於在半導體結構的第一材料上形成第三材料的第一活化能;確定用於在半導體結構的第二材料上形成第三材料的第二活化能,第二活化能大於第一活化能;以及透過進行電漿輔助化學氣相沉積(PECVD)製程在第一材料上選擇性沉積第三材料,其中電漿輔助化學氣相沉積製程的電漿的平均能量大於第一活化能且小於第二活化能。在一實施例中,在選擇性沉積第三材料之後,第三材料覆蓋第一材料,且暴露出第二材料。在一實施例中,使用射頻源產生電漿輔助化學氣相沉積製程的電漿,其中在電漿輔助化學氣相沉積製程期間將射頻源交錯地開啟和關閉。在一實施例中,此方法更包括透過調整開啟射頻源的第一持續時間及調整關閉射頻源的第二持續時間來調整電漿輔助化學氣相沉積製程的電漿的平均能量。
在一實施例中,半導體裝置的形成方法包含在鰭上方形成虛設閘極結構,虛設閘極結構被第一介電層圍繞,第一介電層覆蓋設置於虛設閘極結構的兩側的源極/汲極區;以金屬閘極結構取代虛設閘極結構;在第一介電層中形成開口,以暴露出源極/汲極區;透過進行電漿輔助化學氣相沉積(PECVD)製程,在源極/汲極區上的開口的底部選擇性形成矽化物材料,其中在電漿輔助化學氣相沉積製程期間,將用於電漿輔助化學氣相沉積製程的射頻源週期性地開啟和關閉;以及以導電材料填充開口。在一實施例中,此方法更包括透過調整電漿輔助化學氣相沉積製程的循環中的開啟時間和關閉時間來調整電漿輔助化學氣相沉積製程的電漿的平均能量,其中開啟時間為射頻源開啟期間的電漿輔助化學氣相沉積製程的循環的第一持續時間,且關閉時間為射頻源關閉期間的電漿輔助化學氣相沉積製程的循環的第二持續時間。在一實施例中,矽化物材料為矽化鈦,且使用包括氫和四氯化鈦的氣體進行電漿輔助化學氣相沉積製程,其中氫的流量與四氯化鈦的流量之間的比率小於約2。在一實施例中,此方法更包括在填充開口之前,透過供應含氮氣體或含氮電漿至矽化物材料以在矽化物材料上方形成自對準阻障層。
本發明實施例可達成許多優點。舉例來說,本發明實施例揭露的方法使得在準備形成矽化物區時,能選擇性沉積金屬層於磊晶源極/汲極區80上方。由於金屬層在開口(例如源極/汲極接觸開口)的底部選擇性沉積於磊晶源極/汲極區80上方,因此並未降低開口的深寬比,其降低或避免於接觸插塞中形成空隙的可能性。本發明實施例揭露的方法也消除用於移除在形成矽化物區之後的未反應的金屬層的蝕刻製程,其避免矽化物區的氧化和矽化物區的消耗。因此,改善了形成的裝置的電性效能。此外,透過調整電漿輔助化學氣相沉積工具的射頻源的循環的開啟時間和關閉時間,可輕易地將電漿輔助化學氣相沉積製程的電漿的平均能量調整至目標能量位階,其可促進選擇性沉積製程。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
30:鰭式場效電晶體 50:基底 52:墊氧化層 56:墊氮化層 58:圖案化遮罩 61:溝槽 62:隔離區 64、64A、64B:半導體鰭 64U、80U:上表面 65:輕摻雜汲極區 66:閘極介電質 68、99:閘極電極 70:遮罩 72:第一閘極間隙壁 75、75A、75B、75C:虛設閘極結構 80:磊晶源極/汲極區 86:第二閘極間隙壁 87:閘極間隙壁 89:接觸蝕刻停止層 90:第一層間介電質 90S:側壁 91、91A、91B:接觸開口 92:第二層間介電質 93、96、101:阻障層 94:閘極介電層 95:層 95E:末端 97、97A、97B、97C:取代閘極結構 98、103:晶種層 100、100A:鰭式場效電晶體裝置 102、102A、102B:接點 105:導電材料 110、120、130、140、150:曲線 190、201、203:區域 1000:方法 1010、1020、1030、1040:步驟 E1、E2、E3:能階 Ea_1、Ea_2:活化能 P1、P2、P3:能量 PAV:平均能量 t1、t2、t3、t4:時間 W:寬度
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1圖顯示依據一些實施例之鰭式場效電晶體(FinFET)裝置的範例的透視圖。 第2-6、7A-7C、8-12、16、17A和17B圖為依據一實施例之製造鰭式場效電晶體裝置的各個中間階段的剖面示意圖。 第13A-13C圖顯示在各種實施例中電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)製程中的電漿的能階。 第14圖顯示在一實施例中在不同類型的材料上方沉積層的活化能。 第15圖顯示一些實施例中有著不同製程條件的各種電漿輔助化學氣相沉積製程的沉積選擇性。 第18A和18B圖顯示依據一實施例之顯示鰭式場效電晶體裝置的剖面示意圖。 第19圖顯示依據一些實施例之形成半導體裝置的方法的流程圖。
1000:方法
1010、1020、1030、1040:步驟

Claims (10)

  1. 一種半導體裝置的形成方法,包括:在一閘極結構的兩側形成複數個源極/汲極區,其中該閘極結構在一鰭上方且被一第一介電層圍繞;在該第一介電層中形成複數個開口以暴露出該複數個源極/汲極區;使用一電漿輔助化學氣相沉積製程在該複數個源極/汲極區上的該複數個開口中選擇性形成複數個矽化物區,其中該電漿輔助化學氣相沉積製程在該複數個源極/汲極區上而不在該第一介電層上形成該矽化物區,其中該電漿輔助化學氣相沉積製程使用產生一電漿的一射頻源,其中在該電漿輔助化學氣相沉積製程期間將該射頻源交錯地開啟和關閉,且其中在該電漿輔助化學氣相沉積製程中的該電漿的平均能量大於在該複數個源極/汲極區上形成該複數個矽化物區的一第一活化能,且小於在該第一介電層上形成該複數個矽化物區的一第二活化能;以及以一導電材料填充該複數個開口。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括在填充該複數個開口之前,在該複數個開口中形成一阻障層,其中該阻障層作為暴露於該複數個開口的該第一介電層的側壁的襯墊,且作為該複數個矽化物區的頂表面的襯墊。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該射頻源在該電漿輔助化學氣相沉積製程的每一循環中開啟一第一持續時間並關閉一第二持續時間,其中調整該第一持續時間和該第二持續時間來調整該電漿的平均能量。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該複數個矽化物區包括矽化鈦,且該電漿輔助化學氣相沉積製程透過使用包括氫和四氯化鈦的一氣體源來進行。
  5. 如申請專利範圍第4項所述之半導體裝置的形成方法,其中氫的流量與四氯化鈦的流量之間的比率小於約2。
  6. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,更包括在填充該複數個開口之前,在該複數個矽化物區上方形成一自對準阻障層。
  7. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中形成該自對準阻障層的步驟包括供應包括氮的一氣體或供應包括氮的一電漿至該複數個矽化物區的表面。
  8. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中形成該自對準阻障層的步驟包括供應包括氧的一氣體或供應包括氧的一電漿至該複數個矽化物區的表面。
  9. 一種半導體裝置的形成方法,包括:確定用於在一半導體結構的一第一材料上形成一第三材料的一第一活化能;確定用於在該半導體結構的一第二材料上形成該第三材料的一第二活化能,該第二活化能大於該第一活化能;以及透過進行一電漿輔助化學氣相沉積製程在該第一材料上選擇性沉積該第三材料,其中該電漿輔助化學氣相沉積製程的電漿的一平均能量大於該第一活化能且小於該第二活化能,其中該電漿輔助化學氣相沉積製程在該第一材料上而不在該第二材料上形成該第三材料。
  10. 一種半導體裝置的形成方法,包括: 在一鰭上方形成一虛設閘極結構,該虛設閘極結構被一第一介電層圍繞,該第一介電層覆蓋設置於該虛設閘極結構的兩側的複數個源極/汲極區;以一金屬閘極結構取代該虛設閘極結構;在該第一介電層中形成複數個開口,以暴露出該複數個源極/汲極區;透過進行一電漿輔助化學氣相沉積製程,在該複數個源極/汲極區上的該複數個開口的底部選擇性形成一矽化物材料,其中在該電漿輔助化學氣相沉積製程期間,將用於產生一電漿的該電漿輔助化學氣相沉積製程的一射頻源週期性地開啟和關閉,其中該電漿輔助化學氣相沉積製程在該複數個源極/汲極區上而不在該第一介電層上形成該矽化物材料,其中在該電漿輔助化學氣相沉積製程中的該電漿的平均能量大於在該複數個源極/汲極區上形成該矽化物材料的一第一活化能,且小於在該第一介電層上形成該矽化物材料的一第二活化能;以及以一導電材料填充該複數個開口。
TW108140382A 2018-11-30 2019-11-07 半導體裝置的形成方法 TWI745778B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862773938P 2018-11-30 2018-11-30
US62/773,938 2018-11-30
US16/265,747 US11107690B2 (en) 2018-11-30 2019-02-01 Fin field-effect transistor device and method of forming the same
US16/265,747 2019-02-01

Publications (2)

Publication Number Publication Date
TW202032638A TW202032638A (zh) 2020-09-01
TWI745778B true TWI745778B (zh) 2021-11-11

Family

ID=70680902

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108140382A TWI745778B (zh) 2018-11-30 2019-11-07 半導體裝置的形成方法

Country Status (2)

Country Link
DE (1) DE102019103699A1 (zh)
TW (1) TWI745778B (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5344792A (en) * 1993-03-04 1994-09-06 Micron Technology, Inc. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2
US5747384A (en) * 1994-12-26 1998-05-05 Sony Corporation Process of forming a refractory metal thin film
TWI235477B (en) * 2001-01-08 2005-07-01 Vanguard Int Semiconduct Corp Method using ion metal plasma deposition to manufacture metal silicide layer
US20050191812A1 (en) * 2004-03-01 2005-09-01 Lsi Logic Corporation Spacer-less transistor integration scheme for high-k gate dielectrics and small gate-to-gate spaces applicable to Si, SiGe strained silicon schemes
TW201042731A (en) * 2009-05-26 2010-12-01 Macronix Int Co Ltd Buried silicide structure and method for making
US20170278747A1 (en) * 2016-03-24 2017-09-28 International Business Machines Corporation High performance middle of line interconnects

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5856237A (en) * 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
KR100822493B1 (ko) * 2003-08-11 2008-04-16 동경 엘렉트론 주식회사 성막 방법
US8134209B2 (en) * 2009-12-17 2012-03-13 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US8871617B2 (en) * 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9397214B1 (en) * 2015-02-16 2016-07-19 United Microelectronics Corp. Semiconductor device
TWI660438B (zh) * 2015-09-23 2019-05-21 聯華電子股份有限公司 半導體元件及其製作方法
US10170367B2 (en) * 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5344792A (en) * 1993-03-04 1994-09-06 Micron Technology, Inc. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2
US5747384A (en) * 1994-12-26 1998-05-05 Sony Corporation Process of forming a refractory metal thin film
TWI235477B (en) * 2001-01-08 2005-07-01 Vanguard Int Semiconduct Corp Method using ion metal plasma deposition to manufacture metal silicide layer
US20050191812A1 (en) * 2004-03-01 2005-09-01 Lsi Logic Corporation Spacer-less transistor integration scheme for high-k gate dielectrics and small gate-to-gate spaces applicable to Si, SiGe strained silicon schemes
TW201042731A (en) * 2009-05-26 2010-12-01 Macronix Int Co Ltd Buried silicide structure and method for making
US20170278747A1 (en) * 2016-03-24 2017-09-28 International Business Machines Corporation High performance middle of line interconnects

Also Published As

Publication number Publication date
DE102019103699A1 (de) 2020-06-04
TW202032638A (zh) 2020-09-01

Similar Documents

Publication Publication Date Title
TWI821415B (zh) 半導體裝置及其形成方法
CN110660743B (zh) 半导体器件和形成半导体器件的方法
US11495501B2 (en) Fin field-effect transistor devices and methods of forming the same
KR102192879B1 (ko) 핀 전계 효과 트랜지스터 디바이스 및 이의 형성 방법
TWI739178B (zh) 半導體裝置及其形成方法
TW202002282A (zh) 半導體裝置之製造方法
TW202109629A (zh) 半導體裝置及其形成方法
US11640977B2 (en) Non-conformal oxide liner and manufacturing methods thereof
TW201946148A (zh) 半導體裝置之製造方法
TW202109674A (zh) 半導體裝置及其形成方法
KR102301290B1 (ko) 핀 전계효과 트랜지스터 디바이스 및 그 형성 방법
TW201913749A (zh) 半導體裝置及其形成方法
TWI745778B (zh) 半導體裝置的形成方法
TWI785593B (zh) 半導體裝置及其形成方法
US20240136191A1 (en) Fin field-effect transistor device and method of forming the same
TWI786704B (zh) 半導體裝置及其製造方法
TWI736299B (zh) 半導體裝置及其製造方法
CN115249651A (zh) 鳍式场效晶体管装置和形成鳍式场效晶体管装置的方法