TWI740522B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI740522B
TWI740522B TW109118743A TW109118743A TWI740522B TW I740522 B TWI740522 B TW I740522B TW 109118743 A TW109118743 A TW 109118743A TW 109118743 A TW109118743 A TW 109118743A TW I740522 B TWI740522 B TW I740522B
Authority
TW
Taiwan
Prior art keywords
dielectric
semiconductor
forming
layer
fin
Prior art date
Application number
TW109118743A
Other languages
English (en)
Other versions
TW202109629A (zh
Inventor
江國誠
蘇煥傑
朱熙甯
潘冠廷
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202109629A publication Critical patent/TW202109629A/zh
Application granted granted Critical
Publication of TWI740522B publication Critical patent/TWI740522B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • H01L29/0886Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

半導體裝置的形成方法包含形成半導體條帶突出於基底之上;在半導體條帶的相鄰兩者之間形成隔離區;在隔離區上形成混合鰭,混合鰭包括介電鰭和在介電鰭上方的介電結構;在半導體條帶和混合鰭上方形成虛設閘極結構;在半導體條帶上方的虛設閘極結構兩側形成源極/汲極區;在虛設閘極結構下方形成奈米線,其中奈米線在對應的半導體條帶上方並與對應的半導體條帶對齊,且源極/汲極區在奈米線的兩端,其中混合鰭比奈米線更遠離基底;在形成奈米線之後,縮小混合鰭的中心部分的寬度,且混合鰭的端部分的寬度保持不變,其中混合鰭的中心部分在虛設閘極結構下方,且混合鰭的端部分在虛設閘極結構的邊界之外;以及在奈米線周圍形成導電材料。

Description

半導體裝置及其形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置及其形成方法。
半導體裝置用於各式各樣的電子應用中,例如個人電腦、手機、數位相機和其他電子設備。半導體裝置一般透過在半導體基底上依序地沉積絕緣層或介電層、導電層和半導體層材料,並使用微影技術將各種材料層圖案化,以形成電路組件和元件於其上。
半導體產業透過持續降低最小部件(feature)的尺寸,持續改善各種電子組件(例如電晶體、二極體、電阻、電容等)的集成密度,使得更多的組件整合於既定面積中。然而,當降低最小部件的尺寸,出現了應解決的附加問題。
在一些實施例中,提供半導體裝置的形成方法,此方法包含在基底上方形成複數個半導體鰭,並在複數個半導體鰭上方形成圖案化遮罩層,其中每個半導體鰭包含在半導體條帶上方的磊晶層,其中磊晶層包含第一半導體材料和第二半導體材料的交替層;在複數個半導體鰭的兩側的複數個隔離區上方形成複數個混合鰭,其中每個混合鰭包含介電鰭和在介電鰭上方的介電結構;在複數個半導體鰭和複數個混合鰭上方形成閘極結構;移除設置於閘極結構的側壁之外的圖案化遮罩層的第一部分、磊晶層的第一部分和介電結構的第一部分,而大致不移除介電鰭;在介電鰭上方及閘極結構周圍形成層間介電層;移除閘極結構的閘極電極,以在閘極結構中形成開口,開口暴露出設置於閘極結構下方的圖案化遮罩層的第二部分和介電結構的第二部分;移除介電結構的第一介電結構,並保留介電結構的第二介電結構;以及選擇性移除第一半導體材料,其中在選擇性移除之後,第二半導體材料形成複數個奈米線,其中第二介電結構比複數個奈米線的最上表面更遠離基底。
在一些其他實施例中,提供半導體裝置的形成方法,此方法包含形成複數個半導體條帶突出於基底之上;在複數個半導體條帶的相鄰兩者之間形成隔離區;在隔離區上形成混合鰭,混合鰭包含介電鰭和在介電鰭上方的介電結構;在複數個半導體條帶和混合鰭上方形成虛設閘極結構;在複數個半導體條帶上方的虛設閘極結構兩側形成複數個源極/汲極區;在虛設閘極結構下方形成奈米線,其中奈米線在對應的半導體條帶上方並與對應的半導體條帶對齊,且複數個源極/汲極區在奈米線的兩端,其中混合鰭比奈米線更遠離基底;在形成奈米線之後,縮小混合鰭的中心部分的寬度,且混合鰭的端部分的寬度保持不變,其中混合鰭的中心部分在虛設閘極結構下方,且混合鰭的端部分在虛設閘極結構的邊界之外;以及在奈米線周圍形成導電材料。
在另外一些實施例中,提供半導體裝置,半導體裝置包含半導體條帶,突出於基底之上;第一隔離區和第二隔離區,在半導體條帶的兩側;奈米線,在半導體條帶上方並與半導體條帶對齊;複數個源極/汲極區,在奈米線的兩側;第一介電鰭,在第一隔離區上;以及金屬閘極,在奈米線和第一介電鰭的中心部分周圍,其中第一介電鰭的端部分設置於金屬閘極的兩側,且第一介電鰭的端部分比第一介電鰭的中心部分更寬。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
在一些實施例中,全環繞式閘極(GAA)場效電晶體(FET)裝置包含突出於基底之上的半導體條帶以及在半導體條帶兩側的第一隔離區和第二隔離區。全環繞式閘極場效電晶體裝置也包含在半導體條帶上方且與半導體條帶對齊的奈米線以及在奈米線兩側末端的源極/汲極區。全環繞式閘極場效電晶體裝置更包含在第一隔離區上的第一介電鰭以及環繞奈米線及第一介電鰭的中心部分的金屬閘極,其中第一介電鰭的末端設置於金屬閘極的兩側上,且第一介電鰭的末端比第一介電鰭的中心部分更寬。
第1-26圖為依據一實施例之全環繞式閘極(GAA)場效電晶體(FET)裝置100在各種製造階段的各種視圖(例如透視圖、剖面示意圖、平面圖)。第1-13和23圖為全環繞式閘極場效電晶體裝置100的透視圖。第14-22、25和26圖為全環繞式閘極場效電晶體裝置100的剖面示意圖,且第24圖為全環繞式閘極場效電晶體裝置100的上視圖。可注意的是,為清楚起見,第1-26圖的某些圖可僅顯示全環繞式閘極場效電晶體裝置100的一部分而非全部。
請參照第1圖,提供基底101。基底101可為半導體基底,例如塊狀(bulk)半導體(例如塊狀矽)、絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底或類似物,基底101可為摻雜(例如摻雜p型或n型摻雜物)或未摻雜。基底101可為晶圓,例如矽晶圓。一般來說,絕緣層上覆半導體基底包括形成於絕緣層上的半導體材料層。絕緣層可為例如埋置氧化(buried oxide,BOX)層、氧化矽層或類似物。絕緣層提供於基底上,一般為矽基底或玻璃基底。也可使用其他基底,例如多層或漸變(gradient)基底。在一些實施例中,基底101的半導體材料可包含矽、鍺、化合物半導體(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP)或前述之組合。
在第1圖中,磊晶材料堆疊物104’形成於基底101上方,且硬遮罩層107’形成於磊晶材料堆疊物104’上方。磊晶材料堆疊物104’包含交替的第一半導體層103和第二半導體層105。第一半導體層103由第一半導體材料形成,且第二半導體層105由不同的第二半導體材料形成。在顯示的實施例中,第一半導體材料為矽鍺(Six Ge1-x ,其中x可在約0至1的範圍中),且第二半導體材料為矽。磊晶材料堆疊物104’可包含任何數量層。在後續加工中,磊晶材料堆疊物104’將被圖案化以形成全環繞式閘極場效電晶體的通道區。特別來說,磊晶材料堆疊物104’將被圖案化以形成水平奈米線,最終全環繞式閘極場效電晶體的通道區包含多個水平奈米線。
磊晶材料堆疊物104’可透過磊晶成長製程形成,磊晶成長製程可在成長腔體中進行。在磊晶成長製程期間,成長腔體循環地暴露於用於成長第一半導體層103的第一組前驅物,且接著暴露於用於成長第二半導體層105的第二組前驅物。第一組前驅物包含第一半導體材料(例如矽鍺)的前驅物,第二組前驅物包含第二半導體材料(例如矽)的前驅物。取決於全環繞式閘極場效電晶體裝置的設計,磊晶材料堆疊物104’可為摻雜或未摻雜。
在一些實施例中,第一組前驅物包含矽前驅物(例如矽烷)和鍺前驅物(例如鍺烷),且第二組前驅物包含矽前驅物但是省略鍺前驅物。因此,磊晶成長製程可包含連續地使矽前驅物流至成長腔體,且接著循環地(1)當成長第一半導體層103時,使鍺前驅物流至成長腔體以及(2)當成長第二半導體層105時,禁止鍺前驅物流至成長腔體。可重複循環地暴露直到形成目標數量層。在完成成長循環之後,可進行平坦化製程使磊晶材料堆疊物104’的頂表面齊平。平坦化製程可為化學機械研磨(chemical mechanical polish,CMP)、回蝕刻製程、前述之組合或類似方法。
接著,硬遮罩層107’形成於磊晶材料堆疊物104’上方。硬遮罩層107’可包含子層,例如墊氧化層和上方的墊氮化層。墊氧化層可為包括例如使用熱氧化製程形成的氧化矽的薄膜。墊氧化層可作為磊晶材料堆疊物104’與上方的墊氮化層之間的黏著層。在一些實施例中,墊氮化層可由氮化矽、氮氧化矽、氮碳化矽、類似物或前述之組合形成,且可例如透過使用低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)或電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)形成。
請參照第2圖,第1圖顯示的結構透過使用例如光微影和蝕刻技術圖案化。將硬遮罩層107’圖案化以形成圖案化硬遮罩107,且接著使用圖案化硬遮罩107作為蝕刻遮罩以將基底101和磊晶材料堆疊物104’圖案化。之後,襯墊109形成於半導體鰭102和圖案化硬遮罩107上方。以下討論細節。
為了形成半導體鰭102,可使用光微影技術將硬遮罩層107’圖案化。一般來說,光微影技術使用光阻材料,將光阻材料沉積、照射(曝光)並顯影以移除光阻材料的一部分。剩下的光阻材料保護下方的材料,例如此範例中的硬遮罩層107’免於後續加工步驟,例如蝕刻。在此範例中,使用光阻材料將硬遮罩層107’圖案化以形成圖案化硬遮罩107,如第2圖所示。
後續使用圖案化硬遮罩107將基底101和磊晶材料堆疊物104’圖案化以形成溝槽108,進而定義相鄰溝槽108之間的半導體鰭102,如第2圖所示。在顯示的實施例中,每個半導體鰭102包含半導體條帶106和在半導體條帶106上方的圖案化磊晶材料堆疊物104。半導體條帶106為基底101的圖案化部分並突出至(凹陷的)基底101之上。圖案化磊晶材料堆疊物104為磊晶材料堆疊物104’的圖案化部分,且在後續加工中將被使用以形成奈米線,因此也可被稱為奈米線結構或全環繞式閘極結構。
在一些實施例中,透過使用例如反應性離子蝕刻(reactive ion etch,RIE)、中子束蝕刻(neutral beam etch,NBE)、類似方法或前述之組合以在基底101中蝕刻溝槽來形成半導體鰭102。蝕刻製程可為非等向性。在一些實施例中,溝槽108可為彼此平行的條帶,且彼此緊密間隔(從頂部來看)。在一些實施例中,溝槽108可為連續的並圍繞半導體鰭102。在下文中,半導體鰭102也可被簡稱為鰭。
半導體鰭102可透過任何合適的方法圖案化。舉例來說,半導體鰭102可透過使用一個或多個光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,犧牲層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物或心軸(mandrel)來將半導體鰭圖案化。
在形成半導體鰭102之後,襯墊109沿溝槽108的側壁和底部延伸。襯墊109也可形成於圖案化硬遮罩107的上表面上方。在一範例實施例中,襯墊109為透過例如化學氣相沉積、原子層沉積(atomic layer deposition,ALD)、前述之組合或類似方法形成的矽襯墊。
第3圖顯示在相鄰半導體鰭102之間形成絕緣材料以形成隔離區。絕緣材料可為氧化物(例如氧化矽)、氮化物、類似物或前述之組合,且可透過高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable CVD,FCVD)(例如在遠端電漿系統中的基於化學氣相沉積的材料沉積,並後固化使其轉變為另一材料,例如氧化物)、類似方法或前述之組合形成。可使用其他絕緣材料及/或其他形成製程。在顯示的實施例中,絕緣材料為透過可流動化學氣相沉積製程形成的氧化矽。當形成絕緣材料之後,可進行退火製程。平坦化製程(例如化學機械研磨)可從半導體鰭102的頂表面上方移除任何多餘的絕緣材料。
接著,將隔離區凹陷以形成淺溝槽隔離(shallow trench isolation,STI)區111。將隔離區凹陷,使得半導體鰭102的上部從淺溝槽隔離區111之間突出。淺溝槽隔離區111的頂表面可具有平坦表面(如圖示)、凸面及/或凹面(例如凹陷)或前述之組合。淺溝槽隔離區111的頂表面可透過合適的蝕刻以形成平坦、凸形及/或凹形。淺溝槽隔離區111可透過使用合適的蝕刻製程凹陷,例如對淺溝槽隔離區111的材料有選擇性的蝕刻製程。舉例來說,可進行乾蝕刻或使用稀釋氫氟酸(dilute hydrofluoric,dHF)的濕蝕刻來將淺溝槽隔離區111凹陷。在第3圖中,顯示的淺溝槽隔離區111的上表面與半導體條帶106的上表面齊平。在其他實施例中,淺溝槽隔離區111的上表面低於半導體條帶106的上表面(例如較靠近基底101)。
接著,在第4圖中,蓋層113順應性形成於圖案化磊晶材料堆疊物104和圖案化硬遮罩107上方。在一些實施例中,蓋層113為透過使用例如化學氣相沉積製程形成的磊晶半導體層。在一範例實施例中,蓋層113和第一半導體層103由相同的磊晶材料形成,例如矽鍺。在一些實施例中,蓋層113透過使用磊晶成長製程選擇性成長於襯墊109(例如矽襯墊,請參照第3圖)的暴露表面上,因此,淺溝槽隔離區111的上表面沒有蓋層113。舉例來說,蓋層113可具有厚度約5nm。為了避免混雜,第4圖和後續圖式未顯示襯墊109設置於淺溝槽隔離區111的上表面之上的部分,但是可以理解的是,襯墊109可存在於蓋層113與圖案化磊晶材料堆疊物104/圖案化硬遮罩107之間。
接著,在第5圖中,介電層114順應性形成於蓋層113和淺溝槽隔離區111的上表面上方。接著,介電層115形成於介電層114上方以填充溝槽108。接著,回蝕刻介電層114和介電層115以形成介電鰭116,於下文中討論其細節。
在一些實施例中,使用合適的沉積製程(例如化學氣相沉積)沿蓋層113和淺溝槽隔離區111的上表面形成氮化矽的順應性層來形成介電層114。接著,介電層115形成於介電層114上方。在顯示的實施例中,介電層115由低介電常數介電材料(例如具有介電常數K小於約7,例如小於約3.9)形成,例如SiO2 、SiN、SiCN或SiOCN。
接著,使用例如乾蝕刻製程或濕蝕刻製程回蝕刻介電層115(例如低介電常數介電材料)。舉例來說,可進行使用含氟氣體的乾蝕刻製程以回蝕刻介電層115。在回蝕刻介電層115之後,透過凹陷的介電層115暴露的介電層114透過合適的蝕刻製程移除,例如乾蝕刻製程或濕蝕刻製程。舉例來說,可進行使用H3 PO4 的濕蝕刻製程作為蝕刻劑以移除暴露的介電層114。介電層114的剩下部分和介電層115的剩下部分形成介電鰭116。在第5圖的範例中,介電層114的剩下部分的上表面和介電層115的剩下部分的上表面彼此齊平。由於介電層114和介電層115皆由低介電常數介電材料形成,因此介電鰭116也可被稱為低介電常數介電鰭。如第5圖所示,介電鰭116形成於淺溝槽隔離區111上,並物理接觸設置於介電鰭116兩側的蓋層113。
接著,在第6圖中,形成介電結構118以填充溝槽108的剩下部分。在第6圖的範例中,介電結構118透過在第5圖的結構上方順應性形成介電層117,且之後在介電層117上方形成介電層119來形成。接著,進行平坦化製程(例如化學機械研磨)以從圖案化硬遮罩107的上表面移除蓋層113的一部分、介電層117的一部分和介電層119的一部分。
在一些實施例中,介電層117由氧化鋁(例如AlOx )且透過使用合適的沉積方法(例如化學氣相沉積、物理氣相沉積、前述之組合或類似方法)形成。介電層117的厚度可例如約2nm。介電層119由高介電常數介電材料(例如具有介電常數K大於約7)形成,舉例來說,例如HfO2 、ZrO2 、HfAlOx 、HfSiOx 或Al2 O3 。由於介電層117和介電層119皆由高介電常數介電材料形成,介電結構118也可被稱為高介電常數介電結構。此外,由於介電鰭116由低介電常數介電材料形成,且由於介電結構118由高介電常數介電材料形成,因此每個介電鰭116和對應的上方介電結構118可被統稱為混合鰭112。
接著,請參照第7圖,虛設閘極結構122形成於半導體鰭102(標註於第6圖)和混合鰭112上方。在一些實施例中,每個虛設閘極結構122包含閘極介電質121和閘極電極123。
為了形成虛設閘極結構122,介電層形成於第6圖所示的結構上。介電層可例如為氧化矽、氮化矽、前述之多層或類似物,且可透過沉積或熱成長形成。接著,閘極層形成於介電層上方,且接著遮罩層形成於閘極層上方。閘極層可沉積於介電層上方,且接著透過例如化學機械研磨製程來平坦化。遮罩層可沉積於閘極層上方。閘極層可由例如多晶矽形成,但是也可使用其他材料。遮罩層可由例如氧化矽、氮化矽、前述之組合或類似物形成。
在形成這些層(例如介電層、閘極層和遮罩層)之後,遮罩層可透過使用合適的光微影和蝕刻技術圖案化以形成遮罩126。在第7圖的範例中,遮罩126包含第一遮罩125(例如氧化矽)和第二遮罩127(例如氮化矽)。接著,透過合適的蝕刻技術將遮罩126的圖案轉移至閘極層和介電層,以分別形成閘極電極123和閘極介電質121。閘極電極123和閘極介電質121在將形成的全環繞式閘極場效電晶體裝置的個別通道區上方(例如正上方)。閘極電極123也可具有長度方向大致垂直於半導體鰭102的長度方向或混合鰭112的長度方向。
接著,在第8圖中,閘極間隙壁129形成於閘極電極123和閘極介電質121的側壁上。閘極間隙壁129可透過在第7圖顯示的結構上方順應性沉積閘極間隔層來形成。閘極間隔層可為氮化矽、氮碳化矽、前述之組合或類似物。在一些實施例中,閘極間隔層包含多個子層。舉例來說,第一子層(有時被稱為閘極密封間隔層)可透過熱成長或沉積形成,且第二子層(有時被稱為主閘極間隔層)可順應性沉積於第一子層上。閘極間隙壁129透過非等向性蝕刻閘極間隔層來形成。非等向性蝕刻可移除閘極間隔層的水平部分(例如在圖案化硬遮罩107、混合鰭112和遮罩126上方的部分),而閘極間隔層的剩下部分(例如沿閘極電極123的側壁和閘極介電質121的側壁)形成閘極間隙壁129。在本文的討論中,閘極間隙壁129也可被稱為虛設閘極結構122的一部分。
接著,進行非等向性蝕刻製程以移除介電結構118、圖案化磊晶材料堆疊物104(例如第一半導體層103和第二半導體層105)和圖案化硬遮罩107在虛設閘極結構122的邊界之外(例如在閘極間隙壁129的外側壁之外)的部分。非等向性蝕刻製程可透過使用虛設閘極結構122作為蝕刻遮罩來進行。在一些實施例中,在非等向性蝕刻之後,由於非等向性蝕刻的緣故,因此閘極間隙壁129的側壁129S與個別的第二半導體層105的側壁105S對齊。
在一些實施例中,非等向性蝕刻製程為乾蝕刻製程(例如電漿蝕刻製程),乾蝕刻製程使用對圖案化硬遮罩107和圖案化磊晶材料堆疊物104的材料有選擇性(例如具有較高蝕刻速率)的蝕刻劑。在一範例實施例中,乾蝕刻製程具有對介電結構118(例如高介電常數材料)的平均蝕刻速率E1 以及對圖案化硬遮罩107(例如低介電常數材料)和圖案化磊晶材料堆疊物104(例如半導體材料)的組合的平均蝕刻速率E2 (E2 >E1 ),且可選擇E1 與E2 之間的比值為E1 /E2 =H1 /H2 ,其中H1 為介電結構118的高度,且H2 為圖案化硬遮罩107的高度和圖案化磊晶材料堆疊物104的高度的總和。透過以上比例之間的關係,當移除介電結構118(例如在虛設閘極結構122的邊界之外的部分)以暴露出下方介電鰭116時,在此同時,也移除圖案化硬遮罩107和圖案化磊晶材料堆疊物104(例如在虛設閘極結構122的邊界之外的部分)以暴露出下方的半導體條帶106。
接著,在第9圖中,進行橫向蝕刻製程以將第一半導體材料的暴露部分凹陷,橫向蝕刻製程使用對第一半導體材料有選擇性的蝕刻劑。在第9圖的範例中,蓋層113和第一半導體層103皆由第一半導體材料(例如SiGe)形成,因此,橫向蝕刻將蓋層113和第一半導體層103凹陷。在橫向蝕刻製程之後,第一半導體材料從閘極間隙壁129的側壁129S、第二半導體層105(的剩下部分)的側壁105S以及圖案化硬遮罩107(的剩下部分)的側壁凹陷。舉例來說,第9圖顯示第二半導體層105的側壁105S與凹陷的第一半導體層103的側壁之間的偏移R。
接著,在第10圖中,形成介電材料以填充以上參考第9圖所述之移除第一半導體材料所留下的空間(例如凹口)。介電材料可為低介電常數介電材料,例如SiO2 、SiN、SiCN或SiOCN,且可透過合適的沉積方法形成,例如原子層沉積。在沉積介電材料之後,可進行非等向性蝕刻製程以修整沉積的介電材料,使得僅沉積的介電材料的一部分填充第一半導體材料的移除所留下的空間。在修整製程之後,沉積的介電材料的剩下部分形成內部間隙壁131。內部間隙壁131用於將金屬閘極與後續加工中形成的源極/汲極區隔離。在第9圖的範例中,內部間隙壁131的前側壁與閘極間隙壁129的側壁129S對齊。
接著,在第11圖中,源極/汲極區133(有時被稱為磊晶源極/汲極區)形成於半導體條帶106上方。源極/汲極區133透過在半導體條帶106上方透過使用合適的方法以磊晶成長材料形成,合適的方法例如金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、類似方法或前述之組合。
如第11圖所示,源極/汲極區133填充相鄰介電鰭116之間的空間。源極/汲極區133可具有從介電鰭116的表面凸起的表面,且可具有刻面。在相鄰半導體條帶106上方的源極/汲極區133可合併以形成連續的源極/汲極區。在一些實施例中,在相鄰半導體條帶106上方的源極/汲極區133不合併在一起,並保持為隔開的源極/汲極區。源極/汲極區133的材料可依據將形成的裝置類型來調整。在一些實施例中,最終全環繞式閘極場效電晶體為n型鰭式場效電晶體,且源極/汲極區133包括碳化矽(SiC)、矽磷(SiP)、磷摻雜矽炭(SiCP)或類似物。在一些實施例中,最終全環繞式閘極場效電晶體為p型鰭式場效電晶體,且源極/汲極區133包括SiGe,且p型雜質例如硼或銦。
源極/汲極區133可植入雜質,接著進行退火製程。佈植製程可包含形成並圖案化遮罩(例如光阻),以覆蓋全環繞式閘極場效電晶體裝置以保護全環繞式閘極場效電晶體裝置免於佈植製程的區域。源極/汲極區133可具有雜質(例如摻雜物)濃度在約1019 cm-3 至約1021 cm-3 的範圍中。可將p型雜質(例如硼或銦)植入p型電晶體的源極/汲極區133。可將n型雜質(例如磷或砷)植入n型電晶體的源極/汲極區133。在一些實施例中,磊晶源極/汲極區可在成長期間原位摻雜。
接著,請參照第12圖,接觸蝕刻停止層(contact etch stop layer,CESL)135形成於第11圖顯示的結構上方,且層間介電(interlayer dielectric,ILD)層137形成於接觸蝕刻停止層135上方。接觸蝕刻停止層135作為後續蝕刻製程中的蝕刻停止層,且可包括合適的材料,例如氧化矽、氮化矽、氮氧化矽、前述之組合或類似物,且可透過合適的形成方法形成,例如化學氣相沉積、物理氣相沉積(physical vapor deposition,PVD)、前述之組合或類似方法。
層間介電層137形成於接觸蝕刻停止層135上方並在虛設閘極結構122周圍。在一些實施例中,層間介電層137由介電材料形成,例如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜矽酸鹽玻璃(undoped silicate glass,USG)或類似物,且可透過任何合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積或可流動化學氣相沉積。可進行平坦化製程(例如化學機械研磨製程)以移除遮罩126(請參照第11圖)並移除接觸蝕刻停止層135設置於閘極電極123上方的部分。如第12圖所示,在平坦化製程之後,層間介電層137的頂表面與閘極電極123的頂表面齊平。
接著,在第13圖中,在蝕刻步驟中移除虛設閘極結構的閘極電極123(請參照第12圖)和閘極介電質121(請參照第12圖),使得凹口128形成於閘極間隙壁129之間。每個凹口128暴露出設置於虛設閘極結構下方的圖案化硬遮罩107的剩下部分。在移除閘極電極123和閘極介電質121之後,切割金屬閘極(cut metal gate,CMG)圖案139形成於凹口128中。切割金屬閘極圖案139的頂表面可延伸於層間介電層137的上表面之上。可透過在凹口128中沉積光阻層,在光阻層上方形成圖案化硬遮罩層139A(請參照第14圖),並使用圖案化硬遮罩層139A將光阻層圖案化以形成圖案化光阻139B來形成切割金屬閘極圖案139。
第14-22圖為全環繞式閘極場效電晶體裝置100沿第13圖的剖面B-B之各種加工階段中的剖面示意圖,其中剖面B-B在閘極間隙壁129之間(例如在凹口128中)並沿虛設閘極結構122的縱軸方向。第14圖顯示在形成切割金屬閘極圖案139之後,全環繞式閘極場效電晶體裝置100沿剖面B-B的剖面示意圖,如以上參考第13圖所述。
接著,在第15圖中,例如透過蝕刻製程移除由切割金屬閘極圖案139暴露的介電結構118。蝕刻製程可使用對介電結構118的材料有選擇性的蝕刻劑。舉例來說,可進行使用含氯蝕刻劑的乾蝕刻製程以移除暴露的介電結構118。在移除介電結構118之後,切割金屬閘極圖案139透過合適的方法移除,例如蝕刻製程、灰化製程、前述之組合或類似方法。凹口136形成於介電結構118在被移除之前所在的位置。
請參照第16圖,圖案化硬遮罩107的上表面例如透過乾蝕刻製程凹陷,使得圖案化硬遮罩107的上表面低於介電結構118的上表面(例如較靠近基底101)。蓋層113的上表面也可透過蝕刻製程凹陷。在第16圖的範例中,在蝕刻製程之後,保留圖案化硬遮罩107的殘留部分。在後續的加工中,圖案化硬遮罩107的殘留部分可保護下方奈米線110(請參照第17-19圖)免於後續蝕刻製程過蝕刻。在其他實施例中,透過蝕刻製程完全移除圖案化硬遮罩107(請參照第27圖)。
接著,在第17圖中,移除第一半導體層103和蓋層113以釋放第二半導體層105,使得第二半導體層105的中心部分(例如在內部間隙壁131之間以及在凹口128下方的部分)懸置。在移除第一半導體層103和蓋層113之後,第二半導體層105形成複數個奈米線110。換句話說,在後續的加工中,第二半導體層105也可被稱為奈米線110。
由於第一半導體層103和蓋層113皆由第一半導體材料(例如SiGe)形成,因此可進行對第一半導體材料有選擇性的選擇性蝕刻製程(例如乾蝕刻或濕蝕刻),以形成奈米線110。用以移除第一半導體材料的選擇性蝕刻製程也可稍微蝕刻第二半導體層105,其可將第二半導體層105的側壁每側(例如第17圖的左側和右側)凹陷例如約0.5nm,增加了奈米線110與介電鰭116之間的距離D,在下文中描述其細節。
可以注意的是,奈米線110的中心部分懸置,有著空間134在相鄰的奈米線110之間以及介電鰭116與奈米線110之間。奈米線110的其他部分(可被稱為末端)(例如在閘極間隙壁129下方的部分以及超出閘極間隙壁129的邊界的部分)不透過上述的選擇性蝕刻製程釋放。反而,奈米線110透過內部間隙壁131圍繞,如以下參考第25圖所述。
接著,在第18圖中,沿介電層119的側壁設置的介電層117(例如氧化鋁)透過蝕刻製程移除。舉例來說,可進行使用過氧化氫(H2 O2 )和氨(NH3 )的混合物的濕蝕刻製程以移除介電層117。如第18圖所示,在蝕刻製程之後保留介電層117在介電層119下方的部分。
此外,沿介電層115的側壁設置的介電層114(例如氮化矽層)透過蝕刻製程移除。舉例來說,可進行使用H3 PO4 濕蝕刻製程以移除介電層114。如第18圖所示,在蝕刻製程之後保留介電層114在介電層115下方的部分。
在移除介電層117的側壁部分和介電層114的側壁部分之後,減少介電鰭116的厚度T1 (例如縮小第18圖的左側約1nm以及右側約1nm),其導致增加介電鰭116與相鄰奈米線110之間的距離D。增加的距離D促進在後續加工中的金屬填充製程以形成閘極電極143(請參照第21圖),其顯示了本發明實施例的優點。隨著部件尺寸在先進加工節點中持續縮小,閘極間隙壁129之間的凹口128(請參照第13圖)的寬度越來越小,使得難以用導電材料填充凹口128來形成閘極電極143。填充不良的凹口128可降低產率,及/或增加形成的金屬閘極的電阻。透過增加距離D,本發明實施例使得更容易填充凹口128,進而改善產率並降低形成的金屬閘極的電阻。此外,由於增加的距離D使得填充金屬更為容易,因此本發明實施例使得相鄰半導體條帶106之間的較小間距S(例如約20nm與約40nm之間)變為可能,其有利地縮小形成的裝置的尺寸(例如單元高度)並增加裝置的積體密度。
接著,在第19圖中,進行選擇性的混合鰭修整製程以進一步縮小混合鰭112的寬度(例如介電鰭116的寬度T1 ,此寬度可相同於介電結構118的寬度),並進一步增加距離D。混合鰭修整製程可為任何合適的蝕刻製程,例如乾蝕刻或濕蝕刻。在一些實施例中,省略混合鰭修整製程。
請參照第20圖,界面層142形成於奈米線110的表面上方。界面層142為介電層,例如氧化物,且可透過熱氧化製程或沉積製程形成。在顯示的實施例中,進行熱氧化製程以將奈米線110的外部轉變為氧化物以形成界面層142,因此,界面層142不形成於介電鰭116或介電結構118上方。
在形成界面層142之後,閘極介電層141形成於奈米線110周圍、介電鰭116上、介電結構118上以及圖案化硬遮罩107上。如第20圖所示,閘極介電層141也形成於淺溝槽隔離區111的上表面上。在一些實施例中,閘極介電層141包含高介電常數介電材料(例如具有介電常數值大於約7.0),且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金屬氧化物或矽酸鹽或前述之組合。舉例來說,閘極介電層141可包括HfO2 、ZrO2 、HfAlOx 、HfSiOx 、Al2 O3 或前述之組合。閘極介電層141的形成方法可包含分子束沉積(molecular beam deposition,MBD)、原子層沉積、電漿輔助化學氣相沉積和類似方法。
在第20圖的範例中,閘極介電層141形成於奈米線110周圍的部分與相鄰的閘極介電層141合併。因此,閘極介電層141完全填充垂直相鄰奈米線110之間之間的間隙,並填充最頂部奈米線110與個別上方圖案化硬遮罩107之間的間隙。此外,如第20圖所示,閘極介電層141完全填充最底部奈米線110與下方半導體條帶106之間的間隙。在一些實施例中,合併的閘極介電層141可防止在後續蝕刻製程中閘極電極143(請參照第22圖)的過蝕刻。在其他實施例中,如第28圖的實施例所示,閘極介電層141在奈米線110周圍的部分不合併,因此,後續形成的閘極電極填充例如垂直相鄰奈米線110之間的間隙。
接著,在第21圖中,導電材料(也可被稱為填充金屬)形成於凹口128中以形成閘極電極143。閘極電極143可由含金屬材料製成,例如Cu、Al、W、類似物、前述之組合或前述之多層,且可透過電鍍、無電電鍍或其他合適的方法。在形成閘極電極143之後,可進行平坦化製程(例如化學機械研磨)以將閘極電極143的上表面平坦化。
雖然未顯示,但是在形成導電材料之前,阻障層和功函數層可形成於閘極介電層141上方及奈米線110周圍。阻障層可包括導電材料,例如氮化鈦,但是也可替代地使用其他材料,例如氮化鉭、鈦、鉭或類似物。阻障層可透過使用化學氣相沉積製成形成,例如電漿輔助化學氣相沉積。然而,可替代地使用其他製程,例如濺鍍、金屬有機化學氣相沉積(MOCVD)或原子層沉積。在一些實施例中,在形成阻障層之後,功函數層形成於阻障層上方。
在第21圖的範例中,全環繞式閘極場效電晶體裝置具有n型裝置區510和p型裝置區520。因此,n型功函數金屬層可形成於n型裝置區510中的阻障層上方及奈米線110周圍,而p型功函數金屬層可形成於p型裝置區520中的阻障層上方及奈米線110周圍。可包含在p型裝置的閘極結構中的例示性p型功函數金屬包含TiN、TaN、Ru、Mo、Al、WN、ZrSi2 、MoSi2 、TaSi2 、NiSi2 、WN、其他合適的p型功函數材料或前述之組合。可包含在n型裝置的閘極結構中的例示性n型功函數金屬包含Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的n型功函數材料或前述之組合。功函數值與功函數層的材料組成相關聯,因此,選擇功函數層的材料以調整功函數值,因此實現將形成的裝置中的目標臨界電壓Vt。功函數層可透過化學氣相沉積、物理氣相沉積(PVD)及/或其他合適的製程沉積。
為了在n型裝置區510和p型裝置區520中形成不同的功函數層,可形成圖案化遮罩層(例如圖案化光阻)以覆蓋第一區(例如n型裝置區510),同時功函數層形成於圖案化遮罩層暴露的第二區(例如p型裝置區520)中。接著,填充金屬可形成於第二區(例如p型裝置區520)中的功函數層上方以形成閘極電極143P(例如閘極電極143在p型裝置區520中的部分)。可重複相似製程以覆蓋第二區(例如p型裝置區520),同時功函數層形成於第一區(例如n型裝置區510)中,且填充金屬可形成於第一區中的功函數層上方以形成閘極電極143N(例如閘極電極143在n型裝置區510中的部分)。在第21圖的範例中,閘極電極143N與閘極電極143P之間具有界面144,其中阻障層和功函數層可沿界面144延伸。舉例來說,n型功函數層可沿界面144的左側延伸,且p型功函數層可沿界面144的右側延伸。在其他實施例中,在形成n型功函數層和p型功函數層之後,填充金屬可在單一步驟中皆形成於n型裝置區510和p型裝置區520中,在此情況中,可不形成界面144。
接著,在第22圖中,將閘極電極143凹陷至低於介電結構118的上表面(例如介電層119的上表面)。可進行對閘極電極143的材料(例如金屬)有選擇性的蝕刻製程以移除閘極電極143的頂層而大致不蝕刻介電層119。在第22圖的範例中,在將閘極電極143凹陷之後,介電結構118將閘極電極143隔開為三個分隔部分,因此,三個閘極結構(例如閘極結構145A、145B和145C)以自對準方式形成,其中每個閘極結構包含閘極介電層141、阻障層、至少一功函數層和閘極電極143。在第22圖的範例中,閘極結構145A形成於n型裝置區510中並具有n型功函數層。閘極結構145C形成於p型裝置區520中並具有p型功函數層。然而,閘極結構145B具有在n型裝置區510中的左部(例如在界面144的左邊)以及在p型裝置區520中的右部(例如在界面144的右邊),因此,閘極結構145B的功函數層包含由n型功函數層形成的左部以及由p型功函數層形成的右部。
相較於參考的切割金屬閘極(CMG)製程,本文揭露的自對準閘極形成方法提供優點,其中透過在閘極結構中形成開口並以介電材料填充開口來將閘極電極143切割為隔開的金屬閘極。對於先進加工節點,由於開口的高深寬比的緣故,參考的切割金屬閘極製程可能有填充開口的難度。填充不良的開口可導致閘極結構之間的電性短路並可導致裝置失效。本發明實施例允許以自對準的方式容易地將金屬閘極隔開,進而防止裝置失效並改善產率。
在形成閘極結構之後,蝕刻停止層147形成(例如選擇性形成)於閘極電極143上方。在一些實施例中,蝕刻停止層147為無氟鎢(fluorine-free tungsten,FFW)層。蝕刻停止層147(例如鎢)可作為後續蝕刻製程中的蝕刻停止層,此外,蝕刻停止層147可幫助降低閘極結構及/或之後形成的閘極接觸插塞的電阻。在形成蝕刻停止層147之後,介電層149形成於蝕刻停止層147上方。在一些實施例中,進行平坦化製程使介電層149的上表面平坦化。
在第22圖的範例中,介電結構118的介電層119的高度H­3 在約10nm與約40nm之間,且介電層119延伸至蝕刻停止層147的上表面之上距離H4­­ ,距離H4 大於約4nm。距離H4 的範圍確保足夠大的安全裕度以避免相鄰閘極結構之間的電性短路,電性短路可能在介電層119的上表面低於閘極電極143的上表面時發生。
第23圖顯示第22圖所示的加工之後的全環繞式閘極場效電晶體裝置100的透視圖。在第23圖中,圖案化硬遮罩107具有U形剖面,這是由於非等向性蝕刻以將以上參考第16圖的圖案化硬遮罩107凹陷的緣故。非等向性蝕刻也移除閘極間隙壁129的頂部,並導致閘極間隙壁129的高度降低,如第23圖所示。由於界面層142圍繞奈米線110,因此第23圖中的界面層142的位置對應於奈米線110的位置(以符號142/110標示)。第23圖更顯示內部間隙壁131設置於閘極間隙壁129之下。源極/汲極區133連接至奈米線110的兩端,如第23圖所示。
第24圖顯示第22和23圖的全環繞式閘極場效電晶體裝置100的平面圖。為了清楚起見,未顯示所有的部件。第24圖顯示半導體條帶106、閘極電極143和閘極間隙壁129。剖面B-B(也請參照第13圖)為沿閘極電極143的縱軸並橫跨閘極電極143。剖面A-A(也請參照第10圖)平行於剖面B-B,但是橫跨閘極間隙壁129。剖面C-C(也請參照第13圖)平行於剖面B-B,但是在兩相鄰閘極結構之間並橫跨源極/汲極區133(未顯示於第24圖中)。
第25圖顯示第22和23圖的全環繞式閘極場效電晶體裝置100的剖面示意圖,但是為沿剖面A-A。可以注意到,在第25圖的剖面示意圖中,內部間隙壁131圍繞奈米線110設置於閘極間隙壁129下方(例如正下方)的部分。相較之下,請參照第22圖,閘極介電層141和界面層142圍繞奈米線110設置於閘極電極143下方(例如在一對閘極間隙壁129之間)的部分。閘極電極143也至少部分地圍繞奈米線110。此外,在第28圖的實施例中,閘極電極143完全圍繞(例如以一個完整的圓圈)奈米線110。
第26圖顯示在第22和23圖的加工之後,在形成源極/汲極接點151A和151B之後,全環繞式閘極場效電晶體裝置100沿剖面C-C的剖面示意圖。可透過在層間介電層137中形成開口已暴露出下方的源極/汲極區133,在源極/汲極區133上方形成矽化物區153,並以導電材料(例如Cu、W、Co、Al)填充開口,以形成源極/汲極接點151A和151B。
用於源極/汲極接點151A和151B的開口可透過進行光微影和蝕刻製程以蝕刻通過接觸蝕刻停止層135以暴露出源極/汲極區133來形成。可透過先在源極/汲極區133的暴露部分上方沉積能夠與半導體材料(例如矽、鍺)反應的金屬(例如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐火金屬、稀土金屬或其合金)以形成矽化物區或鍺化物區,接著進行熱退火製程,以形成矽化物區153。接著,例如透過蝕刻製程移除沉積金屬的未反應部分。接著,可形成阻障層作為層間介電層137中的開口的側壁和底部的襯墊,之後,形成填充金屬以填充開口。如本發明所屬技術領域中具通常知識者容易理解的,在第26圖的加工之後,可進行額外的加工以完成全環繞式閘極場效電晶體裝置100,因此此處不討論細節。
可以注意到,在第26圖中,超出閘極結構的邊界(例如源極/汲極區133正下方)之介電鰭116的寬度為T2 ,寬度T2 大於介電鰭116在閘極電極143下方(例如正下方)的寬度T1 (請參照第18和19圖)。在一些實施例中,寬度T2 與寬度T1 之間的差異在約2nm與約20nm之間。
介電鰭116在源極/汲極區133下方的較大寬度T2 允許光微影和蝕刻製程有著較大的誤差容限(或要求較不嚴格)。舉例來說,如果源極/汲極接點151A和151B由於光微影和蝕刻製程中的不精準而偏移(例如往左側或右側)以形成接觸開口,則在兩相鄰源極/汲極區133(例如第26圖中的源極/汲極區133A和133B)之間發生電性短路之前,介電鰭116的較大寬度T2 可容忍較大的偏移量。再舉例來說,考慮對於不同類型(例如n型或p型)的電晶體在不同區域(例如n型裝置區510和p型裝置區520)中的源極/汲極區133的摻雜(例如佈植製程),其中可使用圖案化遮罩以覆蓋一區域(例如n型裝置區510)中的源極/汲極區133,同時暴露出另一區域(例如p型裝置區520)用於摻雜。較大寬度T2 允許遮罩層的邊界可以有較大的誤差範圍,此邊界可在介電鰭116的頂表面上。此外,介電鰭116的較大寬度T 減少或防止相鄰源極/汲極區的橋接(例如源極/汲極區133A與源極/汲極區133B之間的橋接)。再者,介電鰭116的較大寬度T 改善了形成裝置的時依性介電擊穿(time dependent dielectric breakdown,TDDB)效能(例如從源極/汲極接點151A至源極/汲極區133B,或從源極/汲極接點151B至源極/汲極區133A)。另一方面,介電鰭116在閘極電極143下方的較小寬度T1 (請參照第18和19圖)使得填充金屬較容易填入凹口128,因此改善產率,並降低形成的閘極電極的電阻。
可能對本發明實施例作修改或變化,且這些修改或變化完全被包含在本發明實施例的範圍中。第27和28圖顯示一些範例。第27圖為依據另一實施例之全環繞式閘極場效電晶體裝置100A的剖面示意圖。全環繞式閘極場效電晶體裝置100A相似於第22圖中的全環繞式閘極場效電晶體裝置100,但是完全移除圖案化硬遮罩107(例如存在於第22圖)。
第28圖為依據另一實施例之全環繞式閘極場效電晶體裝置100B的剖面示意圖。環繞式閘極場效電晶體裝置100B相似於第27圖中的全環繞式閘極場效電晶體裝置100A,但是在垂直相鄰奈米線110周圍的閘極介電層141不合併。反而,閘極電極143的填充金屬填充垂直相鄰奈米線110之間的間隙。相似地,閘極電極143的填充金屬填充最底部奈米線110與半導體條帶106之間的間隙。
第29圖為依據一些實施例之形成全環繞式閘極場效電晶體裝置的方法的流程圖。應理解的是,第29圖顯示的實施例方法僅為許多可能的實施例方法的一個範例。本發明所屬技術領域中具通常知識者將辨識出許多變化、替代和修改。舉例來說,可增加、移除、重複、重新排列和重複第29圖顯示的各個步驟。
請參照第29圖的方法1000,在步驟1010中,在基底上方形成半導體鰭,並在半導體鰭上方形成圖案化遮罩層,其中半導體鰭包括在半導體條帶上方的磊晶層,其中磊晶層包括第一半導體材料和第二半導體材料的交替層。在步驟1020中,在半導體鰭的兩側的隔離區上方形成混合鰭,其中每個混合鰭包括介電鰭和在介電鰭上方的介電結構。在步驟1030中,在半導體鰭和混合鰭上方形成閘極結構。在步驟1040中,移除設置於閘極結構的側壁之外的圖案化遮罩層的第一部分、磊晶層的第一部分和介電結構的第一部分,而大致不移除介電鰭。在步驟1050中,在介電鰭上方及閘極結構周圍形成層間介電(ILD)層。在步驟1060中,移除閘極結構的閘極電極以在閘極結構中形成開口,開口暴露出設置於閘極結構下方的圖案化遮罩層的第二部分和介電結構的第二部分。在步驟1070中,移除介電結構的第一介電結構,同時保留介電結構的第二介電結構。在步驟1080中,選擇性移除第一半導體材料,其中在選擇性移除之後,第二半導體材料形成奈米線,其中第二介電結構比奈米線的最上表面延伸更遠離基底。
本發明實施例可達成許多優點。舉例來說,介電鰭116具有在源極/汲極區133下方的較大寬度T2 以及在閘極電極143下方的較小寬度T1 。較大寬度T2 為光微影和蝕刻製程提供較大的誤差容限以形成接觸開口,並幫助減少相鄰源極/汲極區133之間的電性短路。較小寬度T1 使得填充金屬較容易填充閘極間隙壁129之間的凹口以形成閘極電極143,進而改善產率並降低閘極電極的電阻。此外,介電鰭116改善形成裝置的時依性介電擊穿(TDDB)效能。再者,不同金屬閘極(例如閘極結構145A、145B和145C)的隔開透過介電結構118以自對準方式來實現。雖然以全環繞式閘極場效電晶體裝置(例如奈米線裝置)的上下文討論本發明實施例,但是本發明實施例的原理可應用於其他類型的裝置,例如奈米片裝置或鰭式場效電晶體(Fin Field-Effect,FinFET)裝置。
依據一實施例,半導體裝置的形成方法包含在基底上方形成半導體鰭,並在半導體鰭上方形成圖案化遮罩層,其中半導體鰭包括在半導體條帶上方的磊晶層,其中磊晶層包括第一半導體材料和第二半導體材料的交替層;在半導體鰭的兩側的隔離區上方形成混合鰭,其中每個混合鰭包括介電鰭和在介電鰭上方的介電結構;在半導體鰭和混合鰭上方形成閘極結構;移除設置於閘極結構的側壁之外的圖案化遮罩層的第一部分、磊晶層的第一部分和介電結構的第一部分,而大致不移除介電鰭;在介電鰭上方及閘極結構周圍形成層間介電(ILD)層;移除閘極結構的閘極電極,以在閘極結構中形成開口,開口暴露出設置於閘極結構下方的圖案化遮罩層的第二部分和介電結構的第二部分;移除介電結構的第一介電結構,並保留介電結構的第二介電結構;以及選擇性移除第一半導體材料,其中在選擇性移除之後,第二半導體材料形成奈米線,其中第二介電結構比奈米線的最上表面更遠離基底。在一實施例中,此方法更包含以導電材料填充開口;以及將導電材料的上表面凹陷至第二介電結構的上表面之下。在一實施例中,此方法更包含在填充開口之前,在奈米線周圍形成閘極介電材料。在一實施例中,此方法更包含在填充開口之前,移除開口暴露的圖案化遮罩層的第二部分的至少上層。在一實施例中,此方法更包含在選擇性移除第一半導體材料之後以及在填充開口之前,縮小設置於閘極結構下方的介電鰭的第一部分的第一寬度,且設置於閘極結構的側壁之外的介電鰭的第二部分的第二寬度保持不變。在一實施例中,此方法更包含在凹陷之前,在導電材料上形成鎢層。在一實施例中,移除圖案化遮罩層的第一部分之後、磊晶層的第一部分和介電結構的第一部分的步驟包括使用閘極結構作為蝕刻遮罩來進行非等向性蝕刻。在一實施例中,此方法更包含在形成混合鰭之前,形成蓋層,蓋層包括沿磊晶層的側壁沿圖案化遮罩層的側壁的第一半導體材料,其中形成混合鰭接觸蓋層。在一實施例中,混合鰭由有著第一介電常數的一個或多個介電材料形成,且介電結構由有著第二介電常數的一個或多個介電材料形成,第二介電常數大於第一介電常數。在一實施例中,此方法更包含在移除圖案化遮罩層的第一部分之後、磊晶層的第一部分和介電結構的第一部分之後以及在形成層間介電層之前,在半導體條帶上方形成源極/汲極區。在一實施例中,此方法更包含在移除圖案化遮罩層的第一部分之後、磊晶層的第一部分和介電結構的第一部分之後以及在形成源極/汲極區之前,以第一介電材料取代設置於閘極結構的閘極間隙壁下方的第一半導體材料。在一實施例中,取代步驟包含進行橫向蝕刻製程以移除設置於閘極間隙壁下方的第一半導體材料;以及使用第一介電材料填充第一半導體材料移除之後留下的空間。
依據一實施例,半導體裝置的形成方法包含形成半導體條帶突出於基底之上;在半導體條帶的相鄰兩者之間形成隔離區;在隔離區上形成混合鰭,混合鰭包括介電鰭和在介電鰭上方的介電結構;在半導體條帶和混合鰭上方形成虛設閘極結構;在半導體條帶上方的虛設閘極結構兩側形成源極/汲極區;在虛設閘極結構下方形成奈米線,其中奈米線在對應的半導體條帶上方並與對應的半導體條帶對齊,且源極/汲極區在奈米線的兩端,其中混合鰭比奈米線更遠離基底;在形成奈米線之後,縮小混合鰭的中心部分的寬度,且混合鰭的端部分的寬度保持不變,其中混合鰭的中心部分在虛設閘極結構下方,且混合鰭的端部分在虛設閘極結構的邊界之外;以及在奈米線周圍形成導電材料。在一實施例中,形成奈米線的步驟包含在形成虛設閘極結構之前,在半導體條帶上方形成第一半導體材料和第二半導體材料的交替層;在形成虛設閘極結構之後,移除設置於虛設閘極結構的邊界之外的第一半導體材料和第二半導體材料;在源極/汲極區上方和虛設閘極結構的周圍形成層間介電層;在形成層間介電層之後,移除虛設閘極結構的閘極電極以在虛設閘極結構中形成開口,開口暴露出設置於虛設閘極結構下方的第一半導體材料;以及選擇性移除設置於虛設閘極結構下方的第一半導體材料。在一實施例中,形成奈米線的步驟更包含在形成虛設閘極結構之前,在混合鰭與第一半導體材料和第二半導體材料的交替層之間形成蓋層,蓋層透過使用第一半導體材料形成。在一實施例中,此方法更包含在移除設置於虛設閘極結構的邊界之外的第一半導體材料和第二半導體材料之後,從第二半導體材料的剩下部分的側壁將第一半導體材料凹陷;以及以介電材料填充第一半導體材料凹陷之後留下的空間。在一實施例中,此方法更包含將導電材料的上表面凹陷至介電結構的上表面之下。在一實施例中,此方法更包含在形成導電材料之前,在奈米線周圍形成閘極介電材料。
依據一實施例,半導體裝置包含半導體條帶突出於基底之上;第一隔離區和第二隔離區在半導體條帶的兩側;奈米線在半導體條帶上方並與半導體條帶對齊;源極/汲極區在奈米線的兩側;第一介電鰭在第一隔離區上;以及金屬閘極在奈米線和第一介電鰭的中心部分周圍,其中第一介電鰭的端部分設置於金屬閘極的兩側,且第一介電鰭的端部分比第一介電鰭的中心部分更寬。在一實施例中,半導體裝置更包含第一介電結構在第一介電鰭上方,其中第一介電結構沿遠離基底的方向延伸至金屬閘極的上表面之上。 前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100,100A,100B:全環繞式閘極場效電晶體裝置 101:基底 102:半導體鰭 103:第一半導體層 104:圖案化磊晶材料堆疊物 104’:磊晶材料堆疊物 105:第二半導體層 105S,129S:側壁 106:半導體條帶 107:圖案化硬遮罩 107’:硬遮罩層 108:溝槽 109:襯墊 110:奈米線 111:淺溝槽隔離區 112:混合鰭 113:蓋層 114,115,117,119,149:介電層 116:介電鰭 118:介電結構 121:閘極介電質 122:虛設閘極結構 123:閘極電極 125:第一遮罩 126:遮罩 127:第二遮罩 128,136:凹口 129:閘極間隙壁 131:內部間隙壁 133,133A,133B:源極/汲極區 134:空間 135:接觸蝕刻停止層 137:層間介電層 139:切割金屬閘極圖案 139A:圖案化硬遮罩層 139B:圖案化光阻 141:閘極介電層 142:界面層 143,143N,143P:閘極電極 144:界面 145A,145B,145C:閘極結構 147:蝕刻停止層 151A,151B:源極/汲極接點 510:n型裝置區 520:p型裝置區 1000:方法 1010,1020,1030,1040,1050,1060,1070,1080:步驟 D,H4:距離 H1,H2,H3:高度 S:間距 T1,T2:厚度 R:偏移
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1-26圖為依據一實施例之全環繞式閘極(gate-all-around,GAA)場效電晶體(field-effect transistor,FET)裝置在各種製造階段的各種視圖。 第27圖為依據另一實施例之全環繞式閘極場效電晶體裝置的剖面示意圖。 第28圖為依據另一實施例之全環繞式閘極場效電晶體裝置的剖面示意圖。 第29圖為依據一些實施例之形成全環繞式閘極場效電晶體裝置的方法的流程圖。
1000:方法
1010,1020,1030,1040,1050,1060,1070,1080:步驟

Claims (15)

  1. 一種半導體裝置的形成方法,包括:在一基底上方形成複數個半導體鰭,並在該複數個半導體鰭上方形成一圖案化遮罩層,其中每個該複數個半導體鰭包括在一半導體條帶上方的一磊晶層,其中該磊晶層包括一第一半導體材料和一第二半導體材料的交替層;在該複數個半導體鰭的兩側的複數個隔離區上方形成複數個混合鰭,其中每個該複數個混合鰭包括一介電鰭和在該介電鰭上方的一介電結構;在該複數個半導體鰭和該複數個混合鰭上方形成一閘極結構;移除設置於該閘極結構的側壁之外的該圖案化遮罩層的一第一部分、該磊晶層的一第一部分和該介電結構的一第一部分,而大致不移除該介電鰭;在該介電鰭上方及該閘極結構周圍形成一層間介電層;移除該閘極結構的一閘極電極,以在該閘極結構中形成一開口,該開口暴露出設置於該閘極結構下方的該圖案化遮罩層的一第二部分和該介電結構的一第二部分;移除該介電結構的一第一介電結構,並保留該介電結構的一第二介電結構;以及選擇性移除該第一半導體材料,其中在選擇性移除之後,該第二半導體材料形成複數個奈米線,其中該第二介電結構比該複數個奈米線的最上表面更遠離該基底。
  2. 如請求項1之半導體裝置的形成方法,更包括:以一導電材料填充該開口;以及將該導電材料的上表面凹陷至該第二介電結構的上表面之下。
  3. 如請求項2之半導體裝置的形成方法,更包括:在填充該開口之前,在該複數個奈米線周圍形成一閘極介電材料。
  4. 如請求項2之半導體裝置的形成方法,更包括:在填充該開口之前,移除該開口暴露的該圖案化遮罩層的該第二部分的至少上層。
  5. 如請求項2之半導體裝置的形成方法,更包括:在選擇性移除該第一半導體材料之後以及在填充該開口之前,縮小設置於該閘極結構下方的該介電鰭的一第一部分的一第一寬度,且設置於該閘極結構的側壁之外的該介電鰭的一第二部分的一第二寬度保持不變。
  6. 如請求項1至5中任一項之半導體裝置的形成方法,更包括:在形成該混合鰭之前,形成一蓋層,該蓋層包括沿該磊晶層的側壁沿該圖案化遮罩層的側壁的該第一半導體材料,其中形成該混合鰭接觸該蓋層。
  7. 如請求項1至5中任一項之半導體裝置的形成方法,其中該混合鰭由有著一第一介電常數的一個或多個介電材料形成,且該介電結構由有著一第二介電常數的一個或多個介電材料形成,該第二介電常數大於該第一介電常數。
  8. 如請求項1至5中任一項之半導體裝置的形成方法,更包括:在移除該圖案化遮罩層的該第一部分之後、該磊晶層的該第一部分和該介電結構的該第一部分之後以及在形成該層間介電層之前,在該半導體條帶上方形成一源極/汲極區。
  9. 如請求項8之半導體裝置的形成方法,更包括:在移除該圖案化遮罩層的該第一部分之後、該磊晶層的該第一部分和該介電 結構的該第一部分之後以及在形成該源極/汲極區之前,以一第一介電材料取代設置於該閘極結構的一閘極間隙壁下方的該第一半導體材料。
  10. 如請求項9之半導體裝置的形成方法,其中取代步驟包括:進行一橫向蝕刻製程以移除設置於該閘極間隙壁下方的該第一半導體材料;以及使用該第一介電材料填充該第一半導體材料移除之後留下的一空間。
  11. 一種半導體裝置的形成方法,包括:形成複數個半導體條帶突出於一基底之上;在該複數個半導體條帶的相鄰兩者之間形成一隔離區;在該隔離區上形成一混合鰭,該混合鰭包括一介電鰭和在該介電鰭上方的一介電結構;在該複數個半導體條帶和該混合鰭上方形成一虛設閘極結構;在該複數個半導體條帶上方的該虛設閘極結構兩側形成複數個源極/汲極區;在該虛設閘極結構下方形成一奈米線,其中該奈米線在對應的該複數個半導體條帶上方並與對應的該複數個半導體條帶對齊,且該複數個源極/汲極區在該奈米線的兩端,其中該混合鰭比該奈米線更遠離該基底;在形成該奈米線之後,縮小該混合鰭的中心部分的寬度,且該混合鰭的端部分的寬度保持不變,其中該混合鰭的中心部分在該虛設閘極結構下方,且該混合鰭的端部分在該虛設閘極結構的邊界之外;以及在該奈米線周圍形成一導電材料。
  12. 如請求項11之半導體裝置的形成方法,其中形成該奈米線的步驟包括: 在形成該虛設閘極結構之前,在該複數個半導體條帶上方形成一第一半導體材料和一第二半導體材料的交替層;在形成該虛設閘極結構之後,移除設置於該虛設閘極結構的邊界之外的該第一半導體材料和該第二半導體材料;在該複數個源極/汲極區上方和該虛設閘極結構的周圍形成一層間介電層;在形成該層間介電層之後,移除該虛設閘極結構的一閘極電極以在該虛設閘極結構中形成一開口,該開口暴露出設置於該虛設閘極結構下方的該第一半導體材料;以及選擇性移除設置於該虛設閘極結構下方的該第一半導體材料。
  13. 如請求項12之半導體裝置的形成方法,其中形成該奈米線的步驟更包括:在形成該虛設閘極結構之前,在該混合鰭與該第一半導體材料和該第二半導體材料的交替層之間形成一蓋層,該蓋層透過使用該第一半導體材料形成。
  14. 如請求項13之半導體裝置的形成方法,更包括:在移除設置於該虛設閘極結構的邊界之外的該第一半導體材料和該第二半導體材料之後,從該第二半導體材料的剩下部分的側壁將該第一半導體材料凹陷;以及以一介電材料填充該第一半導體材料凹陷之後留下的一空間。
  15. 一種半導體裝置,包括:一半導體條帶,突出於一基底之上;一第一隔離區和一第二隔離區,在該半導體條帶的兩側;一奈米線,在該半導體條帶上方並與該半導體條帶對齊; 複數個源極/汲極區,在該奈米線的兩側;一第一介電鰭,在該第一隔離區上;以及一金屬閘極,在該奈米線和該第一介電鰭的一中心部分周圍,其中該第一介電鰭的該中心部分設置於該金屬閘極的一下表面與該基底之間,其中該金屬閘極的該下表面面對該基底並物理接觸該第一介電鰭的該中心部分,該第一介電鰭的一端部分設置於該金屬閘極的兩側,且該第一介電鰭的該端部分比該第一介電鰭的該中心部分更寬。
TW109118743A 2019-08-23 2020-06-04 半導體裝置及其形成方法 TWI740522B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/550,049 US11114529B2 (en) 2019-08-23 2019-08-23 Gate-all-around field-effect transistor device
US16/550,049 2019-08-23

Publications (2)

Publication Number Publication Date
TW202109629A TW202109629A (zh) 2021-03-01
TWI740522B true TWI740522B (zh) 2021-09-21

Family

ID=74645418

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109118743A TWI740522B (zh) 2019-08-23 2020-06-04 半導體裝置及其形成方法

Country Status (5)

Country Link
US (2) US11114529B2 (zh)
KR (1) KR102270970B1 (zh)
CN (1) CN112420614A (zh)
DE (1) DE102019123629B4 (zh)
TW (1) TWI740522B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114529B2 (en) * 2019-08-23 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around field-effect transistor device
US11195746B2 (en) * 2020-01-13 2021-12-07 International Business Machines Corporation Nanosheet transistor with self-aligned dielectric pillar
US11799019B2 (en) * 2020-02-27 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation feature and manufacturing method thereof
CN113130483A (zh) 2020-02-27 2021-07-16 台湾积体电路制造股份有限公司 半导体结构
US11217676B1 (en) * 2020-06-24 2022-01-04 Taiwan Semiconductor Manufacturing Company Limited Antenna-free high-k gate dielectric for a gate-all-around transistor and methods of forming the same
US11329163B2 (en) 2020-07-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11527614B2 (en) * 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
US20220416026A1 (en) * 2021-06-29 2022-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US20230064457A1 (en) * 2021-08-27 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructure Device and Method of Forming Thereof
US20230095191A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Transistors with reduced epitaxial source/drain span via etch-back for improved cell scaling
US20230231025A1 (en) * 2021-10-15 2023-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor Gate Contacts and Methods of Forming the Same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170110554A1 (en) * 2015-10-15 2017-04-20 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
TW201917891A (zh) * 2017-10-30 2019-05-01 台灣積體電路製造股份有限公司 全包覆式閘極結構及其製造方法
TW201929225A (zh) * 2017-12-11 2019-07-16 南韓商三星電子股份有限公司 場效應電晶體、系統晶片以及製造其的方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100699839B1 (ko) * 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
WO2013101007A1 (en) * 2011-12-28 2013-07-04 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (finfet) process
KR102045212B1 (ko) 2013-04-23 2019-11-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9953881B2 (en) 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
EP3353810A4 (en) * 2015-09-25 2019-05-01 Intel Corporation PASSIVATION OF TRANSISTOR CHANNEL RANGE INTERFACES
KR102476143B1 (ko) * 2016-02-26 2022-12-12 삼성전자주식회사 반도체 장치
US10510608B2 (en) 2016-03-04 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US11121040B2 (en) * 2016-09-30 2021-09-14 Intel Corporation Multi voltage threshold transistors through process and design-induced multiple work functions
US10269934B2 (en) 2017-03-23 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing tunnel field effect transistor
WO2018182617A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Transistors employing non-selective deposition of source/drain material
US10403714B2 (en) * 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices
US10516032B2 (en) * 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11114529B2 (en) * 2019-08-23 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around field-effect transistor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170110554A1 (en) * 2015-10-15 2017-04-20 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
TW201917891A (zh) * 2017-10-30 2019-05-01 台灣積體電路製造股份有限公司 全包覆式閘極結構及其製造方法
TW201929225A (zh) * 2017-12-11 2019-07-16 南韓商三星電子股份有限公司 場效應電晶體、系統晶片以及製造其的方法

Also Published As

Publication number Publication date
US11948973B2 (en) 2024-04-02
US11114529B2 (en) 2021-09-07
DE102019123629A1 (de) 2021-04-01
TW202109629A (zh) 2021-03-01
DE102019123629B4 (de) 2022-01-27
CN112420614A (zh) 2021-02-26
US20210376081A1 (en) 2021-12-02
KR20210024405A (ko) 2021-03-05
KR102270970B1 (ko) 2021-07-02
US20210057525A1 (en) 2021-02-25

Similar Documents

Publication Publication Date Title
TWI740522B (zh) 半導體裝置及其形成方法
CN111490012B (zh) 半导体装置结构及其形成方法
US11355363B2 (en) Semiconductor devices and methods of manufacturing
TWI764548B (zh) 半導體裝置、電晶體與半導體裝置的製造方法
US20240145250A1 (en) Replacement Gate Methods That Include Treating Spacers to Widen Gate
US20230387228A1 (en) Contact plug structure of semiconductor device and method of forming same
TW202139264A (zh) 半導體裝置的製造方法
TW202123472A (zh) 半導體裝置及其形成方法
US20240177998A1 (en) Transistor Gate Structure and Method of Forming
TW201913749A (zh) 半導體裝置及其形成方法
CN115084018A (zh) 半导体器件的形成方法
TW202143300A (zh) 半導體裝置及其製造方法
TW202125832A (zh) 半導體裝置
US20240047553A1 (en) Gate-all-around field-effect transistor device
CN220021120U (zh) 半导体结构
TWI802402B (zh) 半導體裝置的形成方法
US11302796B2 (en) Method of forming self-aligned source/drain metal contacts
KR102541232B1 (ko) 반도체 디바이스 및 방법
US12021116B2 (en) Semiconductor gates and methods of forming the same
US20230268426A1 (en) Dummy fin structures and methods of forming same
US20230135509A1 (en) Hybrid Fin Structure of Semiconductor Device and Method of Forming Same
US20230317859A1 (en) Transistor gate structures and methods of forming thereof
TW202416361A (zh) 半導體裝置及其形成方法
TW202322352A (zh) 半導體裝置及其製造方法
KR20230158405A (ko) 언더 에피택시 격리 구조체