TWI735958B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI735958B
TWI735958B TW108134819A TW108134819A TWI735958B TW I735958 B TWI735958 B TW I735958B TW 108134819 A TW108134819 A TW 108134819A TW 108134819 A TW108134819 A TW 108134819A TW I735958 B TWI735958 B TW I735958B
Authority
TW
Taiwan
Prior art keywords
fin
silicon
semiconductor
source
silicon germanium
Prior art date
Application number
TW108134819A
Other languages
English (en)
Other versions
TW202020941A (zh
Inventor
徐行徽
陳柏年
鍾怡萱
謝博璿
林志勇
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202020941A publication Critical patent/TW202020941A/zh
Application granted granted Critical
Publication of TWI735958B publication Critical patent/TWI735958B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本發明實施例提供一種半導體裝置及其形成方法。形成半導體裝置的方法包括:於半導體基板之上形成第一半導體鰭片與第二半導體鰭片。第二半導體鰭片比第一半導體鰭片寬。形成半導體裝置的方法也包括:於半導體基板之上形成閘極堆疊,且閘極堆疊延伸橫跨第一半導體鰭片與第二半導體鰭片。形成半導體裝置的方法更包括:於第一半導體鰭片上形成第一源極∕汲極結構,且第一源極∕汲極結構為p型摻雜。此外,形成半導體裝置的方法包括:於第二半導體鰭片上形成第二源極∕汲極結構,且第二源極∕汲極結構為n型摻雜。

Description

半導體裝置及其形成方法
本發明實施例是關於一種半導體裝置,特別是關於具有半導體鰭片的半導體裝置。
半導體積體電路產業歷經快速的成長。積體電路材料與設計的科技進展產生了各個世代的積體電路。各世代相較於先前世代具有較小且較為複雜的電路。
積體電路演進期間,功能密度(亦即,單位晶片面積的內連線裝置數目)通常會增加而幾何尺寸(亦即,可利用製程生產的最小元件(或線))卻減少。此微縮化的過程通常會增加生產效率與降低相關成本而提供助益。
然而,這些進展增加了製造積體電路的複雜程度。由於部件尺寸持續減少,製程也隨之變得難以執行。因此,以更小尺寸形成可靠的半導體裝置無疑是件艱難的挑戰。
本發明實施例提供一種半導體裝置的形成方法,包括:於一基板 之上形成一矽鰭片(silicon fin),其包括一第一型摻質,以及一矽鍺鰭片(silicon germanium fin),其包括一第二型摻質,其中該第二型摻質與該第一型摻質為相反型態,且其中該矽鰭片的寬度大於該矽鍺鰭片的寬度;於該基板之上形成一閘極堆疊,其中該閘極堆疊延伸橫跨該矽鰭片的一通道區與該矽鍺鰭片的一通道區;於該矽鰭片的多個源極/汲極區之上形成一第一源極/汲極結構,其中該第一源極/汲極結構包括該第二型摻質;以及於該矽鍺鰭片的多個源極/汲極區之上形成一第二源極/汲極結構,其中該第二源極/汲極結構包括該第一型摻質。
本發明實施例提供一種半導體裝置的形成方法,包括:於一半導體基板之上形成一單一鰭片p型鰭狀場效電晶體的一第一半導體鰭片,以及一單一鰭片n型鰭狀場效電晶體的一第二半導體鰭片,其中該第一半導體鰭片與該第二半導體鰭片由不同材料所形成,且其中該第一半導體鰭片的寬度大於該第二半導體鰭片的寬度;於該半導體基板之上形成該單一鰭片n型鰭狀場效電晶體與該單一鰭片p型鰭狀場效電晶體的一閘極堆疊,其中該閘極堆疊延伸橫跨該第一半導體鰭片的一通道區與該第二半導體鰭片的一通道區;於該第一半導體鰭片的多個源極/汲極部份之上形成多個第一磊晶源極/汲極部件,使得該閘極堆疊插入該些第一磊晶源極/汲極部件;以及於該第二半導體鰭片的多個源極/汲極部份之上形成多個第二磊晶源極/汲極部件,使得該閘極堆疊插入該些第二磊晶源極/汲極部件。
本發明實施例提供一種半導體裝置,包括:一半導體基板;一單一鰭片n型鰭狀場效電晶體的一矽鰭片,設置於該半導體基板之上,以及一單一鰭片p型鰭狀場效電晶體的一矽鍺鰭片,設置於該半導體基板之上,其中該矽鰭 片的寬度大於該矽鍺鰭片的寬度;一閘極堆疊,設置於該矽鰭片的一通道區與該矽鍺鰭片的一通道區之上;一第一源極/汲極磊晶結構,設置於該矽鰭片的多個源極/汲極區之上;以及一第二源極/汲極磊晶結構,設置於該矽鍺鰭片的多個源極/汲極區之上。
100:基板
102A,102B:井區
104,108:半導體材料
106,116:隔離部件
110:墊層
112:遮罩層
112A,112B:半導體鰭片
112A',112B’:凹蝕的半導體鰭片
113,402,406,702:遮罩元件
114:介電材料層
118:閘極介電層
120:閘極電極
122,122’:閘極堆疊
203,208:凹口
204A1,204A2,204B1,204B2:磊晶結構
302:間隔物元件
304,318:介電層
306:溝槽
308:金屬閘極堆疊
310:高介電常數介電層
312,312’:功函數層
314:金屬填充料
316:保護元件
320A,320B,520A,520B:導電接觸件
322A,322B,522A,522B:較低部分
324A,324B,524A,524B:較高部分
602:電性連接
I-I,J-J,K-K,L-L:剖線
LA,LB:長度
R1:第一區域
R2:第二區域
W,WA,WA’,WB,WB’:寬度
以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。
第1A至1I圖是根據本發明的一些實施例,繪示出形成半導體裝置結構製程的各種階段之剖面示意圖。
第2圖是根據本發明的一些實施例,繪示出半導體裝置結構的透視示意圖。
第3A至3I圖是根據本發明的一些實施例,繪示出形成半導體裝置結構製程的各種階段之剖面示意圖。
第4A至4F圖是根據本發明的一些實施例,繪示出形成半導體裝置結構製程的各種階段之剖面示意圖。
第5A至5F圖是根據本發明的一些實施例,繪示出形成半導體裝置結構製程的各種階段之剖面示意圖。
第6圖是根據本發明的一些實施例,繪示出半導體裝置結構的佈局上視示意圖。
第7A至7D圖是根據本發明的一些實施例,繪示出形成半導體裝置結構製程的各種階段之剖面示意圖。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及/或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
以下敘述一些本發明實施例,在這些實施例中所述的多個階段之前、期間以及/或之後,可提供額外的步驟。一些所述階段在不同實施例中可被取代或刪去。半導體裝置結構可增加額外部件。一些所述部件在不同實施例中可被取代或刪去。儘管所討論的一些實施例以特定順序的步驟執行,這些步驟仍可以另一合乎邏輯的順序執行。
本發明實施例是關於具有鰭片(fin)的鰭狀場效電晶體。可利用 任何合適的方法圖案化鰭片。例如,可利用一或多種光學微影製程(photolithography process),包括雙重圖案化或多重圖案化製程,圖案化鰭片。一般而言,雙重圖案化或多重圖案化製程結合光學微影與自動對準製程,使圖案得以具有,例如,較小的節距,其小於使用單一、直接的光學微影製程所取得之節距。例如,在一些實施例中,犧牲層(sacrificial layer)形成於基板上且使用光學微影製程所圖案化。利用自動對準製程在圖案化的犧牲層一旁形成間隔物(spacer)。接著移除犧牲層,剩餘的間隔物可接著用以圖案化鰭片。然而,可利用一或多種其他適當的製程形成鰭片。
第1A至1I圖是根據一些實施例,繪示出形成半導體裝置結構製程的各種階段之剖面示意圖。第2圖是根據一些實施例,繪示出半導體裝置結構的透視示意圖。在一些實施例中,第1A至1I圖是沿著繪示於第2圖中的剖線I-I,繪示出形成半導體裝置結構製程的各種階段之剖面示意圖。
如第1A圖所繪示,接收或提供半導體基板100。在一些實施例中,半導體基板是塊狀(bulk)半導體基板,例如半導體晶圓。例如,半導體基板100包括矽或其他元素半導體如鍺。半導體基板100可不摻雜或摻雜(例如,p型、n型或前述之組合)。在一些實施例中,半導體基板100包括介電層上的磊晶生成半導體層。磊晶生成半導體層可由矽鍺、矽、鍺、一或多種其他合適的材料或前述之組合所形成。
在一些實施例中,半導體基板100包括化合物半導體。例如,化合物半導體包括一或多種III-V族化合物半導體,其具有由以下化學式所定義的組成:AlX1GaX2InX3AsY1PY2NY3SbY4,其中X1、X2、X3、Y1、Y2、Y3與Y4代表相對比例,各大於或等於0,且加總為1。化合物半導體可包括碳化矽(silicon carbide)、砷化鎵(gallium arsenide)、砷化銦(indium arsenide)、磷化銦(indium phosphide)、一或多種其他合適的化合物半導體或前述之組合。也可使用其他合適的基板如II-VI族化合物半導體。
在一些實施例中,半導體基板100是絕緣體上覆半導體基板(semiconductor-on-insulator,SOI)的主動(active)層。可利用佈植氧分離製程(separation by implantation of oxygen,SIMOX)、晶圓接合(bonding)製程、另一適當方法或前述之組合製造絕緣體上覆半導體基板。在一些其他的實施例中,半導體基板100包括多層結構。例如,半導體基板100包括形成於塊狀矽層上的矽鍺層。
在一些實施例中,半導體基板的一部分利用摻質摻雜,以形成井(well)區。多重離子佈植製程可用以形成井區。如第1A圖所繪示,利用多重離子佈值製程形成井區102A與102B。在一些實施例中,井區102A為n井區,而井區102B為p井區。
如第1B圖所繪示,根據一些實施例,於半導體基板100之上形成半導體材料104。在一些實施例中,半導體材料104由矽或類似的材料所形成。在一些實施例中,於半導體基板之上磊晶生成半導體材料104。在一些實施例中,半導體材料為p型摻雜。半導體材料104可用以形成n型金屬氧化物半導體(NMOS)裝置的鰭狀(fin)通道。
如第1C圖所繪示,根據一些實施例,於半導體材料104之上形成圖案化遮罩元件(patterned mask element)106,以協助半導體材料104後續的圖案化製程。圖案化遮罩元件可包括,或由氧化物材料、氮化物材料、光阻材料、一或多種其他合適的材料或前述之組合所形成。接著,利用一或多種蝕刻製程 移除未被遮罩元件106保護的半導體材料104。因此,形成圖案化的半導體材料。露出半導體基板100的一部分(例如井區102A)。接著,可移除遮罩元件106。
如第1D圖所繪示,根據一些實施例,於井區102A之上形成半導體材料108。半導體材料108與半導體材料104由不同材料所形成。在一些實施例中,半導體材料108包括,或由矽鍺、鍺或類似的材料所形成。在一些實施例中,於井區102A之上磊晶生成半導體材料108。在一些實施例中,半導體材料108為n型摻雜。半導體材料108可用以形成p型金屬氧化物半導體裝置的鰭狀通道。在一些實施例中,執行化學機械研磨(chemical mechanical polish,CMP)製程以平坦化半導體材料108。在一些實施例中,化學機械研磨製程平坦化半導體材料104。在一些實施例中,化學機械研磨製程平坦化半導體材料108與半導體材料104,以形成實質上平坦的頂表面。
本發明實施例可做許多變化以及/或修改。在一些實施例中,半導體材料108形成於半導體材料104之前。
如第1E圖所繪示,根據一些實施例,於半導體材料104與108之上形成墊(pad)層110與遮罩層112。墊層110可用於緩衝(buffer)遮罩層112與其下方的半導體材料104及108,以產生較少應力。墊層110也可作為蝕刻遮罩層112的蝕刻停止層。
在一些實施例中,墊層110由氧化矽、氧化鍺、氧化矽鍺、一或多種其他合適的材料或前述之組合所形成。可利用熱製程、化學氣相沉積(chemical vapor deposition,CVD)製程、原子層沉積(atomic layer deposition,ALD)製程、一或多種其他適當的製程或前述之組合形成墊層110。
在一些實施例中,遮罩層112包括,或由氮化矽、氮氧化矽(silicon oxynitride)、一或多種其他合適的材料或前述之組合所形成。可利用化學氣相沉積製程、熱氮化(thermal nitridation)製程、原子層沉積製程、一或多種其他適當的製程或前述之組合形成遮罩層112。
如第1F圖所繪示,根據一些實施例,圖案化遮罩層112與墊層110以形成遮罩元件113。圖案化光阻層可用以協助形成遮罩元件113。利用一或多種蝕刻製程部分移除遮罩層112與墊層110。因此,形成遮罩元件113。遮罩元件113定義了欲轉移至遮罩元件113下方的半導體材料104與108之圖案。遮罩元件113用以定義半導體鰭片。每個遮罩元件113可具有寬度W。
接著,如第1F圖所繪示,根據一些實施例,以遮罩元件113作為蝕刻遮罩,部分蝕刻半導體材料104與108。可利用一或多種蝕刻製程部分移除半導體材料104與108。因此,如第1F圖所繪示,形成半導體鰭片112A與112B。半導體材料108的剩餘部分形成半導體鰭片112A。半導體材料104的剩餘部分形成半導體鰭片112B。
在一些實施例中,半導體鰭片112A用以形成p型金屬氧化物半導體裝置,而半導體鰭片112B用以形成n型金屬氧化物半導體裝置。如第1F圖所繪示,半導體鰭片112A具有寬度WA,而半導體鰭片112B具有寬度WB。寬度WA與WB可分別為半導體鰭片112A與112B頂部的寬度。在一些實施例中,寬度WB大於寬度WA。半導體鰭片112B比半導體鰭片112A寬。在一些實施例中,半導體鰭片112A與12B具有垂直的側壁。在一些其他的實施例中,半導體鰭片112A與112B具有傾斜(slanted)的側壁。在一些實施例中,半導體鰭片112A與112B各沿著從鰭片頂部至鰭片底部的方向變寬。
在一些實施例中,寬度WA在約4nm至約6nm之範圍。在一些實施 例中,寬度WB在約6nm至約7nm之範圍。在一些實施例中,寬度WB對寬度WA的寬度比(WB/WA)在約1.05至約2之範圍。在一些其他的實施例中,寬度比(WB/WA)在約1.1至約1.3之範圍。
在一些實施例中,利用相同的蝕刻製程部分移除半導體材料104與108,以分別形成半導體鰭片112A與112B。在一些實施例中,半導體鰭片112A與112B是同時形成。例如,一旦完成上述的蝕刻製程,便形成半導體鰭片112A與112B。
然而,本發明實施例可做許多變化以及/或修改。在一些其他的實施例中,半導體鰭片112A與112B並非同時形成。在一些實施例中,利用不同光學微影製程與蝕刻製程各別形成半導體鰭片112A與112B。
如以上所述,半導體材料104與108由不同材料形成。在形成半導體鰭片112A與112B的蝕刻製程中,於蝕刻製程使用蝕刻劑。在一些實施例中,用於蝕刻製程的蝕刻劑以不同速率蝕刻半導體材料104與半導體材料108。在一些實施例中,蝕刻劑以高於對半導體材料104的速率蝕刻半導體材料108。由於以高於對半導體材料104的速率蝕刻半導體材料108,半導體鰭片112A會比半導體鰭片112B窄。
如第1G圖所繪示,根據一些實施例,於半導體基板100之上沉積介電材料層114。介電材料層114圍繞半導體鰭片112A與112B。介電材料層114可包括,或由氧化矽、氮化矽、氮氧化矽、氟矽酸鹽玻璃(fluorinated silicate glass,FSG)、低介電常數介電材料、一或多種其他合適的材料或前述之組合所形成。可利用化學氣相沉積製程、原子層沉積製程、物理氣相沉積(physical vapor deposition,PVD)製程、旋轉塗佈(spin-on)製程、一或多種其他適當的製程或 前述之組合沉積介電材料層。
接著,利用平坦化製程薄化(thin)介電材料層114直至露出遮罩元件113。平坦化製程可包括化學機械研磨製程、研磨(grinding)製程、乾式研磨(dry polishing)製程、蝕刻製程、一或多種其他適當的製程或前述之組合。
如第1H圖所繪示,根據一些實施例,移除遮罩元件113,且部分移除介電材料層114。例如,回蝕刻介電材料層114。因此,介電材料層114的剩餘部分形成隔離部件(isolation feature)116。隔離部件116圍繞半導體鰭片112A與112B較低的部分。
如第1I圖所繪示,根據一些實施例,於半導體基板100之上形成閘極堆疊(gate stack)122以部分覆蓋半導體鰭片112A與112B。閘極堆疊122延伸橫跨半導體鰭片112A與112B。閘極堆疊122包括閘極電極120與閘極介電層118。在一些實施例中,於隔離部件116與半導體鰭片112A與112B之上沉積閘極介電材料層與閘極電極材料層。接著,圖案化閘極介電材料層與閘極電極材料層以形成包括閘極電極120與閘極介電層118的閘極堆疊122。在一些實施例中,如第2圖所繪示,也可利用圖案化閘極介電材料層與閘極電極材料層形成另一閘極堆疊122’。閘極堆疊122與122’各延伸橫跨半導體鰭片112A與112B。
如第2圖所繪示,根據一些實施例,形成閘極堆疊122或122’以延伸橫跨半導體鰭片112A與112B,此外並無橫跨其他半導體鰭片。亦即,形成閘極堆疊122或122’以延伸橫跨半導體鰭片112A與112B,而無其他半導體鰭片。因此,可減少更多半導體裝置結構的尺寸以佔用較小的晶圓面積。可因而改善半導體裝置結構的製程速度。
閘極堆疊122延伸橫跨半導體鰭片112A以覆蓋半導體鰭片112A 的R1區。閘極堆疊122也延伸橫跨半導體鰭片112B以覆蓋半導體鰭片112B的R2區。在一些實施例中,R1區作為p型金屬氧化物半導體裝置的通道區,而R2區作為n型金屬氧化物半導體裝置的通道區。在一些其他的實施例中,R1區的一部分作為p型金屬氧化物半導體裝置的通道區,而R2區的一部分作為n型金屬氧化物半導體裝置的通道區。
在一些實施例中,上述的p型金屬氧化物半導體裝置與n型金屬氧化物半導體裝置一同形成互補(complementary)金屬氧化物半導體裝置。在一些實施例中,R1區與R2區是唯二由閘極堆疊112覆蓋或控制的通道區。如第2圖所繪示,R1區的寬度WA小於R2區的寬度WB。R1區具有長度LA,而R2區具有長度LB。在一些實施例中,長度LA實質上等於長度LB
在一些實施例中,形成閘極介電層118的閘極介電材料包括,或由氧化矽、氮化矽、氮氧化矽、高介電常數的介電材料、一或多種其他合適的介電材料或前述之組合所形成。在一些實施例中,閘極介電材料層是之後將被移除的虛置(dummy)閘極介電層。例如,虛置閘極介電材料層為氧化矽層。
在一些實施例中,利用化學氣相沉積製程、原子層沉積製程、熱氧化(thermal oxidation)製程、物理氣相沉積製程、一或多種其他適當的製程或前述之組合沉積閘極介電材料層。
在一些實施例中,閘極電極材料層包括,或由多晶矽、非晶矽(amorphous silicon)、鍺、矽鍺、一或多種其他合適的材料或前述之組合所形成。在一些實施例中,閘極電極材料層是包括,或由半導體材料如多晶矽所形成的虛置閘極電極層。例如,利用化學氣相沉積製程或另一適當的製程沉積虛置閘極電極層。
接著,根據一些實施例,執行磊晶生成製程與閘極取代(replacement)製程以分別形成源極/汲極結構與金屬閘極堆疊。第3A至3I圖是根據本發明的一些實施例,繪示出形成半導體裝置結構製程的各種階段之剖面示意圖。在一些實施例中,第3A圖是沿著繪示於第2圖中的剖線J-J,繪示出第2圖中結構的剖面示意圖。第4A至4F圖是根據本發明的一些實施例,繪示出形成半導體裝置結構製程的各種階段之剖面示意圖。在一些實施例中,第4A圖是沿著繪示於第2圖中的剖線L-L,繪示出第2圖中結構的剖面示意圖。
如第3A圖所繪示,根據一些實施例,於閘極堆疊122的側壁之上形成間隔物元件302。間隔物元件302在後續製程可用以協助形成源極與汲極結構(或區)。在一些實施例中,間隔物元件302包括,或由氮化矽、氮氧化矽、碳化矽、碳氮氧化矽(silicon carbon oxynitride)、一或多種其他合適的材料或前述之組合所形成。
在一些實施例中,於半導體基板100、半導體鰭片112A、112B與閘極堆疊122之上沉積間隔物層。可利用化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、旋轉塗佈製程、一或多種其他適當的製程或前述之組合沉積間隔物層。接著,執行蝕刻製程,例如非等向性(anisotropic)蝕刻製程,以部分移除間隔物層。因此,閘極堆疊122之上間隔物層的剩餘部分形成間隔物元件302。
接著,如第4A圖所繪示,根據一些實施例,形成遮罩元件402以覆蓋半導體鰭片112B。也以遮罩元件402覆蓋井區102B之上的閘極堆疊122之一部分。如第4A圖所繪示,遮罩元件402具有露出半導體鰭片112A的開口。也部分露出井區102A之上的閘極堆疊122之一部分。
如第3B與4B圖所繪示,根據一些實施例,部分移除半導體鰭片112A以形成凹口(recess)203。因此,形成凹蝕的半導體鰭片112A’。如第4B圖所繪示,在一些實施例中,凹蝕的半導體鰭片112A’被凹蝕至低於隔離部件116頂表面的水平。在一些實施例中,凹蝕的半導體鰭片112A’被凹蝕至高於隔離部件116頂表面的水平。在一些實施例中,利用一或多種蝕刻製程形成凹口203。
本發明實施例可做許多變化以及/或修改。在一些其他的實施例中,並未凹蝕半導體鰭片112A。在一些其他的實施例中,僅薄化半導體鰭片112A,而不凹蝕至低於隔離部件116頂表面的水平。
如第3C與4C圖所繪示,根據一些實施例,於凹蝕的半導體鰭片112A’之上磊晶生成一或多種半導體材料。因此,形成磊晶結構204A1與204A2。磊晶結構204A1與204A2可作為源極與汲極結構。磊晶結構204A1與204A2也可作為應力源(stressor)改善載子移動性(carrier mobility)。
在一些實施例中,磊晶結構204A1與204A2為p型摻雜且作為p型源極/汲極結構。例如,磊晶結構204A1與204A2可包括磊晶生成的矽鍺、磊晶生成的鍺、或一或多種其他合適的磊晶生成半導體材料。磊晶結構204A1與204A2可包括p型摻質,例如硼、鎵、銦、一或多種其他合適的摻質或前述之組合。
在一些實施例中,磊晶結構204A1與204A2包括矽鍺。在一些實施例中,磊晶結構204A1與204A2具有在約10%至約60%之範圍的鍺原子濃度。在一些其他的實施例中,磊晶結構204A1與204A2具有在約20%至約40%之範圍的鍺原子濃度。
在一些實施例中,利用選擇性(selective)磊晶生成製程、化學 氣相沉積製程(例如:氣相磊晶(vapor-phase epitaxy)製程、低壓化學氣相沉積(low-pressure CVD,LPCVD)製程以及/或超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)製程)、分子束磊晶(molecular beam epitaxy)製程)、原子層沉積製程、一或多種其他適當的製程或前述之組合,形成磊晶結構204A1與204A2。形成磊晶結構204A1與204A2的製程可使用氣態以及/或液態前驅物(precursor)。
在一些實施例中,在生成磊晶結構204A1與204A2時,於原位(in-situ)摻雜磊晶結構204A1與204A2。然而,本發明實施例並非以此為限。在一些其他的實施例中,在磊晶生成磊晶結構204A1與204A2之後,利用一或多種摻雜製程摻雜磊晶結構204A1與204A2。在一些實施例中,利用離子佈植(ion implantation)製程、電漿浸沒式(plasma immersion)離子佈值、氣體以及/或固體源擴散製程、一或多種其他適當的製程或前述之組合以完成摻雜。
在一些實施例中,磊晶結構204A1與204A2更暴露於一或多種退火(annealing)製程以活化摻質。例如,利用快速熱退火製程。在一些實施例中,不在此階段執行退火製程,但於其他區域上形成其他磊晶結構之後執行退火製程。因此,可在相同退火製程中一同活化這些磊晶結構中的摻質。
接著,如第4D圖所繪示,可移除遮罩元件402以露出被遮罩元件402覆蓋的半導體鰭片112B與閘極堆疊122的一部分。接著,如第4D圖所繪示,根據一些實施例,形成另一遮罩元件406以覆蓋磊晶結構204A1。遮罩元件406也覆蓋了磊晶結構204A2(未繪示於第4D圖)。遮罩元件406也覆蓋了井區102A之上的閘極堆疊122之一部分。遮罩元件406具有露出半導體鰭片112B的開口。也露出井區102B之上的閘極堆疊122之一部分。
第5A至5F圖是根據本發明的一些實施例,繪示出形成半導體裝置結構製程的各種階段之剖面示意圖。在一些實施例中,第5A圖是沿著繪示於第2圖中的剖線K-K,繪示出第2圖中結構之剖面示意圖。
如第4E圖所繪示,根據一些實施例,部分移除半導體鰭片112B以形成凹口208。因此,形成凹蝕的半導體鰭片112B’。如第4E圖所繪示,在一些實施例中,凹蝕的半導體鰭片112B’被凹蝕至低於隔離部件116頂表面的水平。在一些實施例中,凹蝕的半導體鰭片112B’被凹蝕至高於隔離部件116頂表面的水平。在一些實施例中,利用一或多種蝕刻製程形成凹口208。
本發明實施例可做許多變化以及/或修改。在一些其他的實施例中,並未凹蝕半導體鰭片112B。在一些其他的實施例中,僅薄化半導體鰭片112B,而不凹蝕至低於隔離部件116頂表面的水平。
如第4F與5B圖所繪示,根據一些實施例,於凹蝕的半導體鰭片112B’之上磊晶生成一或多種半導體材料。因此,形成磊晶結構204B1與204B2。接著,移除遮罩元件406。磊晶結構204B1與204B2可作為源極與汲極結構。磊晶結構204B1與204B2也可作為應力源改善載子移動性。
在一些實施例中,磊晶結構204B1與204B2為n型摻雜且作為n型源極/汲極結構。例如,磊晶結構204B1與204B2可包括磊晶生成的矽或另一種合適的磊晶生成半導體材料。磊晶結構204B1與204B2可包括n型摻質,例如磷、砷、一或多種其他合適的摻質或前述之組合。
在一些實施例中,利用選擇性磊晶生成製程、化學氣相沉積製程(例如:氣相磊晶製程、低壓化學氣相沉積製程以及/或超高真空化學氣相沉積製程)、分子束磊晶製程、原子層沉積製程、一或多種其他適當的製程或前 述之組合,形成磊晶結構204B1與204B2。形成磊晶結構204B1與204B2的製程可利用氣態以及/或液態前驅物。
在一些實施例中,在生成磊晶結構204B1與204B2時,於原位摻雜磊晶結構204B1與204B2。然而,本發明實施例並非以此為限。在一些其他的實施例中,在磊晶生成磊晶結構204B1與204B2之後,利用一或多種摻雜製程摻雜磊晶結構204B1與204B2。在一些實施例中,利用離子佈植製程、電漿浸沒式離子佈值、氣體以及/或固體源擴散製程、一或多種其他適當的製程或前述之組合以完成摻雜。
在一些實施例中,製程磊晶結構204B1與204B2更暴露於一或多種退火製程以活化摻質。例如,利用快速熱退火製程。在一些實施例中,退火製程用以同時活化磊晶結構204B1與204B2中的摻質。
接著,可執行閘極取代製程以金屬閘極堆疊取代閘極堆疊122。在一些實施例中,於磊晶結構204A1、204A2、204B與閘極堆疊122之上沉積介電材料層。介電材料層可包括,或由氧化矽、氮氧化矽、硼矽酸鹽玻璃(borosilicate glass,BSG)、磷矽酸鹽玻璃(phosphoric silicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽酸鹽玻璃、低介電常數材料、多孔介電材料、一或多種其他合適的介電材料或前述之組合所形成。在一些實施例中,利用化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、旋轉塗佈製程、一或多種其他適當的製程或前述之組合沉積介電材料層。
接著,如第3D圖所繪示,根據一些實施例,薄化介電材料層直至露出閘極堆疊122。如第3D圖所繪示,在介電材料層的薄化製程之後,介電材料層的剩餘部分形成介電層304。介電層304圍繞閘極堆疊122。
接著,如第3E圖所繪示,根據一些實施例,移除閘極堆疊122以形成溝槽(trench)306。可利用一或多種蝕刻製程移除閘極電極120與閘極介電層118。因此,形成溝槽306。
如第3F與5C圖所繪示,根據一些實施例,於溝槽306中形成金屬閘極堆疊308,以取代原先形成的閘極堆疊122。金屬閘極堆疊308可包括如第3F圖所繪示的延伸橫跨凹蝕的半導體鰭片112A’之第一部分,以及如第5C圖所繪示的延伸橫跨凹蝕的半導體鰭片112B’之第二部分。如第3F圖所繪示,金屬閘極堆疊308的第一部份包括高介電常數閘極介電層310、功函數層312、金屬填充料314。如第5C圖所繪示,金屬閘極堆疊308的第二部分包括高介電常數閘極介電層310、功函數層312’、金屬填充料314。在一些實施例中,金屬閘極堆疊308不同部分的功函數層312與312’由不同材料形成。
然而,本發明實施例可做許多變化以及/或修改。在一些實施例中,功函數層312與312’由不同材料形成。功函數層312與312’可為相同材料層。
金屬填充料314可包括,或由鎢、鈷、釕、鋁、銅、一或多種其他合適的材料或前述之組合所形成。高介電常數閘極介電層310可包括,或由氧化鉿、氧化鋯、氧化鋁、二氧化鉿-鋁合金、氧化矽鉿、氮氧化矽鉿、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、一或多種其他合適的高介電常數介電材料或前述之組合所形成。
功函數層312與312’為電晶體提供符合需求的功函數,以增強裝置性能,包括較好的臨界電壓(threshold voltage)。在一些實施例中,功函數層312’用以形成n型金屬氧化物半導體裝置。功函數層312’是n型金屬層。n型金屬層能為裝置提供合適的功函數值,例如等於或小於約4.5eV。n型金屬層可包括金屬、 金屬碳化物、金屬氮化物或前述之組合。例如,n型金屬層包括氮化鈦、鉭、氮化鉭、一或多種其他合適的材料或前述之組合。
在一些實施例中,功函數層312用以形成p型金屬氧化物半導體裝置。功函數層312是p型金屬層。p型金屬層能為裝置提供合適的功函數值,例如等於或大於約4.8eV。p型金屬層可包括金屬、金屬碳化物、金屬氮化物、其他合適的材料或前述之組合。例如,p型金屬層包括氮化鉭、氮化鎢、鈦、氮化鈦、其他合適的材料或前述之組合。
功函數層312與312’也可包括,或由鉿、鋯、鈦、鉭、鋁、金屬碳化物(例如碳化鉿、碳化鋯、碳化鈦、碳化鋁)、鋁化物、釕、鈀、鉑、鈷、鎳、導電金屬氧化物或前述之組合所形成。可微調(fine-tuned)功函數層312與312’的厚度以及/或組成以調整功函數的程度。例如,氮化鈦層可作為p型金屬層或n型金屬層,取決於氮化鈦層的厚度以及/或組成。
形成高介電常數閘極介電層、功函數層112與112’以及金屬填充料314的多重材料層可沉積於介電層304之上以填充溝槽306。於這些層,例如阻障層(barrier layer)、緩衝層以及/或阻擋層(blocking layer),之間也可形成一些其他材料層。這些材料層的沉積製程可包括原子層沉積製程、化學氣相沉積製程、物理氣相沉積製程、電鍍(electroplating)製程、一或多種其他適當的製程或前述之組合。形成功函數層312與312’的不同材料層可分別沉積於不同區域之上。可利用一或多種光學微影製程與蝕刻製程協助不同材料層形成於不同區域之上。
接著,利用平坦化製程移除材料層在溝槽306之外的部分。因此,如第3F與5C圖所繪示,溝槽306中材料層的剩餘部分一同形成金屬閘極堆疊 308。平坦化製程可包括化學機械研磨製程、研磨(grinding)製程、乾式研磨製程、蝕刻製程、一或多種其他適當的製程或前述之組合。
如第3G與5D圖所繪示,根據一些實施例,於金屬閘極堆疊308之上形成保護元件316。保護元件316可用以保護金屬閘極堆疊308在後續製程不受破壞。保護元件316也可用以防止金屬閘極堆疊308與之後形成的導電接觸件之間發生短路。
保護元件316可包括,或由氮化矽、氮氧化矽、碳化矽、一或多種其他合適的材料或前述之組合所形成。在一些實施例中,在形成保護元件316之前,回蝕刻金屬閘極堆疊308。可利用一或多種蝕刻製程移除金屬閘極堆疊308較高的部分。因此,於金屬閘極堆疊308的剩餘部分上形成被間隔物元件302圍繞的凹口。之後,於介電層304之上沉積保護材料層以填充凹口。接著,利用平坦化製程移除保護材料層在凹口之外的部分,因此,保護材料層在凹口中的剩餘部分形成保護元件316
本發明實施例可做許多變化以及/或修改。在一些其他的實施例中,並未回蝕刻金屬閘極堆疊308。於金屬閘極堆疊308上形成圖案化保護元件以提供保護。在這些情況下,保護元件316與金屬閘極堆疊308間的介面可實質上與介電層304的頂表面共平面,或實質上高於介電層304的頂表面。
如第3H與5E圖所繪示,根據一些實施例,於介電層304、間隔物元件302、金屬閘極堆疊308與保護元件316之上沉積介電層318。形成介電層318的方法與材料可與介電層304的相同或相似。
接著,根據一些實施例,形成導電接觸件以提供電性連接至磊晶結構204A1、204A2、204B1與204B2。在一些實施例中,於介電層304與318中形 成接觸開口。接觸開口露出磊晶結構204A1、204A2、204B1與204B2。可利用光學微影製程與蝕刻製程形成接觸開口。
每個接觸開口具有在介電層318中的較高的部分與在介電層304中的較低的部分。接觸開口320較高的部分可具有溝槽狀(trench-like)輪廓。接觸開口較低的部分可具有孔狀(hole-like)輪廓。可利用光學微影製程定義較高部分的輪廓。由於利用自對準(self-aligned)方式形成較低部分的輪廓,因此可自動定義較低部分的輪廓。鄰近的金屬閘極堆疊可作為蝕刻遮罩元件,以定義接觸開口較低的部分。
接著,根據一些實施例,於介電層318之上沉積導電材料層以填充接觸開口。導電材料層可包括,或由鎢、鈷、鈦、鉑、金、銅、鋁、一或多種其他合適的材料或前述之組合所形成。可利用原子層沉積製程、化學氣相沉積製程、電鍍沉積製程、一或多種其他適當的製程或前述之組合沉積導電材料層。
接著,根據一些實施例,利用平坦化製程移除在接觸開口之外的導電材料層。因此,如第3I與第5F圖所繪示,根據一些實施例,在接觸開口中導電材料層的剩餘部分形成導電接觸件320A、320B、520A與520B。上述的平坦化製程可包括化學機械研磨製程、研磨(grinding)製程、蝕刻製程、乾式研磨(dry polishing)製程、一或多種其他適當的製程或前述之組合。
如第3I圖所繪示,導電接觸件320A與320B分別電性連接至磊晶結構204A1與204A2。導電接觸件320A具有在介電層318中的較高部分324A與在介電層304中的較低部分322A。導電接觸件320B具有在介電層318中的較高部分324B與在介電層304中的較低部分322B。
如第5F圖所繪示,導電接觸件520A與520B分別電性連接至磊晶結構204B1與204B2。導電接觸件520A具有在介電層318中的較高部分524A與在介電層304中的較低部分522A。導電接觸件520B具有在介電層318中的較高部分524B與在介電層304中的較低部分522B。
第6圖是根據一些實施例,繪示出半導體裝置結構之佈局上視示意圖。在一些實施例中,第6圖繪示出第3I與5F圖中結構的佈局上視示意圖。
如第6圖所繪示,在一些實施例中,導電接觸件320A的較高部分324A延伸橫跨下方的源極/汲極結構204A1。如第3I圖所繪示,導電接觸件320A的較高部分324A透過導電接觸件320A的較低部分322A,電性連接至下方的源極/汲極結構204A1。較高部分324A可具有線狀(line-like)輪廓,而較低部分322A可具有插塞狀(plug-like)輪廓。在一些實施例中,導電接觸件320A延伸橫跨下方的源極/汲極結構204A1,此外並無橫跨其他源極/汲極結構。亦即,導電接觸件320A延伸橫跨源極/汲極結構204A1,而無其他源極/汲極結構。
同樣地,如第6圖所繪示,導電接觸件320B的較高部分324B延伸橫跨下方的源極/汲極結構204A2。導電接觸件320B的較高部分324B透過導電接觸件320B的較低部分322B,電性連接至下方的源極/汲極結構204A2。在一些實施例中,導電接觸件320B只延伸橫跨源極/汲極結構204A2,此外並無橫跨其他源極/汲極結構。亦即,導電接觸件320B延伸橫跨源極/汲極結構204A2,而無其他源極/汲極結構。
如第6圖所繪示,在一些實施例中,導電接觸件520A的較高部分524A延伸橫跨下方的源極/汲極結構204B1。如第5F圖所繪示,導電接觸件520A的較高部分524A透過導電接觸件520A的較低部分522A,電性連接至下方的源極 /汲極結構204B1。較高部分524A可具有線狀輪廓,而較低部分522A可具有插塞狀輪廓。在一些實施例中,導電接觸件520A延伸橫跨下方的源極/汲極結構204B1,此外並無橫跨其他源極/汲極結構。亦即,導電接觸件520A延伸橫跨源極/汲極結構204B1,而無其他源極/汲極結構。
同樣地,如第6圖所繪示,導電接觸件520B的較高部分524B延伸橫跨下方的源極/汲極結構204B2。如第5F圖所繪示,導電接觸件520B的較高部分524B透過導電接觸件520B的較低部分522B,電性連接至下方的源極/汲極結構204B2。在一些實施例中,導電接觸件520B延伸橫跨下方的源極/汲極結構204B2,此外並無橫跨其他源極/汲極結構。亦即,導電接觸件520B延伸橫跨源極/汲極結構204B2,而無其他源極/汲極結構。
在一些實施例中,導電接觸件320A、320B、520A與520B僅設計成各延伸橫跨一個源極/汲極結構(或半導體鰭片)。導電接觸件320A、320B、520A與520B各不須延伸過長距離以覆蓋多個源極/汲極結構(或半導體鰭片)。可減少更多導電接觸件320A、320B、520A與520B的各個阻值。因此,減少了半導體裝置結構的整體阻值。半導體裝置結構的性能與可靠度也因而較好。
在一些實施例中,如第6圖所繪示的元件作為互補金屬氧化物半導體裝置,其包括p型金屬氧化物半導體裝置與n型金屬氧化物半導體裝置。在一些實施例中,p型金屬氧化物半導體裝置的磊晶結構204A2電性連接至n型金屬氧化物半導體裝置的磊晶結構204B1。在一些實施例中,金屬接觸件302B透過電性連接602電性連接至導電接觸件520A。可利用內連線(interconnect)結構形成電性連接602,內連線結構可包括一或多種導通孔(via)與導線。例如,形成其他元件如介電層、導通孔、導線以建立電性連接602。
如第6圖所繪示,根據一些實施例,近似於閘極堆疊122,金屬閘極堆疊308延伸橫跨半導體鰭片112A與112B以覆蓋R1區與R2區。在一些實施例中,R1區與R2區分別為p型金屬氧化物半導體裝置與n型金屬氧化物半導體裝置的通道區。在一些其他的實施例中,R1區與R2區部分分別為p型金屬氧化物半導體裝置與n型金屬氧化物半導體裝置的通道區。R2區比R1區寬。金屬閘極堆疊308用以控制通道區。
在一些實施例中,若R1區與R2區的寬度變得較小,金屬閘極堆疊308較易控制通道區R1與R2。可減少或預防短通道效應(short channel effect)。然而,在一些情況下,若R1區與R2區的寬度過小,可能會減少通道區的載子移動性。例如,在一些情況下,若R2區窄於6nm,會顯著減少通道區(例如R2區)的載子移動性。在一些情況下,即使R1區在約4nm至約6nm之範圍,可能不會顯著減少通道區(例如R1區)的載子移動性。因此,在一些實施例中,R1區的設計得比R2區窄,以減少短通道效應且保持相對高的載子移動性。
在一些實施例中,寬度WA在約4nm至約6nm之範圍。在一些實施例中,寬度WB在約6nm至約7nm之範圍。在一些實施例中,寬度WB與WA差值(WB-WA)在約0.5nm至約3nm之範圍。在一些實施例中,寬度WB對WA的比例(WB/WA)在約1.05至約2之範圍。在一些其他實施例中,寬度比例(WB/WA)在約1.1至約1.3之範圍。在一些實施例中,若寬度比例(WB/WA)小於約1.05,R1區可能過寬,造成R1區中的短通道效應對半導體裝置結構的性能帶來不良的影響。在一些其他情況下,若寬度比例(WB/WA)大於約2,R1區可能過窄,可能顯著減少R1區中的載子移動性而對半導體裝置結構的性能帶來不良的影響。
本發明實施例可做許多變化以及/或修改。如以上所述,在一些 其他的實施例中,利用不同的蝕刻製程分別形成半導體鰭片112A與112B。第7A至7D圖是根據一些實施例,繪示出形成半導體裝置結構製程的各種階段之剖面示意圖。
在一些實施例中,提供或接收與第1D圖中相同或近似的結構。接著,利用光學微影製程與蝕刻製程圖案化半導體材料104與108。因此,形成半導體鰭片112A’與112B’。半導體鰭片112A’具有寬度WA’,而半導體鰭片112B’具有寬度WB’。在一些實施例中,寬度WA’實質上與寬度WB’相同。
如第7B圖所繪示,根據一些實施例,於半導體基板100之上形成遮罩元件702以覆蓋半導體鰭片112B。遮罩元件702具有露出半導體鰭片112A’的開口。
如第7C圖所繪示,根據一些實施例,利用另一蝕刻製程部分移除半導體鰭片112A’。因此,形成寬度WA小於寬度WA’的半導體鰭片112A。半導體鰭片112B因而比半導體鰭片112A寬。接著,如第7D圖所繪示,根據一些實施例,移除遮罩元件702。在這些情況下,利用不同的蝕刻製程形成具有不同寬度的半導體鰭片112A與112B。
本發明實施例形成包括p型金屬氧化物半導體裝置與n型金屬氧化物半導體裝置的半導體裝置結構。p型金屬氧化物半導體裝置與n型金屬氧化物半導體裝置共用相同的閘極堆疊。各個或其中一個p型與n型金屬氧化物半導體裝只包括一個半導體鰭片。因此,電性連接至形成於半導體鰭片上的導體結構(例如導體接觸件)不須延伸橫跨多個鰭片。導體結構的長度因此相對較短且具有較小的阻值。p型金屬氧化物半導體裝置與n型金屬氧化物半導體裝置的通道區由不同材料形成。例如,p型金屬氧化物半導體裝置的通道區包括或由鍺 形成,而n型金屬氧化物半導體裝置的通道區包括或由矽形成。p型金屬氧化物半導體裝置的性能被改善。p型金屬氧化物半導體裝置的通道區設計得比n型金屬氧化物半導體裝置的通道區窄,可減少p型金屬氧化物半導體裝置通道區中的短通道效應,而p型金屬氧化物半導體裝置通道區中的載子移動性可能仍然很高。因此,顯著改善半導體裝置結構的品質與可靠度。
根據一些實施例,提供形成半導體裝置結構的方法。形成半導體裝置結構的方法包括於半導體基板之上形成第一半導體鰭片與第二半導體鰭片。第二半導體鰭片比第一半導體鰭片寬。形成半導體裝置結構的方法也包括於半導體基板之上形成閘極堆疊,且閘極堆疊延伸橫跨第一半導體鰭片與第二半導體鰭片。形成半導體裝置結構的方法更包括於第一半導體鰭片上形成第一源極/汲極結構,且第一源極/汲極結構為p型摻雜。此外,形成半導體裝置結構的方法包括於第二半導體鰭片上形成第二源極/汲極結構,且第二源極/汲極結構為n型摻雜。
根據一些實施例,提供形成半導體裝置結構的方法。形成半導體裝置結構的方法包括於半導體基板之上形成第一半導體鰭片與第二半導體鰭片。第一半導體鰭片與第二半導體鰭片由不同材料形成。形成半導體裝置結構的方法也包括於半導體基板之上形成閘極堆疊。閘極堆疊延伸橫跨第一半導體鰭片與第二半導體鰭片,此外並無橫跨其他半導體鰭片。形成半導體裝置結構的方法更包括於第一半導體鰭片上形成第一源極/汲極結構,且第一源極/汲極結構為p型摻雜。此外,形成半導體裝置結構的方法包括於第二半導體鰭片上形成第第二源極/汲極結構,且第二源極/汲極結構為n型摻雜。
根據一些實施例,提供半導體裝置結構。半導體裝置結構包括半 導體基板。半導體基板也包括半導體基板之上的第一半導體鰭片與第二半導體鰭片。半導體裝置結構更包括半導體基板之上的閘極堆疊。閘極堆疊延伸橫跨第一半導體鰭片的第一區域與第二半導體鰭片的第二區域,以覆蓋第一半導體鰭片的第一區域與第二半導體鰭片的第二區域。第二區域比第一區域寬。此外,半導體裝置結構包括第一半導體鰭片上且鄰近於第一區域的第一源極/汲極結構,且第一源極/汲極結構為p型摻雜。半導體裝置結構也包括第二半導體鰭片上且鄰近於第二區域的第二源極/汲極結構,且第二源極/汲極結構為n型摻雜。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。
100:基板
112A,112B:半導體鰭片
116:隔離部件
118:閘極介電層
120:閘極電極
122,122’:閘極堆疊
I-I,J-J,K-K,L-L:剖線
LA,LB:長度
R1:第一區域
R2:第二區域
WA,WB:寬度

Claims (15)

  1. 一種半導體裝置的形成方法,包括:於一基板之上形成一矽鰭片(silicon fin),其包括一第一型摻質,以及一矽鍺鰭片(silicon germanium fin),其包括一第二型摻質,其中該第二型摻質與該第一型摻質為相反型態,且其中該矽鰭片的寬度大於該矽鍺鰭片的寬度;於該基板之上形成一閘極堆疊,其中該閘極堆疊延伸橫跨該矽鰭片的一通道區與該矽鍺鰭片的一通道區;於該矽鰭片的多個源極/汲極區之上形成一第一源極/汲極結構,其中該第一源極/汲極結構包括該第二型摻質;以及於該矽鍺鰭片的多個源極/汲極區之上形成一第二源極/汲極結構,其中該第二源極/汲極結構包括該第一型摻質。
  2. 如申請專利範圍請求項1所述之半導體裝置的形成方法,其中形成該閘極堆疊並延伸橫跨該矽鰭片與該矽鍺鰭片,除此之外,並無橫跨其他半導體鰭片。
  3. 如申請專利範圍請求項1或2所述之半導體裝置的形成方法,其中該矽鰭片的寬度與該矽鍺鰭片的寬度的差異大於或等於約0.5nm。
  4. 如申請專利範圍請求項1所述之半導體裝置的形成方法,其中於該基板之上形成該矽鰭片與該矽鍺鰭片的步驟包括:於該基板的一第一區域之上磊晶生成矽;於該基板的一第二區域之上磊晶生成矽鍺;於該矽鍺與該矽上執行一平坦化製程;部份移除該矽,使得該矽的一剩餘部份形成該矽鰭片;以及 部份移除該矽鍺,使得該矽鍺的一剩餘部份形成該矽鍺鰭片。
  5. 如申請專利範圍請求項4所述之半導體裝置的形成方法,更包括:於該基板之上沉積一介電材料層,其中該介電材料層圍繞該矽鰭片與該矽鍺鰭片;以及回蝕刻該介電材料層,以形成圍繞該矽鰭片的一較低部份與該矽鍺鰭片的一較低部份之一隔離部件(isolation feature),其中該閘極堆疊在該隔離部件形成之後形成。
  6. 如申請專利範圍請求項4或5所述之半導體裝置的形成方法,其中磊晶生成該矽鍺以具有高於或等於約10%的鍺的原子濃度。
  7. 如申請專利範圍請求項4或5所述之半導體裝置的形成方法,其中部份移除該矽與部份移除該矽鍺的步驟包括同時蝕刻該矽與該矽鍺,其中該蝕刻步驟使用蝕刻速率對該矽鍺大於對該矽的一蝕刻劑。
  8. 如申請專利範圍請求項1或2所述之半導體裝置的形成方法,其中於該矽鰭片的該些源極/汲極區之上形成該第一源極/汲極結構的步驟包括:蝕刻該矽鰭片,以於該矽鰭片的該些源極/汲極區中形成多個凹口;於該矽鰭片的該些源極/汲極區中的該些凹口之上磊晶生成一第一磊晶結構,其中在磊晶生成時,該第一磊晶結構以該第二型摻質摻雜;以及於該矽鍺鰭片的該些源極/汲極區之上形成該第二源極/汲極結構的步驟包括:蝕刻該矽鍺鰭片,以於該矽鍺鰭片的該些源極/汲極區中形成多個凹 口;以及於該矽鍺鰭片的該些源極/汲極區中的該些凹口之上磊晶生成一第二磊晶結構,其中在磊晶生成時,該第二磊晶結構以該第一型摻質摻雜。
  9. 如申請專利範圍請求項1或2所述之半導體裝置的形成方法,其中該矽鰭片的寬度為約6nm至約7nm,且該矽鍺鰭片的寬度為約4nm至約6nm。
  10. 一種半導體裝置的形成方法,包括:於一半導體基板之上形成一單一鰭片p型鰭狀場效電晶體的一第一半導體鰭片,以及一單一鰭片n型鰭狀場效電晶體的一第二半導體鰭片,其中該第一半導體鰭片與該第二半導體鰭片由不同材料形成,且其中該第一半導體鰭片的寬度大於該第二半導體鰭片的寬度;於該半導體基板之上形成該單一鰭片n型鰭狀場效電晶體與該單一鰭片p型鰭狀場效電晶體的一閘極堆疊,其中該閘極堆疊延伸橫跨該第一半導體鰭片的一通道區與該第二半導體鰭片的一通道區;於該第一半導體鰭片的多個源極/汲極部份之上形成多個第一磊晶源極/汲極部件,使得該閘極堆疊插入該些第一磊晶源極/汲極部件;以及於該第二半導體鰭片的多個源極/汲極部份之上形成多個第二磊晶源極/汲極部件,使得該閘極堆疊插入該些第二磊晶源極/汲極部件。
  11. 如申請專利範圍請求項10所述之半導體裝置的形成方法,其中形成該單一鰭片n型鰭狀場效電晶體的該第一半導體鰭片與該單一鰭片p型鰭狀場效電晶體的該第二半導體鰭片的步驟包括:於該半導體基板的一第一區域與一第二區域之上形成一矽層,其中該第一區域對應於該單一鰭片n型鰭狀場效電晶體,且該第二區域對應於該單一鰭片p 型鰭狀場效電晶體;於該半導體基板的該第二區域之上移除該矽層;於該半導體基板的該第二區域之上形成一矽鍺層;以及圖案化該矽層與該矽鍺層,使得該第一半導體鰭片包括矽,且該第二半導體鰭片包括矽鍺。
  12. 一種半導體裝置,包括:一半導體基板;一單一鰭片n型鰭狀場效電晶體的一矽鰭片,設置於該半導體基板之上,以及一單一鰭片p型鰭狀場效電晶體的一矽鍺鰭片,設置於該半導體基板之上,其中該矽鰭片的寬度大於該矽鍺鰭片的寬度;一閘極堆疊,設置於該矽鰭片的一通道區與該矽鍺鰭片的一通道區之上;一第一源極/汲極磊晶結構,設置於該矽鰭片的多個源極/汲極區之上;以及一第二源極/汲極磊晶結構,設置於該矽鍺鰭片的多個源極/汲極區之上。
  13. 如申請專利範圍請求項12所述之半導體裝置,其中該矽鍺鰭片的該通道區中鍺的原子濃度為約10%至約40%。
  14. 如申請專利範圍請求項12所述之半導體裝置,其中該矽鰭片的寬度為約6nm至約7nm,而該矽鍺鰭片的寬度為約4nm至約6nm,且該矽鰭片的寬度大於該矽鍺鰭片的寬度至少0.5nm。
  15. 如申請專利範圍請求項12至14中任一項所述之半導體裝置,其中該矽鰭片的該通道區包括p型摻質,該矽鍺鰭片的該通道區包括n型摻質,該第一源極/汲極磊晶結構包括n型摻質,且該第二源極/汲極磊晶結構包 括p型摻質。
TW108134819A 2018-09-28 2019-09-26 半導體裝置及其形成方法 TWI735958B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738098P 2018-09-28 2018-09-28
US62/738,098 2018-09-28
US16/526,692 US11094597B2 (en) 2018-09-28 2019-07-30 Structure and formation method of semiconductor device with fin structures
US16/526,692 2019-07-30

Publications (2)

Publication Number Publication Date
TW202020941A TW202020941A (zh) 2020-06-01
TWI735958B true TWI735958B (zh) 2021-08-11

Family

ID=69946434

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108134819A TWI735958B (zh) 2018-09-28 2019-09-26 半導體裝置及其形成方法

Country Status (3)

Country Link
US (2) US11094597B2 (zh)
KR (1) KR102278328B1 (zh)
TW (1) TWI735958B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164952B2 (en) 2020-03-07 2021-11-02 Qualcomm Incorporated Transistor with insulator
US11335683B2 (en) * 2020-06-30 2022-05-17 Qualcomm Incorporated Device channel profile structure
US20230031274A1 (en) * 2021-07-28 2023-02-02 Nanya Technology Corporation Semiconductor device structure with conductive contacts of different widths and method for preparing the same

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8169025B2 (en) * 2010-01-19 2012-05-01 International Business Machines Corporation Strained CMOS device, circuit and method of fabrication
US20150187773A1 (en) * 2013-12-28 2015-07-02 Texas Instruments Incorporated High mobility transistors
US20150372139A1 (en) * 2014-06-18 2015-12-24 GLOBALFOUNDERS Inc. Constraining epitaxial growth on fins of a finfet device
US20160293736A1 (en) * 2015-04-02 2016-10-06 International Business Machines Corporation Damage-resistant fin structures and finfet cmos
TWI559548B (zh) * 2013-11-13 2016-11-21 格羅方德半導體公司 在鰭式場效電晶體裝置上形成替代閘極結構和鰭部的方法及該產生的裝置
TWI563574B (en) * 2015-06-15 2016-12-21 Taiwan Semiconductor Mfg Co Ltd Finfet devices and methods of forming
US20170263748A1 (en) * 2016-03-11 2017-09-14 Samsung Electronics Co., Ltd. Methods to achieve strained channel finfet devices
TWI604607B (zh) * 2014-10-29 2017-11-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3547419B2 (ja) 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8716786B2 (en) * 2008-06-17 2014-05-06 Infineon Technologies Ag Semiconductor device having different fin widths
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
KR20130096953A (ko) * 2012-02-23 2013-09-02 삼성전자주식회사 반도체 장치의 제조 방법
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US8975168B2 (en) * 2013-05-28 2015-03-10 Stmicroelectronics, Inc. Method for the formation of fin structures for FinFET devices
US9312387B2 (en) * 2013-11-01 2016-04-12 Globalfoundries Inc. Methods of forming FinFET devices with alternative channel materials
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US10153372B2 (en) 2014-03-27 2018-12-11 Intel Corporation High mobility strained channels for fin-based NMOS transistors
US9484461B2 (en) * 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9431425B1 (en) * 2015-03-31 2016-08-30 International Business Machines Corporation Directly forming SiGe fins on oxide
US9514995B1 (en) 2015-05-21 2016-12-06 Globalfoundries Inc. Implant-free punch through doping layer formation for bulk FinFET structures
KR102367995B1 (ko) 2015-06-12 2022-02-25 인텔 코포레이션 다양한 채널 재료를 사용하여 동일한 다이 상에 트랜지스터들을 형성하기 위한 기술들
US9362179B1 (en) * 2015-06-22 2016-06-07 International Business Machines Corporation Method to form dual channel semiconductor material fins
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9735275B2 (en) * 2015-12-18 2017-08-15 International Business Machines Corporation Channel replacement and bimodal doping scheme for bulk finFET threshold voltage modulation with reduced performance penalty
US9929159B2 (en) 2016-02-25 2018-03-27 Globalfoundries Inc. Method, apparatus, and system having super steep retrograde well with silicon and silicon germanium fins
DE112016006696T5 (de) * 2016-03-30 2018-12-20 Intel Corporation Geometrieabstimmung eines Finnen-basierten Transistors
US10163898B2 (en) 2016-04-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9768075B1 (en) * 2016-06-20 2017-09-19 International Business Machines Corporation Method and structure to enable dual channel fin critical dimension control
US9773870B1 (en) * 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US9859302B1 (en) * 2016-06-29 2018-01-02 International Business Machines Corporation Fin-type field-effect transistor
US10707208B2 (en) * 2017-02-27 2020-07-07 International Business Machines Corporation Fabrication of fin field effect transistors utilizing different fin channel materials while maintaining consistent fin widths
US10361130B2 (en) * 2017-04-26 2019-07-23 International Business Machines Corporation Dual channel silicon/silicon germanium complementary metal oxide semiconductor performance with interface engineering
US10147651B1 (en) * 2017-05-12 2018-12-04 International Business Machines Corporation Fabrication of fin field effect transistor complementary metal-oxide-semiconductor devices with uniform hybrid channels
US10096524B1 (en) * 2017-10-18 2018-10-09 International Business Machines Corporation Semiconductor fin patterning techniques to achieve uniform fin profiles for fin field effect transistors
US10727352B2 (en) * 2018-01-26 2020-07-28 International Business Machines Corporation Long-channel fin field effect transistors
US10256099B1 (en) * 2018-03-09 2019-04-09 Sandisk Technologies Llc Transistors having semiconductor-metal composite gate electrodes containing different thickness interfacial dielectrics and methods of making thereof

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8169025B2 (en) * 2010-01-19 2012-05-01 International Business Machines Corporation Strained CMOS device, circuit and method of fabrication
TWI559548B (zh) * 2013-11-13 2016-11-21 格羅方德半導體公司 在鰭式場效電晶體裝置上形成替代閘極結構和鰭部的方法及該產生的裝置
US20150187773A1 (en) * 2013-12-28 2015-07-02 Texas Instruments Incorporated High mobility transistors
US20150372139A1 (en) * 2014-06-18 2015-12-24 GLOBALFOUNDERS Inc. Constraining epitaxial growth on fins of a finfet device
TWI604607B (zh) * 2014-10-29 2017-11-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US20160293736A1 (en) * 2015-04-02 2016-10-06 International Business Machines Corporation Damage-resistant fin structures and finfet cmos
TWI563574B (en) * 2015-06-15 2016-12-21 Taiwan Semiconductor Mfg Co Ltd Finfet devices and methods of forming
US20170263748A1 (en) * 2016-03-11 2017-09-14 Samsung Electronics Co., Ltd. Methods to achieve strained channel finfet devices

Also Published As

Publication number Publication date
US11942375B2 (en) 2024-03-26
US20200105622A1 (en) 2020-04-02
KR102278328B1 (ko) 2021-07-20
US20210375697A1 (en) 2021-12-02
US11094597B2 (en) 2021-08-17
TW202020941A (zh) 2020-06-01
KR20200037098A (ko) 2020-04-08

Similar Documents

Publication Publication Date Title
US20240113221A1 (en) Fin field effect transistor (finfet) device structure
US11990510B2 (en) Semiconductor device and manufacturing method thereof
US11764301B2 (en) FinFET device and method of forming same
KR102281560B1 (ko) 핀 전계 효과 트랜지스터 디바이스 및 그 형성 방법
CN111261521B (zh) 半导体器件及其形成方法
US11942375B2 (en) Structure and formation method of semiconductor device with fin structures
CN113206062A (zh) 半导体装置与制造半导体装置的方法
TW202002004A (zh) 半導體結構的製造方法
US11049945B2 (en) Semiconductor device structure and method for forming the same
TW202119639A (zh) 半導體元件結構及其形成方法
US20230155003A1 (en) Structure of isolation feature of semiconductor device structure
CN112951767A (zh) 半导体器件及其形成方法
CN113363213A (zh) 半导体器件及其形成方法
CN110875392B (zh) FinFET器件及其形成方法
CN113140508A (zh) 半导体装置的制造方法
CN110970365B (zh) 半导体器件结构以及形成方法
TW202111782A (zh) 半導體裝置的形成方法
CN219873540U (zh) 半导体装置
KR102678945B1 (ko) 후면 비아를 갖는 집적 회로 구조체
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
US11830912B2 (en) Semiconductor device structure and methods of forming the same
US20230369131A1 (en) Structure and formation method of semiconductor device with epitaxial structures
US20230378362A1 (en) Finfet device and method of forming same
US20240006482A1 (en) Semiconductor device and manufacturing method thereof
CN112582403A (zh) 半导体结构及其形成方法