TWI730266B - 以反應器進行蝕刻之方法及蝕刻系統 - Google Patents
以反應器進行蝕刻之方法及蝕刻系統 Download PDFInfo
- Publication number
- TWI730266B TWI730266B TW107140215A TW107140215A TWI730266B TW I730266 B TWI730266 B TW I730266B TW 107140215 A TW107140215 A TW 107140215A TW 107140215 A TW107140215 A TW 107140215A TW I730266 B TWI730266 B TW I730266B
- Authority
- TW
- Taiwan
- Prior art keywords
- gas
- shower head
- bottom plate
- etching
- reactor
- Prior art date
Links
- 238000005530 etching Methods 0.000 title claims abstract description 148
- 238000000034 method Methods 0.000 title claims abstract description 91
- 239000007789 gas Substances 0.000 claims abstract description 283
- 230000008569 process Effects 0.000 claims abstract description 53
- 230000004048 modification Effects 0.000 claims abstract description 32
- 238000012986 modification Methods 0.000 claims abstract description 32
- 239000000463 material Substances 0.000 claims description 50
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 20
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 claims description 15
- 150000002500 ions Chemical class 0.000 claims description 15
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 14
- 239000000203 mixture Substances 0.000 claims description 13
- 239000010936 titanium Substances 0.000 claims description 12
- 229910052786 argon Inorganic materials 0.000 claims description 10
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 9
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 9
- 229910052751 metal Inorganic materials 0.000 claims description 9
- 239000002184 metal Substances 0.000 claims description 9
- 239000010955 niobium Substances 0.000 claims description 9
- 239000001301 oxygen Substances 0.000 claims description 9
- 229910052760 oxygen Inorganic materials 0.000 claims description 9
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 9
- 238000012546 transfer Methods 0.000 claims description 9
- 239000002131 composite material Substances 0.000 claims description 8
- 229910052712 strontium Inorganic materials 0.000 claims description 7
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 claims description 7
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 6
- 239000011651 chromium Substances 0.000 claims description 6
- 239000010949 copper Substances 0.000 claims description 6
- 239000010931 gold Substances 0.000 claims description 6
- 229910052758 niobium Inorganic materials 0.000 claims description 6
- 229910052763 palladium Inorganic materials 0.000 claims description 6
- 229910001936 tantalum oxide Inorganic materials 0.000 claims description 6
- 229910052719 titanium Inorganic materials 0.000 claims description 6
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 claims description 6
- HFGPZNIAWCZYJU-UHFFFAOYSA-N lead zirconate titanate Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ti+4].[Zr+4].[Pb+2] HFGPZNIAWCZYJU-UHFFFAOYSA-N 0.000 claims description 5
- GQYHUHYESMUTHG-UHFFFAOYSA-N lithium niobate Chemical compound [Li+].[O-][Nb](=O)=O GQYHUHYESMUTHG-UHFFFAOYSA-N 0.000 claims description 5
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 claims description 4
- 229910000484 niobium oxide Inorganic materials 0.000 claims description 4
- 229910052715 tantalum Inorganic materials 0.000 claims description 4
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 claims description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 3
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 claims description 3
- 229910013641 LiNbO 3 Inorganic materials 0.000 claims description 3
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 3
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 3
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 claims description 3
- 229910004121 SrRuO Inorganic materials 0.000 claims description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 3
- JFWLFXVBLPDVDZ-UHFFFAOYSA-N [Ru]=O.[Sr] Chemical compound [Ru]=O.[Sr] JFWLFXVBLPDVDZ-UHFFFAOYSA-N 0.000 claims description 3
- 229910052782 aluminium Inorganic materials 0.000 claims description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 3
- 229910052790 beryllium Inorganic materials 0.000 claims description 3
- ATBAMAFKBVZNFJ-UHFFFAOYSA-N beryllium atom Chemical compound [Be] ATBAMAFKBVZNFJ-UHFFFAOYSA-N 0.000 claims description 3
- 229910052804 chromium Inorganic materials 0.000 claims description 3
- 229910017052 cobalt Inorganic materials 0.000 claims description 3
- 239000010941 cobalt Substances 0.000 claims description 3
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 3
- 229910052802 copper Inorganic materials 0.000 claims description 3
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 claims description 3
- 229910052737 gold Inorganic materials 0.000 claims description 3
- 229910052738 indium Inorganic materials 0.000 claims description 3
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 claims description 3
- 229910052741 iridium Inorganic materials 0.000 claims description 3
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims description 3
- 229910001092 metal group alloy Inorganic materials 0.000 claims description 3
- 229910052750 molybdenum Inorganic materials 0.000 claims description 3
- 239000011733 molybdenum Substances 0.000 claims description 3
- 229910052759 nickel Inorganic materials 0.000 claims description 3
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 claims description 3
- FAUWSVSZYKETJJ-UHFFFAOYSA-N palladium titanium Chemical compound [Ti].[Pd] FAUWSVSZYKETJJ-UHFFFAOYSA-N 0.000 claims description 3
- 229910052697 platinum Inorganic materials 0.000 claims description 3
- 229910052702 rhenium Inorganic materials 0.000 claims description 3
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 claims description 3
- 229910052707 ruthenium Inorganic materials 0.000 claims description 3
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 claims description 3
- 229910052709 silver Inorganic materials 0.000 claims description 3
- 239000004332 silver Substances 0.000 claims description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 3
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 claims description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 3
- 229910052721 tungsten Inorganic materials 0.000 claims description 3
- 239000010937 tungsten Substances 0.000 claims description 3
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 claims description 3
- 229910052727 yttrium Inorganic materials 0.000 claims description 3
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 claims description 3
- 229910045601 alloy Inorganic materials 0.000 claims description 2
- 239000000956 alloy Substances 0.000 claims description 2
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 claims 1
- 229910001882 dioxygen Inorganic materials 0.000 claims 1
- PWYYWQHXAPXYMF-UHFFFAOYSA-N strontium(2+) Chemical compound [Sr+2] PWYYWQHXAPXYMF-UHFFFAOYSA-N 0.000 claims 1
- 229910052726 zirconium Inorganic materials 0.000 claims 1
- 239000010410 layer Substances 0.000 description 83
- 239000000126 substance Substances 0.000 description 14
- 230000007704 transition Effects 0.000 description 13
- 230000005540 biological transmission Effects 0.000 description 9
- 238000006243 chemical reaction Methods 0.000 description 9
- 239000002344 surface layer Substances 0.000 description 9
- 238000004519 manufacturing process Methods 0.000 description 7
- 150000002739 metals Chemical class 0.000 description 5
- -1 oxides Chemical class 0.000 description 5
- 239000000376 reactant Substances 0.000 description 5
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 238000005086 pumping Methods 0.000 description 4
- 239000013077 target material Substances 0.000 description 4
- 238000013461 design Methods 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 3
- LGPPATCNSOSOQH-UHFFFAOYSA-N 1,1,2,3,4,4-hexafluorobuta-1,3-diene Chemical compound FC(F)=C(F)C(F)=C(F)F LGPPATCNSOSOQH-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 239000004341 Octafluorocyclobutane Substances 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 229910002367 SrTiO Inorganic materials 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 2
- WBCLXFIDEDJGCC-UHFFFAOYSA-N hexafluoro-2-butyne Chemical compound FC(F)(F)C#CC(F)(F)F WBCLXFIDEDJGCC-UHFFFAOYSA-N 0.000 description 2
- 229910052451 lead zirconate titanate Inorganic materials 0.000 description 2
- 238000006011 modification reaction Methods 0.000 description 2
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 2
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 239000007921 spray Substances 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- MCMNRKCIXSYSNV-UHFFFAOYSA-N ZrO2 Inorganic materials O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000005672 electromagnetic field Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000000605 extraction Methods 0.000 description 1
- 239000010408 film Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- URLJKFSTXLNXLG-UHFFFAOYSA-N niobium(5+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Nb+5].[Nb+5] URLJKFSTXLNXLG-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- XRFHCHCLSRSSPQ-UHFFFAOYSA-N strontium;oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Sr+2] XRFHCHCLSRSSPQ-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32128—Radio frequency generated discharge using particular waveforms, e.g. polarised waves
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
- H01L21/6833—Details of electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/327—Arrangements for generating the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3341—Reactive etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Drying Of Semiconductors (AREA)
Abstract
本揭露描述示例性的在反應器中之蝕刻製程,此反應器包括噴淋頭及靜電吸座,此靜電吸座配置以接收射頻功率。噴淋頭包括頂板及底板,頂板及底板具有接收進氣之一或多個氣體通道。此方法可包括(1)旋轉噴淋頭之頂板或底板至第一位置,以容許氣體流動通過噴淋頭;(2)實施表面改質週期,包括:施加負直流偏壓至噴淋頭、施加射頻功率訊號至晶圓吸座;及(3)實施蝕刻週期,包括:從靜電吸座去除負直流偏壓,且降低施加至晶圓吸座之射頻功率訊號。
Description
本揭露實施例係有關於一種蝕刻製程及系統,且特別係有關於一種具有可旋轉噴淋頭之蝕刻製程及系統。
乾式蝕刻係半導體製造中經常使用之製程。在蝕刻之前,在黃光微影(photolithography)操作時,晶圓被光刻膠(photoresist)或硬遮罩(hard mask)(例如氧化物或氮化物)覆蓋且暴露在電路圖案下。蝕刻從圖案軌跡中去除材料。在晶片製造中,圖案化及蝕刻之次序可重複數次。
本揭露的實施例提供一種以反應器蝕刻之方法,反應器具有噴淋頭,噴淋頭具有頂板及底板。蝕刻方法包括(1)分別以第一氣體及第二氣體填充在噴淋頭之頂板之第一氣體通道及第二氣體通道。(2)實施第一製程週期,第一製程週期包括旋轉頂板或底板至第一位置,以容許第一氣體經由第一氣體通道流動通過
噴淋頭,且限制第二氣體經由第二氣體通道流動通過噴淋頭。(3)實施第二製程週期,第二製程週期包括旋轉頂板或底板至第二位置,以容許第二氣體經由第二氣體通道流動通過噴淋頭,且限制第一氣體經由第一氣體通道流動通過噴淋頭。
本揭露另一實施例提供一種以反應器蝕刻之方法,反應器具有噴淋頭及晶圓吸座,蝕刻方法包括(1)旋轉噴淋頭之頂板或底板至第一位置,以容許氣體流動通過噴淋頭。(2)實施表面改質週期,包括(2.1)施加負直流偏壓至噴淋頭。(2.2)施加射頻功率訊號至晶圓吸座。(3)實施蝕刻週期,包括(3.1)從噴淋頭去除負直流偏壓。(3.2)降低施加至晶圓吸座之射頻功率訊號。
本揭露又一實施例提供一種蝕刻系統,包括轉接盒、噴淋頭及晶圓吸座。轉接盒係配置以從一或多個各別的氣體盒接收一或多個氣體。噴淋頭具有頂板及底板,頂板具有一或多個第一氣體通道,底板具有一或多個第二氣體通道。頂板係配置以接收一或多個氣體,一或多個氣體係通過一或多個氣體管線從轉接盒來,且底板係配置以旋轉以將一或多個第二氣體通道與一或多個第一氣體通道對齊。晶圓吸座係配置以支持晶圓,晶圓從噴淋頭接收一或多個氣體。
100:原子層蝕刻系統
102:原子層蝕刻反應器(反應器)
104:氣體轉接盒
106、108:氣體盒
106.1、108.1:氣體線路
110:氣體傳送線路
112:噴淋頭
114:頂板
116:底板
118:晶圓吸座
120:晶圓
200:開口
202:同心圓
300:旋轉軸
302、302A、302B、304:氣體通道
600、700:方法
602、604、606、702、704:操作
800:射頻功率訊號
802:負直流偏壓
以下將配合所附圖式詳述本發明之實施例。應注意的是,依據在業界的標準做法,多種特徵並未按照比例繪示且說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明的特徵。
第1圖繪示根據一些實施例的示例性的原子層蝕刻(atomic layer etch,ALE)系統之剖面圖。
第2圖繪示根據一些實施例的原子層蝕刻之噴淋頭之下視圖。
第3圖繪示根據一些實施例的噴淋頭之一部分之剖面圖,此噴淋頭之頂板之氣體通道係與底板之氣體通道錯位。
第4圖繪示根據一些實施例的噴淋頭之一部分之剖面圖,此噴淋頭之頂板之氣體通道係與底板之氣體通道對齊。
第5圖繪示根據一些實施例的噴淋頭之一部分之剖面圖,此噴淋頭之頂板之二個氣體通道係與底板之氣體通道錯位。
第6及7圖繪示根據一些實施例的示例性的原子層蝕刻反應器之原子層蝕刻之流程圖。
第8圖繪示根據一些實施例的示例性的波型之圖解表現,此波型為原子層蝕刻製程中之射頻(radio frequency,RF)功率訊號及負直流偏壓(negative DC bias voltage)。
以下揭露提供許多不同的實施例,或是範例,來實行所提供之申請標的之不同特徵。以下描述具體的元件及其排列的
具體範例以闡述及簡化本揭露。當然這些僅係範例且不該以此限定本揭露的範圍。舉例來說,在說明書中提到第一特徵部件形成於第二特徵部件之上,其包括第一特徵部件與第二特徵部件是直接接觸的實施例,另外也可包括於第一特徵部件與第二特徵部件之間另外有其他特徵部件的實施例,亦即,第一特徵部件與第二特徵部件並非直接接觸。此外,本揭露可使用重複的標號及/或標示在不同範例中。這些重複不代表所討論的不同實施例及/或結構之間有特定的關係。
此外,空間用語,例如“在…下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,可在此被用以便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。這些空間相關用詞旨在除了包括圖示中所描述的方位,更包括使用中或操作中的裝置之不同方位。當設備被轉向不同方位時(旋轉90度或其他方位),則在此使用的空間相關描述用詞也將依轉向後的方位來解釋。
此術語“額定的(nominal)”在此被用以指出一要求之值、或目標之值、元件或製程操作之特性或參數之值,此值已在產品或製程的設計階段期間設定,此值連同高於及/或低於此要求之值之範圍。此值之範圍可歸咎於製造製程中之些微差異或是公差。除非另有定義,在此運用之技術的及科學的術語之與在本揭露之所屬技術領域中具有通常知識者之通常理解具有相同意義。
此術語“約為”在此被用以代表一給定量之值以此值之±10%變化、或選擇性地為此值之±5%、或在一些實施例中,
此值之±1%。舉例來說,“約為100奈米”包括從90奈米(含)至110奈米(含)之範圍。
乾式蝕刻係半導體製造中經常使用之製程。在蝕刻之前,晶圓被光刻膠或硬遮罩(例如氧化物或氮化物)覆蓋,且以黃光微影製程(例如黃光曝光(photo exposure)、曝光後烘烤(post exposure bake)、顯影(develop)、硬烘烤(hard bake)等)轉移電路圖案至光刻膠或硬遮罩。蝕刻隨後被用以去除晶圓表面上未被圖案化的光刻膠或硬遮罩覆蓋的材料。在晶片製造中,圖案化及蝕刻之次序可被重複數次。
電漿蝕刻之實施係施用電磁能(例如射頻(RF))至含有化學活性元素(例如氟或氯)之氣體,以形成電漿。電漿釋放可轟撞晶圓表面之正電荷離子,以去除或蝕刻材料。同時,化學活性自由基(例如具有不成對電子之原子或原子團)可與已蝕刻材料反應,以形成易揮發的或不易揮發的副產物。當晶圓為適當的偏壓(例如,當晶圓係與離子電荷反向偏壓時)時,離子之電荷可導引離子垂直地朝向晶圓。為了提高生產量,高的蝕刻速率(例如數個Å/分鐘或奈米/分鐘)係被期待的。
處理化學品可取決於被蝕刻之薄膜之類型而不同。舉例來說,應用在介電蝕刻之蝕刻化學品可為氟基化學品。矽及金屬蝕刻之應用可使用氯基化學品。蝕刻步驟可包括從晶圓表面蝕刻一或多個薄膜層。當數層被蝕刻(例如形成接觸開口時)及蝕刻製程需要在特定層終止時,蝕刻製程的選擇率成為一重要參數。蝕刻化學品或蝕刻製程之選擇率可被定義為兩個蝕刻速率之比值:被去
除層之速率及被保護層之速率(例如遮罩之速率或終止層之速率)。在多層蝕刻製程中,高選擇率(例如大於10:1)係被期待的。
在理想案例中,蝕刻化學品之在晶圓之全部之點/位置或在晶圓上之裸晶之內之蝕刻速率係一樣的(均勻的)。舉例來說,在如此之理想案例中,蝕刻化學品可在晶圓各處或在一裸晶之內,以相同的方式蝕刻相同的結構(例如形成接觸開口)或蝕刻不同結構(例如形成一或多個接觸開口)。蝕刻化學品之蝕刻速率之程度在晶圓之不同點/位置而不同,或在晶圓上的裸晶之內而不同。此現象係被稱為非均勻性(non-uniformity)或微負載(micro-loading)。最小化微負載係蝕刻製程之一目的。
舉例來說,微負載可發生在具有不同尺寸(例如頂層臨界尺寸(critical dimension,CD)及/或深度)及相同密度(例如相鄰結構之間的節距(pitch)、或間距(spacing))之蝕刻特徵(例如接觸開口)或蝕刻結構,或發生在具有相同尺寸及不同密度之蝕刻特徵或蝕刻結構。微負載在晶片製造中係為難題,因為在裸晶中或在晶圓各處,可同時產生具有不同尺寸及密度之特徵或結構。
於此描述之實施例係針對示例性的原子層蝕刻(ALE)製程,原子層蝕刻製程可在一或多個介電層形成接觸開口時,降低蝕刻微負載效應。根據一些實施例,原子層蝕刻製程可在每一個反應週期時,控制離子/自由基比值。在一些實施例中,原子層蝕刻製程可使用多通道、旋轉氣板,以降低反應週期之間的過渡時間。根據一些實施例,原子層蝕刻製程可使用目標及晶圓之間之靜電力,以降低反應週期之間的「切換時間」。
原子層蝕刻(或ALE)係使用連續反應週期(例如工作週期)而可從晶圓表面去除材料之薄層之技術;舉例來說,在一或多個介電層形成接觸開口時。原子層蝕刻製程之連續反應週期可為「準自我侷限(quasi self-limiting)」。在一些實施例中,準自我侷限反應可代表作為時間函數(例如漸進地)放慢的反應,或作為種類劑量(species dosage)函數放慢的反應。原子層蝕刻之運用可包括兩個連續反應週期:(1)表面改質週期(反應A),及(2)去除材料週期(反應B)。表面改質週期可從已暴露至表面改質製程之晶圓之表面上之材料,形成具有已定義厚度的反應性表面層。隨後,在下個週期時(例如去除週期),已改質材料層(反應性表面層)可被去除。任何未改質材料,即在表面改質週期時,未暴露至表面改質化學品,將無法被去除。舉例來說,已改質材料可具有於化學品成分及/或物理結構上之梯度。材料去除週期去除已改質材料層,而保持一個(些)未改質材料或多個層次未受損傷,因此「重置」此表面至近乎嶄新的狀態,以進行下個蝕刻週期。已去除材料的總數量可被週期(例如表面改質週期及材料去除週期)的重複次數控制。
原子層蝕刻技術可被用在不同的蝕刻方案包括,但不限於,定向性或等向性蝕刻(例如接觸開口之形成)及選擇性或非選擇性蝕刻(例如從已暴露之表面去除多個層次)。在原子層蝕刻製程中,舉例來說,反應物可被一或多種氣體、電漿或其他流源(sources)傳送。
在一些實施例中,在接續的週期之間(例如表面改質週期及材料去除週期之間)流逝的時間係歸屬為「過渡時間」。
在過渡時間時,在新反應物之釋放之前,現行週期之反應物/副產物係被去除遠離晶圓之表面。在反應器中及時的傳送反應物可降低週期之間及週期期間(週期時間)之過渡時間。在一些實施例中,氣體可流經多通道的旋轉氣板傳送至原子層蝕刻反應器,可降低在原子層蝕刻反應器中反應物的傳送時間。
第1圖為根據一些實施例的示例性的原子層蝕刻系統100之剖面圖。舉例來說但並非限制,原子層蝕刻系統100可包括示例性的原子層蝕刻反應器102、氣體轉接盒104、及氣體盒106及108。在一些實施例中,氣體轉接盒104可為存放氣閥及氣體線路(未表示於第1圖中)之氣體分布系統。從氣體盒106及108來之氣體係分別地經由氣體線路106.1及108.1傳送至氣體轉接盒104。從氣體轉接盒104來之氣體可經由氣體傳送線路110傳送至原子層蝕刻反應器102。在一些實施例中,原子層蝕刻系統100可非被限制為兩個氣體盒,且可能具有額外的氣體盒。另外,可能具有多於一條氣體傳送線路110。
根據一些實施例,原子層蝕刻反應器102包括噴淋頭112。根據一些實施例,噴淋頭112包括頂板114及底板116。根據一些實施例,底板116可借助步進馬達或另一適當之機構,以垂直於其質心之軸為中心順時針或逆時針旋轉。在一些實施例中,頂板114可借助步進馬達或另一適當之機構,以垂直於其質心之軸為中心順時針或逆時針旋轉。在一些實施例中,頂板114及底板116可彼此獨立地旋轉。舉例來說但並非限制,頂板114及底板116可包括「氣體通道」(例如氣體可流過之空腔),一或多種氣體可經由氣體傳送線路110從氣體轉接盒104傳送至此氣體通道。在一些實施例中,
噴淋頭112可被電性連接至外部電源供應器(未表示於第1圖中),例如射頻(RF)產生器或可保持噴淋頭112於負偏壓之直流(DC)電源供應器。在一些實施例中,施加在噴淋頭112之射頻功率訊號可在約0至約2000瓦(例如50瓦至400瓦、100瓦至600瓦、或1000瓦至2000瓦)變化,且負直流偏壓可在0至約900伏特(例如450伏特至500伏特)變化。
原子層蝕刻反應器102亦可包括晶圓吸座118。在一些實施例中,晶圓吸座118係可電性連接至外部電源供應器(未表示於第1圖中,例如射頻產生器)之靜電吸座,且施加射頻訊號至晶圓120。
在一些實施例中,原子層蝕刻系統100可包括額外元件。此額外元件可未被繪示在第1圖中。然而,此元件係在本揭露之精神及範圍內。舉例來說但並非限制,如此之元件可包括排氣線路、額外反應器、晶圓轉移模組、冷卻系統、加熱器、雷射偵測器、機械手臂、閥門控制器、開槽閥、基座、沉積遮罩(deposition shield)、沉積環、質流控制器、額外氣體線路、電源供應器、內部及外部電性連接至電子模組(例如計算機、電子訊號回饋迴路(electrical signal feedback loop)、馬達等)、泵浦組(pump stack)、溫度及壓力感應器等。在一些實施例中,原子層蝕刻系統100可為附屬於集束型設備(cluster tool)或獨立型單元。
第2圖為根據一些實施例的示例性的噴淋頭112之仰視圖。舉例來說但並非限制,噴淋頭112之底板116具有一或多個開口200,安排在一或多個同心圓202中。開口200之數量及其在底板116之表面之安排係不被限制於第2圖之範例。基於在此之揭露,可
使用不同安排之較少的或額外的開口200。因此,不同數量的開口200及其在底板116之表面之分別的排列係在本揭露之精神及範圍內。根據一些實施例,開口200之直徑可為約0.1公厘。然而,開口200可為較小的或較大的。
在一些實施例中,底板116可以其軸為中心順時針或逆時針旋轉,以經由開口200釋放一或多種氣體。根據一些實施例,頂板114及底板116可以複數個氣體通道為特徵,氣體可進入並流動於此氣體通道內。在一些實施例中,舉例來說,藉由底板116之旋轉,以讓兩板(例如頂板114及底板116)所對應之氣體通道對齊時,氣體可經由開口200釋放至原子層蝕刻反應器102內。換言之,當頂板及底板(例如分別為114及116)之間的氣體通道對齊時,形成一路徑且氣體可經由開口200釋放至原子層蝕刻反應器102內。取決於旋轉方向(例如順時針或逆時針),頂板114及底板116上之通道可被對齊或未對齊,且因此,氣體可被釋放或限制流進原子層蝕刻反應器102。
第3及4圖為頂板114及底板116之部分剖面圖,可用來敘述噴淋頭112之操作之背後原理。為示例之目的,噴淋頭112之操作將在旋轉底板116及固定頂板114之背景下被敘述。
根據一些實施例,噴淋頭112之底板116可相對於固定的頂板114,以旋轉軸300為中心順時針或逆時針旋轉。舉例來說但並非限制,旋轉軸300可貫穿在第2圖之底板116之同心圓202之中心。如上所討論,頂板114及底板116可包括多個氣體通道。舉例來說,參照第3圖,頂板114可具有示例性的氣體通道302,且底板116可具有示例性的氣體通道304。在一些實施例中,底板116之一
氣體通道304可通往設置在同心圓202中之一者的一個開口200。在第3圖中,氣體通道302及304並未對齊。因此,舉例來說,氣體流經氣體傳送線路110進入原子層蝕刻反應器102,可流進頂板114之氣體通道302,但並非被允許更進一步流進底板116之氣體通道304。根據一些實施例,第3圖繪示了底板116之一關閉位置。
一旦氣體已經填滿頂板114之氣體通道302,底板116可以旋轉軸300為中心旋轉,以使氣體通道302及304之間對齊(例如於一開啟位置)。在第4圖中,底板116以旋轉軸300為中心逆時針旋轉,以使氣體通道302及304之間對齊。在一些實施例中,一旦氣體通道302及304已經對齊,氣體係被容許流動經過氣體通道304及開口200進入原子層蝕刻反應器102。在一些實施例中,部分地對齊的氣體通道302及304亦可容許氣體流動經過。氣體被允許流動進入原子層蝕刻反應器102直到底板116可順時針旋轉進入第3圖所示之關閉位置,於此,氣體通道304及302之間並未對齊。前述之製程可被與一或多個原子層蝕刻製程同步,以控制每個原子層蝕刻製程之氣體劑量。在一些實施例中,底板116可在100毫秒內以相反的方向從關閉位置旋轉至一開啟位置。
在一些實施例中,底板116可如同於噴淋頭112之中之氣體流動致動器(gas flow actuator)進行操作。相對於外部氣閥利用「開」及「關」限制氣體流動,底板旋轉之好處在於可降低氣體釋放、週期製程、及氣體抽離(gas evacuation)(例如抽空(pump down))之時間。在一些實施例中,原子層蝕刻反應器102中之氣體釋放時間可從1.7秒降低至0.3秒,且從原子層蝕刻反應器102氣體抽離(例如抽空)時間可從1.7秒降低至0.2秒。下述之範
例可解釋上述實施例。假設一假想的外部氣閥被用以容許氣體流過噴淋頭進入原子層蝕刻反應器102,在進入反應器之前,此氣體將需要經過氣體傳送線路110之一部分(例如取決於外部閥在氣體傳送線路110之位置)及在噴淋頭範圍內的路徑長度。再者,當一原子層蝕刻製程結束,且在新週期開始之前,氣體係被從反應器抽離(抽空)。在抽空時,被去除之氣體量包括反應器中氣體之體積及被堵塞在噴淋頭中之開口及氣體傳送線路110上之假想氣閥之位置之間之氣體之體積。相反地,基於本揭露之實施例,底板116之旋轉(例如在開啟位置)容許氣體立即地從噴淋頭112之底板116直接釋放進入原子層蝕刻反應器102。相同地,當底板116旋轉至關閉位置時,氣體停止從噴淋頭112直接流進原子層蝕刻反應器102。因此,在抽空次序時,被要求去除之氣體之體積只包括原子層蝕刻反應器102中之氣體。
在一些實施例中,噴淋頭112之設計可被更進一步地加強,以支持多於一種氣體。舉例來說,頂板114可以可接收不同氣體之氣體通道302之子集(subset)為特徵。根據一些實施例,藉由底板116之旋轉可調整使得氣體通道302及氣體通道304之子集同時地對齊。因此,預定的被選擇之一或多種氣體可被同時釋放。舉例來說,第5圖揭示如此之示例性的配置,氣體通道302A裝有氣體A,且氣體通道302B裝有氣體B。舉例來說但並非限制,氣體通道302A可被從第1圖中所示之氣體盒106來之氣體A初步地(initially)地填充,且氣體通道302B可被從第1圖中所示之氣體盒108來之氣體B初步地填充。氣體A及B可通過第1圖中所示之氣體轉接盒104及氣體傳送線路110被傳送至頂板114。在底板116當前的
位置(底板116之關閉位置),沒有氣體(例如氣體A或氣體B)可被容許流進原子層蝕刻反應器102。假如底板116逆時針(從頂板114觀看)旋轉(例如,旋轉到第一位置),從氣體通道302B來之氣體B係通過氣體通道304被釋放進入原子層蝕刻反應器102。另一方面,假如底板116順時針旋轉(例如,旋轉到第二位置),氣體通道302A中之氣體A係通過氣體通道304被釋放進入原子層蝕刻反應器102。在每一者之氣體釋放之後,底板116可旋轉回到第5圖之關閉位置使得流進至原子層蝕刻反應器102之氣體係被停止,且先前流動之氣體可被接續地從原子層蝕刻反應器102抽出。舉例來說但並非限制,氣體A可為可將一層晶圓之表面上之材料改質之「反應」氣體,且氣體B可為可去除已改質材料層之蝕刻劑。
第6圖為示例性的以反應器102進行蝕刻之方法600的流程圖,此方法600描述使用具有噴淋頭112之反應器102,此噴淋頭112具有頂板114及底板116。其他的操作可在示例性的方法600不同的操作之間實施,且僅為了清楚的緣故而省略此些操作。因此,方法600可不被限制於下列所描述之操作。
方法600可被實施在第1圖所示之原子層蝕刻系統100之原子層蝕刻反應器102中。參照第1至3圖,原子層蝕刻反應器102之噴淋頭112包括頂板114及底板116。再者,根據一些實施例,底板116係配置以旋轉軸300為中心旋轉,且可包括一或多個氣體通道304。如上所述,底板116具有一或多個開口200,安排在一或多個同心圓202中。開口200之數量及其在底板116之表面之安排係不被限制於第2圖之範例。基於在此之揭露,可使用不同安排之較少的或額外的開口200。因此,不同數量的開口200及其在底板
116之表面之分別排列係在本揭露之精神及範圍內。根據一些實施例,開口200之直徑可為約0.1公厘。然而,開口200可為較小的或較大的。
方法600起始於操作602,此時第一氣體及第二氣體分別填充頂板114之第一及第二氣體通道。舉例來說,在第5圖中,氣體A(第一氣體)可填充一或多個第一氣體通道302A,且氣體B(第二氣體)可填充一或多個第二氣體通道302B。舉例來說但並非限制,氣體通道302A可被從第1圖中所示之氣體盒106來之氣體A初步地填充,且氣體通道302B可被從氣體盒108來之氣體B初步地填充。氣體A及B可通過第1圖中所示之氣體轉接盒104及氣體傳送線路110傳送至頂板114。在一些實施例中,方法600之操作602可被歸屬為「準備步驟」,且舉例來說,可具有約1.7秒之處理時間。
在操作604中,且參照第5圖,此時表面改質週期係被實施,表面改質週期包括藉由旋轉(例如順時針的旋轉)噴淋頭112之底板116,以對齊頂板114之一或多種第一氣體通道302A與底板116之一或多種氣體通道304,以釋放第一氣體(例如氣體A)。在一些實施例中,表面改質代表釋放的氣體(例如第一氣體A)與晶圓120之表面上所暴露的材料交互作用,且形成反應性表面層或具有已定義厚度的改質材料層的製程。在去除或蝕刻週期時,改質材料層可被接續地去除。在表面改質週期時,未暴露至第一氣體A之任何未改質的材料將不會被去除。已改質材料可具有於化學成分及/或物理結構上之梯度。在一些實施例中,表面改質週期可具有約0.3秒至2秒的期間。根據一些實施例,在2秒表面改質週期的第
一個0.1秒至0.3秒時,第一氣體A之流動可被提升。舉例來說,第一氣體A之流動可從0增加到目標值。然而,表面改質週期可為較短的或較長的,且可取決於反應器之幾何結構(例如反應器之體積、噴淋頭112至晶圓120之距離等)、泵浦組(未表示於第1圖中)之抽氣速度、或其他製程參數(例如第一氣體A之自我侷限行為等)。
在一些實施例中,在表面改質週期後,可引入過渡週期,以去除出現在原子層蝕刻反應器102中任何未反應的大量的第一氣體A。在過渡週期時,第一氣體A之流動係被停止,且第一氣體A之分壓因為第一氣體A被抽出原子層蝕刻反應器102而降低。在一些實施例中,過渡週期可持續從約0.1秒至約0.6秒(例如在約0.1秒至0.3秒之間)。然而,過渡週期可為較短的或較長的,其可取決於反應器之幾何結構(例如反應器之體積、噴淋頭112至晶圓120之距離等)、泵浦組(未表示於第1圖中)之抽氣速度、或其他製程參數。參照第5圖,在過渡週期時,底板116係被逆時針旋轉回到其「關閉位置」,使得第一氣體A之流動係被阻止流入原子層蝕刻反應器102。
在操作606中,且參照第5圖,原子層蝕刻週期係被實施。根據一些實施例,蝕刻週期可包括藉由旋轉(例如逆時針旋轉)噴淋頭112之底板116,以對齊頂板114之一或多個第二氣體通道302B與底板116之一或多個氣體通道304,以釋放第二氣體B。根據一些實施例,蝕刻週期可持續從約0.3秒至約2秒。然而,蝕刻週期可為較短的或較長的,其可取決於反應器之幾何結構(例如反
應器之體積、噴淋頭112至晶圓120之距離等)、泵浦組(未表示於第1圖中)之抽氣速度、或其他製程參數。根據一些實施例,在2秒蝕刻週期的第一個0.1秒至0.3秒時,第二氣體B之流動可增加(例如提升)。舉例來說,第二氣體B之流動可從0增加至目標值。在一些實施例中,電漿可被用來協助蝕刻製程。舉例來說,射頻電磁場可從外部的射頻功率產生器施加至晶圓吸座118,以從第二氣體B擊打電漿。根據一些實施例,施加在晶圓吸座118之射頻功率可在約100瓦至約500瓦變化。在蝕刻步驟時,製程壓力可在約20毫托耳至約50毫托耳變化。舉例來說但並非限制,第二氣體B可為全氟碳化物氣體(perfluorocarbon gas)、氧氣、及氬氣之混合物。氧氣及全氟碳化物氣體流速可在約5每分鐘標準毫升數(sccm)至約30每分鐘標準毫升數變化,且氬氣流速可在約200每分鐘標準毫升數至約1000每分鐘標準毫升數變化。舉例來說但並非限制,可使用之全氟碳化物氣體包括四氟化碳(tetrafluoromethane,CF4)、八氟環丁烷(octafluorocyclobutane,C4F8)、六氟丁二烯(hexafluoro-2-butyne,C4F6)等。在一些實施例中,方法600可被重複數次,直到從晶圓120上之特徵或結構去除預期數量的材料。
第7圖為示例性的以反應器102進行蝕刻之方法700的流程圖,此方法700描述使用具有噴淋頭112及晶圓吸座之反應器102之蝕刻製程。其他的操作可在示例性的方法700不同的操作之間
實施,且僅為了清楚的緣故而省略此些操作。因此,示例性的方法700可不被限制於下列所描述之操作。
方法700可被實施在第1圖所示之原子層蝕刻系統100之原子層蝕刻反應器102中。根據一些實施例,反應器102包括:(1)示例性的具有頂板114及底板116的噴淋頭112,配置以接收負直流偏壓、(2)以及晶圓吸座118,配置以接收射頻功率訊號。再者,參照第1圖,噴淋頭112可被電性連接至外部電源供應器(未表示於第1圖中),例如射頻產生器或可保持噴淋頭112於負偏壓之直流電源供應器。在一些實施例中,施加在噴淋頭112之射頻功率訊號可在約10瓦至約2700瓦變化,且負直流偏壓之絕對值可在約0至約900伏特(例如450伏特至500伏特)變化。此外,噴淋頭112可包括頂板114及底板116。根據一些實施例,底板116可借助步進馬達或另一適當之機制,以垂直於其質心之軸(旋轉軸300)為中心順時針或逆時針旋轉。
方法700起始於操作702,此時藉由施加負直流偏壓至噴淋頭112及施加射頻功率訊號至晶圓吸座118,以實施表面改質週期。舉例來說,在方法700中,施加至噴淋頭112之訊號將在以負直流偏壓為背景下被描述。基於在此之揭露,射頻訊號(如上所述)可被用來取代負直流偏壓,且係在本揭露之精神及範圍內。在一些實施例中,施加至噴淋頭112之射頻訊號可在約10瓦至約2700瓦變化,且負直流偏壓之絕對值可在0至約500伏特。
第8圖為示例性的波型之圖解表現,此波型為射頻功率訊號800(施加至晶圓吸座118)及負直流偏壓802(施加至噴淋頭112)。在第8圖中,射頻功率訊號800及負直流偏壓802係被繪製在共同時間軸上。方法700之操作702可藉由表面改質週期A在以第8圖為背景下被描述,在表面改質週期A中,負直流偏壓施加至噴淋頭112,且射頻功率訊號通過第1圖所示之晶圓吸座118施加至晶圓120。
為了使電漿能夠擊打,舉例來說,原子層蝕刻反應器102係被填充壓力為約20毫托耳至約50毫托耳之氣體。在一些實施例中,此氣體可為全氟碳化物、氧氣、及氬氣之混合物。氧氣及全氟碳化物氣體流速可在約5每分鐘標準毫升數(sccm)至約30每分鐘標準毫升數變化,且氬氣流速可在約200每分鐘標準毫升數至約1000每分鐘標準毫升數變化。舉例來說但並非限制,可使用之全氟碳化物氣體包括四氟化碳(tetrafluoromethane,CF4)、八氟環丁烷(octafluorocyclobutane,C4F8)、六氟丁二烯(hexafluoro-2-butyne,C4F6)等。根據一些實施例。氣體可藉由旋轉底板116或頂板114釋放通過噴淋頭112。
電漿離子被在噴淋頭112及晶圓120之間形成之電場加速前往底板116,且此電漿離子可與底板116上之材料反應形成自由基。自由基可被接續地加速前往晶圓120,改質反應可發生在自由基及晶圓120之表面上暴露的材料之間。因此,反應性表面層可被形成。因為改質反應可被準自我侷限,反應性表面層可具有有
限的厚度。在一些實施例中,舉例來說,反應性表面層的有限的厚度可取決於氣體化學品、晶圓120上暴露的物質之類型(例如氮化物、氧化物、金屬等)、及電漿參數。在隨後的去除或蝕刻週期,反應性表面層可被去除。任何未暴露至自由基之材料將不會被去除。反應性表面層可包括化學成分及/或物理結構之梯度。經由上述製程產生之自由基之數量可經由製程參數(例如電漿密度、射頻功率訊號800及負直流偏壓802之強度、製程壓力等)控制。
如上所述,自由基之產生需要電漿離子與底板116(目標材料)上之材料交互作用。在一些實施例中,底板116可由接觸電漿離子時,將產生自由基之材料製成、以此材料覆蓋、或加裝由此材料製成之外部薄板。舉例來說但並非限制,此材料可包括金屬,例如鉑(Pt)、銥(Ir)、釕(Ru)、錸(Re)、金(Au)、鈀(Pd)、及銀(Ag)、銅(Cu)、鋁(Al)、鎳(Ni)、鈦(Ti)、鎢(W)、鋅(Zn)、銦(In)、錫(Sn)、釩(V)、鋯(Zr)、鉻(Cr)、鈷(Co)、釔(Y)、鈮(Nb)、鉭(Ta)、鉬(Mo)、鐵(Fe)、鉛(Pb)、或鈹(Be);或半導體,例如矽(Si)。在一些實施例中,目標材料可為氧化物,例如五氧化二鉭(tantalum oxide,Ta2O5)、五氧化二鈮(niobium oxide,Nb2O5)、五氧化二鉿(hafnium oxide,Hf2O5)、二氧化矽(silicon oxide,SiO2)、二氧化鈦(titanium oxide,TiO2)、二氧化鋯(zirconium dioxide,ZrO2)、氧化鋁(aluminium oxide,Al2O3);複合氧化物,例如鈦酸鍶(strontium-titanium o
xide,SrTiO3)、釕酸鍶(strontium-ruthenium oxide,SrRuO3)、鈦酸鈀(palladium-titanium oxide,PdTiO3)、鍶-鈹-鉭氧化物(strontium-beryllium-tantalum oxide,SrBi2Ta2O9)、三氧化二鈦(titanium oxide,Ti2O3)、鈮酸鋰(lithium-niobium oxide,LiNbO3)、鋯鈦酸鉛(lead-zirconium-titanium oxide,Pb(Zr,Ti)O3)、及鍶-鈹-鉭-鈮氧化物(strontium-beryllium-tantalum-niobium oxide,SrBi2(Ta,Nb)2O9)。在一些實施例中,目標材料可為金屬合金、鐵電材料、介電質、或複合氧化物。
在方法700之操作704中,且參照第8圖,此時藉由去除(例如關閉)施加至噴淋頭112之負直流偏壓802及降低施加至晶圓吸座118之射頻功率訊號800,以實施蝕刻週期B。在一些實施例中,射頻功率訊號800可被降低約50瓦至約100瓦。舉例來說,假如在表面改質週期A時,負直流偏壓802係為500伏,且射頻功率訊號800係為300瓦,在蝕刻週期B時,負直流偏壓802可為0伏,且射頻功率訊號800可從300瓦被降低至200瓦至250瓦之間之值。
在蝕刻週期B時,電漿可被維持但自由基之產生係被抑制或消除。隨著抑制或消除自由基之產生,電漿離子可蝕刻晶圓120上之反應性表面層。在一些實施例中,同步施加負直流偏壓802及射頻功率訊號800,可加速在表面改質週期A及蝕刻週期B之間切換。在一些實施例中,表面改質週期A及蝕刻週期B可彼此接續而不需抽空(例如過渡時間)。在一些實施例中,表面改質週期A及蝕
刻週期B可被重複,直到目標材料從晶圓120之表面被去除。經由上述之製程產生之離子之數量,可經由製程參數控制,例如電漿密度、射頻功率訊號800、製程壓力等。
本揭露係針對示例性的原子層蝕刻反應器中之原子層蝕刻製程,此原子層蝕刻反應器包括噴淋頭及靜電吸座。噴淋頭配置以接收負直流偏壓,靜電吸座配置以接收射頻功率。噴淋頭包括頂板及底板,頂板及底板具有接收進氣之一或多個氣體通道。在一些實施例中,當底板之旋轉容許兩板對應之氣體通道對齊時,氣體可通過開口被釋放進入原子層蝕刻反應器。取決於旋轉方向(例如順時針或逆時針),頂板及底板上之通道可為對齊或未對齊,且氣體可因此被釋放或限制流動進入原子層蝕刻反應器。在一些實施例中,底板可由暴露至電漿離子時,將產生自由基之材料製成、以此材料覆蓋、或加裝由此材料製成之外部薄板。根據一些實施例,原子層蝕刻製程可在改質週期時、過渡週期時、及蝕刻週期時,控制離子/自由基比例,且降低改質週期及過渡週期之間之切換時間、降低過渡週期及蝕刻週期之間之切換時間、或降低改質週期及蝕刻週期之間之切換時間。
在一些實施例中,以反應器進行蝕刻之方法包括:(1)以第一氣體及第二氣體分別填充噴淋頭之頂板之第一氣體通道及第二氣體通道,(2)實施第一製程週期,此第一製程週期包括旋轉頂板或底板至第一位置,以容許第一氣體經由第一氣體通道流動通過噴淋頭,且限制第二氣體經由第二氣體通道流動通過噴淋
頭,及(3)實施第二製程週期,包括旋轉頂板或底板至第二位置,以容許第二氣體經由第二氣體通道流動通過噴淋頭,且限制第一氣體經由第一氣體通道流動通過噴淋頭。
在一些實施例中,以反應器進行蝕刻之方法包括:(1)旋轉噴淋頭之頂板或底板至第一位置,以容許氣體流動通過噴淋頭;(2)實施表面改質週期,包括:施加負直流(DC)偏壓至噴淋頭、施加射頻(RF)功率訊號至晶圓吸座;及(3)實施蝕刻週期,包括:從噴淋頭去除負直流偏壓,且降低施加至晶圓吸座之射頻功率訊號。
在一些實施例中,蝕刻系統之結構包括:(1)轉接盒,配置以接收一或多種氣體;(2)具有頂板及底板之噴淋頭,此頂板具有一或多個第一氣體通道,且此底板具有一或多個第二氣體通道。頂板係配置以接收從轉接盒來的一或多個氣體,且底板係配置以旋轉以將一或多個第二氣體通道與一或多個第一氣體通道對齊;及(3)晶圓吸座,配置以支持晶圓,此晶圓接收從噴淋頭來的一或多個氣體。
在本揭露的一些實施例中,提供以反應器蝕刻之方法,反應器具有噴淋頭,噴淋頭具有頂板及底板。以反應器蝕刻之方法,更包括藉由射頻訊號施加至反應器之靜電吸座,以從第二氣體產生電漿。其中射頻訊號可在100瓦至500瓦之間。實施第二製程週期包括在第二氣體經由第二氣體通道流動通過噴淋頭之前,從反應
器去除第一氣體。第二氣體包括全氟碳化物、氧氣、及氬氣之混合物
在一些實施例中,在以反應器蝕刻中,降低射頻功率訊號包括降低射頻功率訊號50瓦至100瓦。其中底板以材料覆蓋,材料暴露在電漿離子下將產生自由基。材料包括金屬、金屬合金、鐵電材料、介電質、或複合氧化物。金屬包括鉑(Pt)、銥(Ir)、釕(Ru)、錸(Re)、金(Au)、鈀(Pd)、及銀(Ag)、銅(Cu)、鋁(Al)、鎳(Ni)、鈦(Ti)、鎢(W)、鋅(Zn)、銦(In)、錫(Sn)、釩(V)、鋯(Zr)、鉻(Cr)、鈷(Co)、釔(Y)、鈮(Nb)、鉭(Ta)、鉬(Mo)、鐵(Fe)、鉛(Pb)、或鈹(Be)。複合氧化物包括鈦酸鍶(strontium-titanium oxide,SrTiO3)、釕酸鍶(strontium-ruthenium oxide,SrRuO3)、鈦酸鈀(palladium-titanium oxide,PdTiO3)、鍶-鈹-鉭氧化物(strontium-beryllium-tantalum oxide,SrBi2Ta2O9)、三氧化二鈦(titanium oxide,Ti2O3)、鈮酸鋰(lithium-niobium oxide,LiNbO3)、鋯鈦酸鉛(lead-zirconium-titanium oxide,Pb(Zr,Ti)O3)、或鍶-鈹-鉭-鈮氧化物(strontium-beryllium-tantalum-niobium oxide,SrBi2(Ta,Nb)2O9)。在一些實施例中,實施表面改質週期包括從氣體混合物產生電漿,氣體混合物包括全氟碳化物、氧氣、及氬氣。在一些實施例中,實施蝕刻週期包括從氣體混合物產生電漿,氣體混合物包括全氟碳化物、氧氣、及氬氣。
在一些實施例中,在蝕刻系統中,頂板之一或多個第一氣體通道係配置以響應底板之旋轉,對齊底板之一或多個第二氣體通道。噴淋頭係配置以響應底板之旋轉,釋放一或多個氣體,底板之旋轉使得頂板之一或多個第一氣體通道對齊底板之一或多個第二氣體通道。底板係以暴露在電漿下將產生自由基之材料製成。此材料包括金屬、氧化物、複合氧化物、或合金。在一些實施例中,底板包括暴露在電漿下將產生自由基之材料製成之外部薄板。噴淋頭係配置以從外部功率源接收射頻功率訊號,外部功率源約為10瓦至2700瓦。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100‧‧‧原子層蝕刻系統
102‧‧‧原子層蝕刻反應器
104‧‧‧氣體轉接盒
106、108‧‧‧氣體盒
106.1、108.1‧‧‧氣體線路
110‧‧‧氣體傳送線路
112‧‧‧噴淋頭
114‧‧‧頂板
116‧‧‧底板
118‧‧‧晶圓吸座
120‧‧‧晶圓
Claims (10)
- 一種以反應器進行蝕刻之方法,該反應器具有一噴淋頭,且該噴淋頭具有一頂板及一底板,其中該以反應器進行蝕刻之方法包括:分別以一第一氣體及一第二氣體填充在該噴淋頭之該頂板之一第一氣體通道及一第二氣體通道;實施一第一製程週期,該第一製程週期包括旋轉該頂板或該底板至一第一位置,以容許該第一氣體經由該第一氣體通道流動通過該噴淋頭,且限制該第二氣體經由該第二氣體通道流動通過該噴淋頭;以及實施一第二製程週期,該第二製程週期包括旋轉該頂板或該底板至一第二位置,以容許該第二氣體經由該第二氣體通道流動通過該噴淋頭,且限制該第一氣體經由該第一氣體通道流動通過該噴淋頭。
- 如申請專利範圍第1項所述以反應器進行蝕刻之方法,其中於實施該第二製程週期的步驟中更包括在該第二氣體經由該第二氣體通道流過該噴淋頭之前,從該反應器去除該第一氣體,其中該以反應器進行蝕刻之方法更包括藉由一射頻訊號施加至該反應器之一靜電吸座,以從該第二氣體產生一電漿,其中該射頻訊號可在100瓦特至500瓦特之間,其中該第二氣體包括全氟碳化物、氧氣、及氬氣之一混合物。
- 一種以反應器進行蝕刻之方法,該反應器具有一噴淋頭及一晶圓吸座,其中該以反應器進行蝕刻之方法包括:旋轉該噴淋頭之一頂板或一底板至一開啟位置,以容許一氣體流過該噴淋頭; 實施一表面改質週期,包括:施加一負直流偏壓至該噴淋頭;以及施加一射頻功率訊號至該晶圓吸座;以及實施一蝕刻週期,包括:從該噴淋頭去除該負直流偏壓;以及降低施加至該晶圓吸座之該射頻功率訊號;其中藉由旋轉該噴淋頭之該頂板或該底板至一關閉位置,以限制該氣體流過該噴淋頭。
- 如申請專利範圍第3項所述之以反應器進行蝕刻之方法,其中於降低該射頻功率訊號的步驟中更包括降低該射頻功率訊號50瓦至100瓦;其中該底板塗覆一材料,且該材料暴露在電漿離子下會產生自由基。
- 如申請專利範圍第4項所述之以反應器進行蝕刻之方法,其中該材料包括一金屬、一金屬合金、一鐵電材料、一介電質、或一複合氧化物,其中該金屬包括鉑(Pt)、銥(Ir)、釕(Ru)、錸(Re)、金(Au)、鈀(Pd)、及銀(Ag)、銅(Cu)、鋁(Al)、鎳(Ni)、鈦(Ti)、鎢(W)、鋅(Zn)、銦(In)、錫(Sn)、釩(V)、鋯(Zr)、鉻(Cr)、鈷(Co)、釔(Y)、鈮(Nb)、鉭(Ta)、鉬(Mo)、鐵(Fe)、鉛(Pb)、或鈹(Be),其中該複合氧化物包括鈦酸鍶(strontium-titanium oxide,SrTiO3)、釕酸鍶(strontium-ruthenium oxide,SrRuO3)、鈦酸鈀(palladium-titanium oxide,PdTiO3)、鍶-鈹-鉭氧化 物(strontium-beryllium-tantalum oxide,SrBi2Ta2O9)、三氧化二鈦(titanium oxide,Ti2O3)、鈮酸鋰(lithium-niobium oxide,LiNbO3)、鋯鈦酸鉛(lead-zirconium-titanium oxide,Pb(Zr,Ti)O3)、或鍶-鈹-鉭-鈮氧化物(strontium-beryllium-tantalum-niobium oxide,SrBi2(Ta,Nb)2O9)。
- 如申請專利範圍第3項所述之以反應器進行蝕刻之方法,其中於實施該表面改質週期的步驟中更包括從一氣體混合物產生一電漿,且該氣體混合物包括全氟碳化物、氧氣、及氬氣;其中於實施該蝕刻週期的步驟中更包括從一氣體混合物產生一電漿,且該氣體混合物包括全氟碳化物、氧氣、及氬氣。
- 一種蝕刻系統,包括:一轉接盒,配置以從一或多個各別的氣體盒接收一或多種氣體;一噴淋頭,包括一頂板及一底板,其中該頂板具有一或多個第一氣體通道,該底板具有一或多個第二氣體通道;該頂板係配置以經由一或多個氣體管線從該轉接盒接收該一或多種氣體,且該底板係配置以旋轉以將該一或多個第二氣體通道對齊於該一或多個第一氣體通道,以容許該一或多種氣體流過該噴淋頭;以及一晶圓吸座,配置以固持一晶圓,且該晶圓從該噴淋頭接收該一或多個氣體,其中該底板可旋轉以將該一或多個第二氣體通道不對齊於該一或多個第一氣體通道,以限制該一或多種氣體流過該噴淋頭。
- 如申請專利範圍第7項所述之蝕刻系統,其中該頂板之該一或多個第一氣體通道係配置以響應該底板之旋轉,對齊該底板之該一或多個第二氣體通道, 其中該噴淋頭係配置以響應該底板之旋轉,釋放該一或多個氣體,進而使得該頂板之該一或多個第一氣體通道對齊該底板之一或多個第二氣體通道,其中該底板係以於暴露在一電漿下時會產生自由基之一材料所製成,其中該噴淋頭係配置以從一外部功率源接收一射頻功率訊號,且該外部功率源約為10瓦至2700瓦的範圍之間。
- 如申請專利範圍第8項所述之蝕刻系統,其中該材料包括一金屬、一氧化物、一複合氧化物、或一合金。
- 如申請專利範圍第7項所述之蝕刻系統,其中該底板包括於暴露在一電漿下時會產生自由基之一材料所製成之一外部薄板。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762586327P | 2017-11-15 | 2017-11-15 | |
US62/586,327 | 2017-11-15 | ||
US16/038,825 | 2018-07-18 | ||
US16/038,825 US10529543B2 (en) | 2017-11-15 | 2018-07-18 | Etch process with rotatable shower head |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201931427A TW201931427A (zh) | 2019-08-01 |
TWI730266B true TWI730266B (zh) | 2021-06-11 |
Family
ID=66432343
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107140215A TWI730266B (zh) | 2017-11-15 | 2018-11-13 | 以反應器進行蝕刻之方法及蝕刻系統 |
Country Status (3)
Country | Link |
---|---|
US (2) | US10529543B2 (zh) |
CN (1) | CN109786202B (zh) |
TW (1) | TWI730266B (zh) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10529543B2 (en) * | 2017-11-15 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch process with rotatable shower head |
US20210391176A1 (en) * | 2020-06-16 | 2021-12-16 | Applied Materials, Inc. | Overhang reduction using pulsed bias |
CN114686853B (zh) * | 2020-12-31 | 2023-09-01 | 拓荆科技股份有限公司 | 可控气流分布的气体喷头 |
JP2023127762A (ja) * | 2022-03-02 | 2023-09-14 | キオクシア株式会社 | プラズマ処理装置 |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200539348A (en) * | 2004-05-24 | 2005-12-01 | Shinetsu Chemical Co | Shower plate for plasma processing apparatus and plasma processing apparatus |
TW200612486A (en) * | 2004-10-14 | 2006-04-16 | Guo-Long Song | A precise wafer etching method |
CN101615572A (zh) * | 2008-06-24 | 2009-12-30 | 细美事有限公司 | 用于有选择地蚀刻基板表面的基板处理装置和方法 |
TW201016079A (en) * | 2008-07-07 | 2010-04-16 | Lam Res Corp | Clamped monolithic showerhead electrode |
TW201409560A (zh) * | 2012-05-15 | 2014-03-01 | Lam Res Ag | 用於晶圓狀物件之液體處理的方法及設備 |
US20150011095A1 (en) * | 2013-07-03 | 2015-01-08 | Lam Research Corporation | Chemical deposition apparatus having conductance control |
US20160260587A1 (en) * | 2015-03-03 | 2016-09-08 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
TW201726271A (zh) * | 2016-01-25 | 2017-08-01 | 弘塑科技股份有限公司 | 基板溼式處理裝置及包含其之單晶圓蝕刻清洗裝置 |
Family Cites Families (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE2610556C2 (de) * | 1976-03-12 | 1978-02-02 | Siemens AG, 1000 Berlin und 8000 München | Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt |
US4464223A (en) * | 1983-10-03 | 1984-08-07 | Tegal Corp. | Plasma reactor apparatus and method |
US5800618A (en) * | 1992-11-12 | 1998-09-01 | Ngk Insulators, Ltd. | Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof |
JP3317209B2 (ja) * | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US6132805A (en) * | 1998-10-20 | 2000-10-17 | Cvc Products, Inc. | Shutter for thin-film processing equipment |
KR100400044B1 (ko) * | 2001-07-16 | 2003-09-29 | 삼성전자주식회사 | 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 |
US6676760B2 (en) * | 2001-08-16 | 2004-01-13 | Appiled Materials, Inc. | Process chamber having multiple gas distributors and method |
US20040082251A1 (en) * | 2002-10-29 | 2004-04-29 | Applied Materials, Inc. | Apparatus for adjustable gas distribution for semiconductor substrate processing |
KR100663351B1 (ko) * | 2004-11-12 | 2007-01-02 | 삼성전자주식회사 | 플라즈마 처리장치 |
KR100558922B1 (ko) * | 2004-12-16 | 2006-03-10 | (주)퓨전에이드 | 박막 증착장치 및 방법 |
US20070163716A1 (en) * | 2006-01-19 | 2007-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas distribution apparatuses and methods for controlling gas distribution apparatuses |
US7897008B2 (en) * | 2006-10-27 | 2011-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for regional plasma control |
US8291857B2 (en) * | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US9793126B2 (en) * | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US20120108072A1 (en) * | 2010-10-29 | 2012-05-03 | Angelov Ivelin A | Showerhead configurations for plasma reactors |
KR101879175B1 (ko) * | 2011-10-20 | 2018-08-20 | 삼성전자주식회사 | 화학 기상 증착 장치 |
US20130107415A1 (en) * | 2011-10-28 | 2013-05-02 | Applied Materials, Inc. | Electrostatic chuck |
CN102424955B (zh) * | 2011-11-29 | 2013-05-22 | 中国科学院微电子研究所 | 一种新型匀气结构 |
US9761416B2 (en) * | 2013-03-15 | 2017-09-12 | Applied Materials, Inc. | Apparatus and methods for reducing particles in semiconductor process chambers |
US10403535B2 (en) * | 2014-08-15 | 2019-09-03 | Applied Materials, Inc. | Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system |
CN105448635B (zh) * | 2014-08-28 | 2018-01-09 | 北京北方华创微电子装备有限公司 | 原子层刻蚀装置及采用其的原子层刻蚀方法 |
JP6976043B2 (ja) * | 2015-07-15 | 2021-12-01 | ラム リサーチ コーポレーションLam Research Corporation | 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法 |
US10256108B2 (en) * | 2016-03-01 | 2019-04-09 | Lam Research Corporation | Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments |
US10533252B2 (en) * | 2016-03-31 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Showerhead, semicondcutor processing apparatus having the same and semiconductor process |
TWI680496B (zh) * | 2016-09-13 | 2019-12-21 | 美商應用材料股份有限公司 | 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積 |
US10062585B2 (en) * | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
TWI794238B (zh) * | 2017-07-13 | 2023-03-01 | 荷蘭商Asm智慧財產控股公司 | 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法 |
US10529543B2 (en) * | 2017-11-15 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch process with rotatable shower head |
-
2018
- 2018-07-18 US US16/038,825 patent/US10529543B2/en active Active
- 2018-11-13 TW TW107140215A patent/TWI730266B/zh active
- 2018-11-15 CN CN201811360314.7A patent/CN109786202B/zh active Active
-
2019
- 2019-10-18 US US16/657,503 patent/US11227747B2/en active Active
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200539348A (en) * | 2004-05-24 | 2005-12-01 | Shinetsu Chemical Co | Shower plate for plasma processing apparatus and plasma processing apparatus |
TW200612486A (en) * | 2004-10-14 | 2006-04-16 | Guo-Long Song | A precise wafer etching method |
CN101615572A (zh) * | 2008-06-24 | 2009-12-30 | 细美事有限公司 | 用于有选择地蚀刻基板表面的基板处理装置和方法 |
TW201016079A (en) * | 2008-07-07 | 2010-04-16 | Lam Res Corp | Clamped monolithic showerhead electrode |
TW201409560A (zh) * | 2012-05-15 | 2014-03-01 | Lam Res Ag | 用於晶圓狀物件之液體處理的方法及設備 |
US20150011095A1 (en) * | 2013-07-03 | 2015-01-08 | Lam Research Corporation | Chemical deposition apparatus having conductance control |
US20160260587A1 (en) * | 2015-03-03 | 2016-09-08 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
TW201726271A (zh) * | 2016-01-25 | 2017-08-01 | 弘塑科技股份有限公司 | 基板溼式處理裝置及包含其之單晶圓蝕刻清洗裝置 |
Also Published As
Publication number | Publication date |
---|---|
CN109786202A (zh) | 2019-05-21 |
CN109786202B (zh) | 2021-09-17 |
US20190148116A1 (en) | 2019-05-16 |
TW201931427A (zh) | 2019-08-01 |
US11227747B2 (en) | 2022-01-18 |
US10529543B2 (en) | 2020-01-07 |
US20200051791A1 (en) | 2020-02-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI730266B (zh) | 以反應器進行蝕刻之方法及蝕刻系統 | |
JP7058080B2 (ja) | Aleおよび選択的蒸着を用いた基板のエッチング | |
US20200402770A1 (en) | High energy atomic layer etching | |
US9991128B2 (en) | Atomic layer etching in continuous plasma | |
TWI695412B (zh) | 蝕刻及平滑化基板表面的方法 | |
TWI692034B (zh) | 鈷回蝕 | |
KR102432484B1 (ko) | 서브트랙티브 금속 집적을 위한 라이너 및 배리어 적용 | |
KR20180119133A (ko) | 패터닝 애플리케이션들을 위한 ale (atomic layer etch), 반응성 전구체들 및 에너제틱 소스들 | |
KR20170028259A (ko) | 고종횡비 유전체 에칭을 위한 마스크 축소층 | |
TW202336855A (zh) | GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻 | |
TW202025525A (zh) | 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化 | |
TW201703116A (zh) | 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法 | |
TWI703227B (zh) | 低應力氮摻雜鎢膜之物理氣相沉積 | |
KR20150006390A (ko) | 이온 빔 에칭 시스템 | |
JP2006265724A (ja) | 中性ビームを利用した原子層蒸着装置及びこの装置を利用した原子層蒸着方法 | |
TWI612577B (zh) | 半導體裝置之製造方法及電腦記錄媒體 | |
US20220102624A1 (en) | Ion beam etching with gas treatment and pulsing | |
US20210280433A1 (en) | Electron excitation atomic layer etch | |
TW202219644A (zh) | 用於在euv圖案化中減少缺陷的多層硬遮罩 | |
TWI817318B (zh) | 製作半導體的方法 | |
WO2018231732A1 (en) | Method for reducing reactive ion etch lag in low k dielectric etching |