TWI708356B - 在多重圖案化製程期間之電熔絲形成 - Google Patents

在多重圖案化製程期間之電熔絲形成 Download PDF

Info

Publication number
TWI708356B
TWI708356B TW108110069A TW108110069A TWI708356B TW I708356 B TWI708356 B TW I708356B TW 108110069 A TW108110069 A TW 108110069A TW 108110069 A TW108110069 A TW 108110069A TW I708356 B TWI708356 B TW I708356B
Authority
TW
Taiwan
Prior art keywords
interconnection
width
interlayer dielectric
dielectric layer
channel
Prior art date
Application number
TW108110069A
Other languages
English (en)
Other versions
TW201944567A (zh
Inventor
舒杰輝
曉強 張
尹海洲
木申 張
金平 劉
輝 臧
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW201944567A publication Critical patent/TW201944567A/zh
Application granted granted Critical
Publication of TWI708356B publication Critical patent/TWI708356B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本發明提供互連結構及製作互連結構的方法。第一互連及第二互連在層間介電層中朝第一方向延伸並且彼此隔開。第三互連配置在該層間介電層中,以將該第一互連連接至該第二互連。該第一互連及該第二互連具有第一寬度,而該第三互連具有小於該第一寬度的第二寬度。

Description

在多重圖案化製程期間之電熔絲形成
本發明係關於積體電路及半導體裝置製作,尤係關於互連結構及製作互連結構的方法。
後端製程(back-end-of-line,BEOL)互連結構可用來將在前端製程(front-end-of-line,FEOL)處理期間於基板上所製作的裝置結構彼此連接以及與該晶片外部的環境連接。用來形成BEOL互連結構的自對準多重圖案化製程(self-aligned multiple patterning process)可包含被形成作為犧牲性特徵的心軸,這些心軸建立特徵間距(feature pitch)。側壁間隔件可被形成鄰接心軸的側壁。在選擇性移除心軸後,側壁間隔件係用作為蝕刻遮罩,用以蝕刻由心軸搬移(mandrel pull)所暴露的區域上方及定義非心軸線的該側壁間隔件之間的暴露區域上方的下伏硬遮罩。該圖案從該硬遮罩被轉印(transfer)至層間介電層而定義出溝道,BEOL互連結構的電線(wire)便形成在該溝道中。
在這些心軸中可形成切口(cut),以將心軸分為區段並且定義區段之間的不連續,這些區段接下來用來形成相鄰的電線,電線在其尖端以端部對端部隔開的方式予以隔開。類似的切口也可沿著該非心軸線在該 硬遮罩其自身中形成。反映出該心軸線、該非心軸線及該切口的圖案被轉印至該硬遮罩並且接下來從該硬遮罩,以在該層間介電層中形成該溝道。
電熔絲可包含陽極、陰極及連接該陽極與陰極的熔絲鏈接。電熔絲是製作成封閉電路,並且可藉由將相對高電流密度的電流通過該熔絲鏈接而動態地程式化。一般而言,經過程式化之電熔絲的電阻大於、並且在大部分的情況下顯著地大於原封不動之電熔絲的電阻。除了其它用途外,電熔絲也可經程式化以用多餘電路元件取代瑕疵電路元件、或可經程式化以改變電路連接。
需要改良的互連結構及製作互連結構的方法。
在本發明的實施例中,互連結構包含層間介電層、在該層間介電層中朝第一方向延伸的第一互連、在該層間介電層中朝該第一方向延伸並且與該第一互連隔開的第二互連、以及配置在該層間介電層中以將該第一互連連接至該第二互連的第三互連。該第一互連及該第二互連具有第一寬度,而該第三互連具有小於該第一寬度的第二寬度。
在本發明的實施例中,方法包含:形成第一心軸線,該第一心軸線在層間介電層上方朝第一方向延伸;將該第一心軸線切割成第一區段及第二區段,該第二區段與該第一區段被間隙間隔;以及在該第一心軸線的該第一區段上形成第一側壁間隔件以及在該第一心軸線的該第二區段上形成第二側壁間隔件,該第一側壁間隔件及該第二側壁間隔件在該第一間隙內部被連接線隔開,該連接線將第一非心軸線連接至第二非心軸線,第一非心軸線與該第二非心軸線位於該第一心軸線的兩側。該方法進一步 包含:轉印該第一非心軸線、該第二非心軸線及該連接線至該層間介電層中的第一溝道、第二溝道及第三溝道;以及以導體填充該第一溝道、該第二溝道及該第三溝道。該第一溝道中的該導體定義第一互連,而該第二溝道中的該導體定義第二互連。該第一互連及該第二互連具有第一寬度。該第三溝道中的該導體定義第三互連,該第三互連具有小於該第一寬度的第二寬度。
10‧‧‧層間介電層
12、14‧‧‧硬遮罩層
15、16、17、18、19‧‧‧心軸線
16a‧‧‧端部
20‧‧‧蝕刻遮罩
22、24‧‧‧開口
26、28‧‧‧心軸切口
30‧‧‧保形層
32‧‧‧連接線
34‧‧‧側壁間隔件
36‧‧‧非心軸線
38‧‧‧心軸線
40、42、44、46‧‧‧互連
48‧‧‧熔絲鏈接
w1、w2、w3、w4‧‧‧寬度
g‧‧‧間隙
併入至此說明書中且構成此說明書的一部分的伴隨圖式例示本發明的各種實施例,並且連同以上所給定的本發明的一般性描述及以下所給定的實施例的詳細描述,作為解釋本發明的實施例。
第1圖為依據本發明的實施例的結構在處理方法的初始製作階段的上視圖。
第1A圖為第1圖的該結構大致上沿著線1A-1A的截面視圖。
第2圖為該結構在接續於第1、1A圖的該處理方法的製作階段的上視圖。
第2A圖為第2圖的該結構大致上沿著線2A-2A的截面視圖。
第3圖為該結構在接續於第2、2A圖的該處理方法的製作階段的上視圖。
第3A圖為第3圖的該結構大致上沿著線3A-3A的截面視圖。
第3B圖為第3圖的該結構大致上沿著線3B-3B的截面視圖。
第4A和4B圖為接續於第3A和3B圖的該處理方法的製作階段的該結構的截面視圖。
第5圖為該結構在接續於第4A、4B圖的該處理方法的製作階段的上視圖。
第5A圖為第5圖的該結構大致上沿著線5A-5A的截面視圖。
第5B圖為第5圖的該結構大致上沿著線5B-5B的截面視圖。
第6圖為該結構在接續於第5、5A、5B圖的該處理方法的製作階段的上視圖。
第6A圖為第6圖的該結構大致上沿著線6A-6A的截面視圖。
第6B圖為第6圖的該結構大致上沿著線6B-6B的截面視圖。
第7圖為該結構在接續於第6、6A、6B圖的該處理方法的製作階段的上視圖。
第7A圖為第7圖的該結構大致上沿著線7A-7A的截面視圖。
參照第1、1A圖及依據本發明的實施例,層間介電層10可由電性絕緣的介電材料組成,例如,富含氫的矽碳氧化物(SiCOH)或另一種類型的低-k介電材料。該層間介電層10可位在基板上,該基板包含由前端製程(FEOL)處理所製作的裝置結構以形成積體電路。硬遮罩配置在該層間介電層10上方並且包含個別硬遮罩層12、14組成的堆疊。
該硬遮罩層12是形成在該層間介電層10的上表面上。該硬遮罩層12可由金屬組成,例如,鈦氮化物(TiN),由物理氣相沉積法(PVD)所沉積。該硬遮罩層12可視該層間介電層10的材料而定,而選擇性地從該層間介電層10移除。如此處所使用的,就材料移除製程(例如,蝕刻)而言的術語「選擇性」是指目標材料的材料移除率(亦即,蝕刻率)高於暴露於材料移除製程的至少另一種材料的材料移除率(亦即,蝕刻率)。
該硬遮罩層14是形成在該硬遮罩層12的上表面上。該硬遮罩層14可由介電材料組成,例如,矽氮化物(Si3N4),由化學氣相沉積法(CVD)所沉積。在另外實施例中,該硬遮罩層14可由不同的介電材料組成,例如,二氧化矽(SiO2)。構成該硬遮罩層14的材料可視該硬遮罩層12的材料而定,而選擇性地從該硬遮罩層12移除。
心軸線15、16、17、18、19是形成在該硬遮罩層14的上表面上。該心軸線15、16、17、18、19可藉由沉積犧牲性材料(例如,非晶矽(α-Si))的敷層(blanket layer)並且使用自對準多重圖案化製程(例如,自對準雙重圖案(SADP)或自對準四重圖案(SAQP))來圖案化該敷層而加以形成。
參照第2、2A圖,其中,相同的元件符號參照第1圖中的相同特徵並且是在該處理方法的後續製作階段,將蝕刻遮罩20鋪設於該硬遮罩層14及心軸線15、16、17、18、19上方,並且藉由微影技術予以圖 案化,以定義開口22、24。該蝕刻遮罩20可例如包含有機平面化層、抗反射塗層、硬遮罩、及/或光阻層。心軸線16的區段及心軸線18的區段被該蝕刻遮罩20中的該開口22、24分別暴露,該蝕刻遮罩20中的該開口22在尺寸上大於該蝕刻遮罩20中的該開口24,並且,該開口22沿著該心軸線16的長度的尺寸尤其大於該開口22沿著該心軸線18的長度的尺寸。
參照第3、3A、3B圖,其中,相同的元件符號參照第2、2A圖中的相同特徵並且是在該處理方法的後續製作階段,該心軸線16被該蝕刻遮罩20中的開口所暴露的該區段及該心軸線18被該蝕刻遮罩20中的開口24所暴露的區段被蝕刻製程所移除,以形成個別的心軸切口26、28,並且該蝕刻遮罩20被移除。該蝕刻製程可依賴蝕刻化學作用,該蝕刻化學作用視該硬遮罩層14而定,而選擇性地移除該心軸線16、18的材料。
該心軸線18被分割成不連續的區段,其中,尖端或端部被該心軸切口28分離一給定的端部對端部距離。該心軸線16被分割成不連續的區段,其中,尖端或端部被該心軸切口26分離一給定的端部對端部距離,該端部對端部距離大於將該心軸線18的區段的端部分離的該端部對端部距離。具體而言,該心軸切口26、28的尺寸是加以選擇,以使得該心軸切口26在心軸線16的該區段的該端部16a之間的寬度w1大於該心軸切口28在該心軸線18的該區段的該端部之間的寬度w2。在形成該蝕刻遮罩20中的該開口22、24的微影期間所使用的光罩可加以定製(tailored),以針對心軸切口26和心軸切口28提供不同的寬度。該相同的蝕刻遮罩20是用來形成該心軸切口26及該心軸切口28,其接下來在分別形成電熔絲的熔絲鏈接及分離線性對準的互連線的端部的製程流程中使用。就這方面而言,該熔絲鏈接的形成不需要額外的蝕刻遮罩。
參照第4A、4B圖,其中,相同的元件符號參照第3A、3B圖中的相同特徵並且是在該處理方法的後續製作階段,由介電材料組成的保形層30可使用原子層沉積法(ALD)加以沉積。構成該保形層30的材料可加以選擇,以視該心軸線15、16、17、18、19而定,而選擇性地由給定的蝕刻製程加以移除。舉例來說,構成該保形層30的該介電材料的選擇可為二氧化矽(SiO2),而構成該心軸線15、16、17、18、19的該犧牲性材料的選擇可為非晶矽(α-Si),其可視二氧化矽而定,而被蝕刻製程移除。
該保形層30覆蓋該心軸線15、16、17、18、19的外部表面,並且至少部分填充各該心軸切口26、28。尤其是,由於其寬度及針對該保形層30所選擇的厚度,故藉由在該心軸線18的個別區段之端部之間的間隙中的沉積期間合併,使得該保形層30可填充並進而閉合該心軸切口28。由於該心軸切口28的寬度w2小於該保形層30的沉積厚度的兩倍,因此,完全合併得以發生。然而,該心軸切口26的寬度w1大於該保形層30的沉積厚度的兩倍。其結果就是,在該心軸線16的個別區段的端部之間的間隙的沉積期間,該保形層30無法合併並進而閉合該心軸切口28。該部分填充的結果是間隙g在該保形層30在該心軸線16的個別區段的端部上的區段之間是打開的。
參照第5、5A、5B圖,其中,相同的元件符號參照第4A、4B圖中的相同特徵並且是在該處理方法的後續製作階段,側壁間隔件34是由該保形層30形成,並且配置在與該心軸線15、16、17、18、19的垂直側壁鄰接之該硬遮罩層14的上表面上。可藉由非等向性蝕刻製程,例如,反應式離子蝕刻(RIE)以成形該保形層30,來形成該側壁間隔件34。該心軸線15、16、17、18、19的個別上表面在該蝕刻製程後是暴露的,並且,該心軸切口28仍然由該側壁間隔件34的該介電材料填充。該間隙 g持續在從該心軸切口26內部的該保形層30形成的該側壁間隔件34之間,並且,該硬遮罩層14的該上表面上的區域是暴露在代表連接線32的該間隙中的該側壁間隔件34之間。
該間隔件形成蝕刻製程也移除該保形層30在該側壁間隔件34之間的區段,以暴露該硬遮罩層14的該上表面上代表非心軸線36的區域。該連接線32是配置在該心軸線16的區段的端部16a之間,並且橫向延伸至該心軸線15、16、17、18、19在該非心軸線36之間的長度,該非心軸線36配置在被間隔件覆蓋的心軸線16的相對側上。在形成該側壁間隔件34後,非心軸切口(未顯示)也可形成在該心軸線15、16、17、18、19之間的區域上方的該硬遮罩層14中。
參照第6、6A、6B圖,其中,相同的元件符號參照第5、5A、5B圖中的相同特徵並且是在該處理方法的後續製作階段,該心軸線15、16、17、18、19視該側壁間隔件34及硬遮罩層14的材料而定,而選擇性地由蝕刻製程移除。該硬遮罩層14的該上表面上的區域藉由該心軸搬移而顯露出來並且定義心軸線38。該心軸線38與該非心軸線36相互交叉(interdigitated),以使不同類型的線以間隔的配置交替。
參照第7、7A圖,其中,相同的元件符號參照第6、6A、6B圖中的相同特徵並且是在該處理方法的後續製作階段,互連40、42、44、46及熔絲鏈接48是形成在該層間介電層10中。為此目的,該硬遮罩層14是由蝕刻製程加以圖案化,其中,該側壁間隔件34運作如蝕刻遮罩。該硬遮罩層12接下來由蝕刻製程加以圖案化,其中,該圖案化的硬遮罩層14運作如蝕刻遮罩,以將該非心軸線36、心軸線38及連接線32的圖案轉印至該硬遮罩層12。該硬遮罩層14及該側壁間隔件34可在該硬遮罩層12被圖案化後加以移除。
該層間介電層10使用該圖案化的硬遮罩層12作為蝕刻遮罩而加以蝕刻,以在該層間介電層10中形成溝道50。在該層間介電層10被蝕刻後,該硬遮罩層12可由蝕刻或清潔製程加以選擇性移除。該層間介電層10中的該溝道50接著以導體填充,以形成在互連結構的金屬化階層中所含有的該互連40、42、44、46及熔絲鏈接48。由鈦(Ti)、鈦氮化物(TiN)、鉭(Ta)、鉭氮化物(TaN)、或這些材料的層式組合(例如,雙層的Ti/TiN)所組成的襯裏(未顯示),可在以該金屬填充前,鋪設於該溝道。該互連40、42、44、46及熔絲鏈接48可由低電阻金屬組成,例如,銅(Cu)、鋁(Al)或鈷(Co)。
該互連40、42、44、46及熔絲鏈接48的形狀和幾何複製該連接線32、非心軸線36及心軸線38的形狀和幾何,並且該互連40、42、44、46及熔絲鏈接48具有相同厚度。相鄰對的互連40、42、44、46被構成該層間介電層10的個別條狀電性絕緣體朝一個橫向方向彼此分離,這些條狀電性絕緣體在位置上對應於在相鄰對的非心軸線36及心軸線38之間的空間。該互連40沒有被心軸切口或非心軸切口打斷。該互連42在它們個別的端部之間,藉由形成有該心軸切口28尺寸的該層間介電層10的區段以一給定的端部對端部距離分離。
該互連46在它們個別的端部之間,藉由形成有該心軸切口26尺寸的該層間介電層10的區段以一給定的端部對端部距離分離。該熔絲鏈接48橫向地配置在該互連46的端部之間,並且沿著它的長度橫向地延伸,作為從該互連44的一者至該互連44的另一者的連接互連。該熔絲鏈接48的長度橫向對準該互連44的長度。
該互連44及該熔絲鏈接48定義電熔絲,在該電熔絲中,該互連44提供陽極及陰極,作為該電熔絲的電極。該熔絲鏈接48的寬度w3於或等於該互連44的寬度w4的百分之五十(亦即,一半),並且大於或等於寬度w4的百分之二十五(亦即,四分之一)。在數值例子中,該熔絲鏈接48可具有寬度w3在5奈米至10奈米的範圍中,而該互連44可具有寬度w4等於20奈米。該電熔絲的該熔絲鏈接48及互連44是配置在單一金屬化階層中,而傳統的BEOL熔絲則是將具有垂直配置的該電極及熔絲鏈接定位在三個不同的金屬化階層中。
在使用時,可藉由在定義該電極的該互連44之間施加脈波列(pulse train)或較長持續期間的單一脈波的偏壓電位,而程式化該電熔絲。互連44識別為該陽極及陰極係視在程式化期間施加至該電熔絲的該偏壓電位的極性而定。該偏壓電位可透過該熔絲鏈接48的尺寸(亦即,截面積及長度)加以控制,該尺寸是由該心軸切口26的尺寸及該間隔件厚度決定。由於該熔絲鏈接48的截面積較小,因此,流經該熔絲鏈接48的電流的密度高於在該互連44中流動的電流的密度。當電流流經該熔絲鏈接48時,該熔絲鏈接48的溫度被焦耳加熱(Joule heating)而昇高。該昇高的溫度結合該高電流密度提昇該熔絲鏈接48的導電材料的電子遷移。該結果就是,該熔絲鏈接48從低電阻狀態(當原封不動及在程式化之前)實體改變至高電阻狀態(在程式化後)。
雖然此處所描述的係與電熔絲的形成相關,但本領域中具有通常知識者將會了解到該互連的配置可在雙重圖案化製程中(例如,自對準雙重圖案化(SADP)及自對準四重圖案化(SAQP)製程)有其它應用。
以上所描述的方法是用來製作積體電路晶片。該生成的積體電路晶片可由製作者以空白晶圓形式(例如,作為具有多個未封裝晶片的單一晶圓)散佈以作為裸晶粒、或以封裝的形式加以散佈。該晶片可與其它晶片、離散電路元件、以/或其它訊號處理裝置(作為中間產品或終端產品的 部件)整合。該終端產品可為包含積體電路晶片(例如,具有中央處理器的電腦產品或智慧型電話)的任何產品。
此處所參照的術語,例如,「垂直」、「水平」、「橫向的」等,係藉由例子、而非藉由限制作成,以建立參照的框架。例如「水平」及「橫向的」的術語是指朝與半導體基板的上表面平行的平面的方向,而不管其實際三維空間方位。例如「垂直」及「法向的」的術語是指垂直於該「水平」及「橫向的」方向的方向。例如「上方」及「下方」的術語是指示相對於彼此及/或相對於該半導體基板的該上表面(而不是相對高度)定位元件或結構。
「連接」至另一個元件或與另一個元件「連接」或「耦接」至另一個元件或與另一個元件「耦接」的特徵可直接地連接至或耦接至該其它元件、或反而是可出現一個或更多個中介元件。如果沒有出現中介元件,特徵可為「直接地連接」或「直接地耦接」至另一個元件。如果出現至少一個中介元件,特徵可為「間接地連接」或「間接地耦接」至另一個元件。
本發明的各種實施例的描述已經呈現,以出於例示的目的,而不意欲窮盡或限制至所揭露的實施例。許多修改和變體對於本領域中具有通常技術者而言是明顯的,而不致於偏離該描述的實施例的範圍和精神。此處所使用的術語是經過選擇,以最佳解釋該實施例的原則、該實際應用或對於市場中所發現的技術的科技改良,或使本領域的具有通常知識的其他人得以了解此處所揭露的實施例。
10‧‧‧層間介電層
40、42、44、46‧‧‧互連
48‧‧‧熔絲鏈接
w3、w4‧‧‧寬度

Claims (18)

  1. 一種互連結構,包含:層間介電層;第一互連,在該層間介電層中朝第一方向延伸;第二互連,在該層間介電層中朝該第一方向延伸並與該第一互連隔開;第三互連,配置在該層間介電層中,以使該第一互連與該第二互連連接;第四互連,在該第一互連及該第二互連之間在該層間介電層中朝該第一方向延伸,該第四互連具有端部;第五互連,在該第一互連及該第二互連之間在該層間介電層中朝該第一方向延伸,該第五互連具有端部,其中,該第三互連朝該第一方向配置在該第四互連的該端部及該第五互連的該端部之間,該第一互連及該第二互連具有第一寬度,而該第三互連具有小於該第一寬度的第二寬度。
  2. 如申請專利範圍第1項所述之互連結構,其中,該第三互連相對於該第一互連及該第二互連朝第二方向延伸,該第二方向橫向於該第一方向。
  3. 如申請專利範圍第2項所述之互連結構,其中,該第一互連及該第二互連沿著朝該第一方向的平行線配置。
  4. 如申請專利範圍第1項所述之互連結構,其中,該第二寬度小於或等於該第一寬度的百分之五十,而該第二寬度大於或等於該第一寬度的百分之二十五。
  5. 如申請專利範圍第1項所述之互連結構,其中,該第一互連、該第二互連及該第三互連係由銅、鋁或鈷組成。
  6. 如申請專利範圍第1項所述之互連結構,其中,該第一互連、該第二互連及該第三互連具有相同厚度並且配置在該層間介電層中。
  7. 如申請專利範圍第1項所述之互連結構,其中,該第一互連及該第二互連具有部分基於該第一寬度的第一截面積,該第三互連具有部分基於該第一寬度的第二截面積,該第二截面積小於該第一截面積,並且該第一互連、該第二互連及該第三互連係由屬於相同導體的區段組成。
  8. 如申請專利範圍第1項所述之互連結構,其中,該第一互連是電熔絲的第一電極,該第二互連是該電熔絲的第二電極,而該第三互連是配置成將該第一電極與該第二電極連接的熔絲鏈接。
  9. 一種製作互連結構的方法,該方法包含:形成第一心軸線,該第一心軸線在層間介電層上方朝第一方向延伸;將該第一心軸線切割成第一區段及第二區段,該第二區段與該第一區段間隔第一間隙;在該第一心軸線的該第一區段上形成第一側壁間隔件以及在該第一心軸線的該第二區段上形成第二側壁間隔件,該第一側壁間隔件及該第二側壁間隔件在該第一間隙內部被連接線隔開,該連接線將第一非心軸線連接至第二非心軸線,該第一非心軸線與該第二非心軸線位於該第一心軸線的兩側;轉印該第一非心軸線、該第二非心軸線及該連接線至該層間介電層中的第一溝道、第二溝道及第三溝道;以及以導體填充該第一溝道、該第二溝道及該第三溝道, 其中,該第一溝道中的該導體定義第一互連,該第二溝道中的該導體定義第二互連,該第一互連及該第二互連具有第一寬度,而該第三溝道中的該導體定義第三互連,該第三互連具有小於該第一寬度的第二寬度,其中,該第一心軸線的該第一區段及該第二區段被轉印以在該層間介電層中形成第四溝道及第五溝道,該第四溝道及該第五溝道被該導體填充以形成第四互連及第五互連,該第四互連及該第五互連在該第一互連及該第二互連之間的該層間介電層中朝該第一方向延伸,而該第三互連在該第四互連的端部及該第五互連的端部之間朝該第一方向配置。
  10. 如申請專利範圍第9項所述之方法,其中,該第一互連定義電熔絲的第一電極,該第二互連定義該電熔絲的第二電極,而該第三互連定義該電熔絲的熔絲鏈接,該熔絲鏈接將該第一電極連接至該第二電極。
  11. 如申請專利範圍第9項所述之方法,其中,該第一側壁間隔件及該第二側壁間隔件各具有厚度,而該第一間隙具有大於該厚度的兩倍的寬度。
  12. 如申請專利範圍第9項所述之方法,其中,該第三互連相對於該第一互連及該第二互連朝第二方向延伸,該第二方向橫向於該第一方向。
  13. 如申請專利範圍第12項所述之方法,其中,該第一互連及該第二互連沿著朝該第一方向的平行線配置。
  14. 如申請專利範圍第9項所述之方法,其中,該第二寬度小於或等於該第一寬度的百分之五十,而該第二寬度大於或等於該第一寬度的百分之二十五。
  15. 如申請專利範圍第9項所述之方法,其中,該第一互連、該第二互連及該第三互連係由銅、鋁或鈷組成。
  16. 如申請專利範圍第9項所述之方法,其中,該第一互連、該第二互連及該第三互連具有相同厚度。
  17. 如申請專利範圍第9項所述之方法,其中,該第一互連及該第二互連具有部分基於該第一寬度的第一截面積,該第三互連具有部分基於該第一寬度的第二截面積,該第二截面積小於該第一截面積,而該第一互連、該第二互連及該第三互連係由屬於相同導體的區段組成。
  18. 如申請專利範圍第9項所述之方法,進一步包含:形成第二心軸線,該第二心軸線在該層間介電層上方朝該第一方向延伸;以及將該第二心軸線切割成第一區段及第二區段,該第二區段與該第一區段間隔第二間隙,其中,該第一間隙及該第二間隙是由微影及使用單一蝕刻遮罩的蝕刻製程所形成。
TW108110069A 2018-04-23 2019-03-22 在多重圖案化製程期間之電熔絲形成 TWI708356B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/959,727 2018-04-23
US15/959,727 US10784195B2 (en) 2018-04-23 2018-04-23 Electrical fuse formation during a multiple patterning process

Publications (2)

Publication Number Publication Date
TW201944567A TW201944567A (zh) 2019-11-16
TWI708356B true TWI708356B (zh) 2020-10-21

Family

ID=68105224

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108110069A TWI708356B (zh) 2018-04-23 2019-03-22 在多重圖案化製程期間之電熔絲形成

Country Status (4)

Country Link
US (2) US10784195B2 (zh)
CN (1) CN110391209B (zh)
DE (1) DE102019204020B4 (zh)
TW (1) TWI708356B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10811353B2 (en) * 2018-10-22 2020-10-20 International Business Machines Corporation Sub-ground rule e-Fuse structure
US11121082B2 (en) * 2019-04-17 2021-09-14 International Business Machines Corporation Sub-ground rule e-Fuse structure
EP3840034B1 (en) * 2019-12-19 2022-06-15 Imec VZW Method for producing nanoscaled electrically conductive lines for semiconductor devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7381594B2 (en) * 2005-11-30 2008-06-03 International Business Machines Corporation CMOS compatible shallow-trench efuse structure and method
US20100032797A1 (en) * 2008-08-11 2010-02-11 Nec Electronics Corporation Electrical fuse and semiconductor device
CN101673738A (zh) * 2008-09-10 2010-03-17 台湾积体电路制造股份有限公司 半导体装置
TW201019456A (en) * 2008-11-13 2010-05-16 Taiwan Semiconductor Mfg Fuse structure and method for fabricating the same
US9768276B2 (en) * 2015-04-23 2017-09-19 International Business Machines Corporation Method and structure of forming FinFET electrical fuse structure

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251710B1 (en) * 2000-04-27 2001-06-26 International Business Machines Corporation Method of making a dual damascene anti-fuse with via before wire
US7323761B2 (en) 2004-11-12 2008-01-29 International Business Machines Corporation Antifuse structure having an integrated heating element
JP4959267B2 (ja) 2006-03-07 2012-06-20 ルネサスエレクトロニクス株式会社 半導体装置および電気ヒューズの抵抗値の増加方法
JP5307437B2 (ja) * 2008-04-14 2013-10-02 ルネサスエレクトロニクス株式会社 半導体装置
US8013419B2 (en) * 2008-06-10 2011-09-06 International Business Machines Corporation Structure and method to form dual silicide e-fuse
JP5521422B2 (ja) * 2009-07-22 2014-06-11 株式会社リコー 半導体装置
US20130043556A1 (en) * 2011-08-17 2013-02-21 International Business Machines Corporation Size-filtered multimetal structures
US9099400B2 (en) 2013-09-30 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods
US9177797B2 (en) 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9449919B2 (en) * 2015-02-12 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, layout design and method for manufacturing a semiconductor device
KR102349417B1 (ko) * 2015-07-16 2022-01-10 삼성전자 주식회사 전압 강하를 개선할 수 있는 구조를 갖는 반도체 장치와 이를 포함하는 장치
US9818641B1 (en) * 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9711447B1 (en) 2016-10-11 2017-07-18 Globalfoundries Inc. Self-aligned lithographic patterning with variable spacings
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7381594B2 (en) * 2005-11-30 2008-06-03 International Business Machines Corporation CMOS compatible shallow-trench efuse structure and method
US20100032797A1 (en) * 2008-08-11 2010-02-11 Nec Electronics Corporation Electrical fuse and semiconductor device
CN101673738A (zh) * 2008-09-10 2010-03-17 台湾积体电路制造股份有限公司 半导体装置
TW201019456A (en) * 2008-11-13 2010-05-16 Taiwan Semiconductor Mfg Fuse structure and method for fabricating the same
US9768276B2 (en) * 2015-04-23 2017-09-19 International Business Machines Corporation Method and structure of forming FinFET electrical fuse structure

Also Published As

Publication number Publication date
DE102019204020B4 (de) 2023-08-10
TW201944567A (zh) 2019-11-16
US11348870B2 (en) 2022-05-31
US20190326209A1 (en) 2019-10-24
US10784195B2 (en) 2020-09-22
CN110391209B (zh) 2023-08-29
CN110391209A (zh) 2019-10-29
US20200335435A1 (en) 2020-10-22
DE102019204020A1 (de) 2019-10-24

Similar Documents

Publication Publication Date Title
US10249496B2 (en) Narrowed feature formation during a double patterning process
US11094744B2 (en) Interconnect landing method for RRAM technology
US10861742B2 (en) Interconnect structure having an etch stop layer over conductive lines
TWI708356B (zh) 在多重圖案化製程期間之電熔絲形成
TWI708354B (zh) 多向自對準多圖案化
TWI658537B (zh) 非芯軸切口形成
US9905424B1 (en) Self-aligned non-mandrel cut formation for tone inversion
KR20160005001A (ko) 손상 영역을 구비한 전자 퓨즈
US9711447B1 (en) Self-aligned lithographic patterning with variable spacings
TWI727302B (zh) 具有藉由區塊圖案化形成之可變空間心軸切口的互連
CN108735661B (zh) 预间隔物自对准切口形成
US10811353B2 (en) Sub-ground rule e-Fuse structure
CN112563413A (zh) 记忆体元件
TWI449156B (zh) 半導體裝置及其形成方法
TW202001998A (zh) 具有層心軸之自對準多重圖案化製程
CN102157491A (zh) 半导体结构及其制备方法
TWI668875B (zh) 具有浮島的片上電容器
CN109524348B (zh) 基本规则区域中的完全对准的过孔
TWI677026B (zh) 自對準光刻圖案化
KR101925685B1 (ko) 반도체 디바이스 및 그 제조 방법
US11309244B2 (en) Electrical fuse structure and method of formation
US9997453B2 (en) Antifuse having comb-like top electrode
US20230268267A1 (en) Top via interconnect with an embedded antifuse
TW202109752A (zh) 導電線之間的水平可編程導電電橋