TWI703616B - 利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的方法及系統及製造半導體裝置的方法 - Google Patents

利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的方法及系統及製造半導體裝置的方法 Download PDF

Info

Publication number
TWI703616B
TWI703616B TW106114591A TW106114591A TWI703616B TW I703616 B TWI703616 B TW I703616B TW 106114591 A TW106114591 A TW 106114591A TW 106114591 A TW106114591 A TW 106114591A TW I703616 B TWI703616 B TW I703616B
Authority
TW
Taiwan
Prior art keywords
group
conductive lines
conductive
layout
photoresist
Prior art date
Application number
TW106114591A
Other languages
English (en)
Other versions
TW201820400A (zh
Inventor
徐孟楷
陳文豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201820400A publication Critical patent/TW201820400A/zh
Application granted granted Critical
Publication of TWI703616B publication Critical patent/TWI703616B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種對佈局進行分解以進行多次圖案化微影的方法包括 接收代表半導體裝置的佈局的輸入。所述佈局包括單元的多條導電線。所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆。所述方法進一步包括將所述第二組導電線劃分成多個群組。第一群組具有與第二群組不同數目的來自所述第二組的導電線。所述方法進一步包括將被所述第一群組的導電線上覆的所述第一組中的導電線指配給第一光罩,以及將被所述第二群組的導電線上覆的所述第一組中的導電線指配給第二光罩及第三光罩。

Description

利用對佈局進行分解以進行用以轉移光罩圖案 至光阻的多次圖案化微影來形成半導體裝置的方法及系統及製造半導體裝置的方法
本發明實施例是有關於一種多次圖案化微影的方法,且特別是有關於一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的的方法及系統以及一種製造半導體裝置的方法。
微影製程(photolithography)是在積體電路(integrated circuit,IC)的製造中用於將光罩的圖案轉移至光阻的技術。可接著使用光阻作為罩幕來蝕刻基板。
一種微影製程是利用單個光罩將圖案轉移至光阻的單次 圖案化微影(single-patterning lithography)。也可通過多次圖案化微影(multi-patterning lithography)利用兩個或更多個光罩將此種圖案轉移至光阻,此乃另一種微影製程。
本發明實施例提供一種對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的方法,包括接收代表半導體裝置的佈局的輸入。所述佈局包括單元的多條導電線。所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆。所述方法進一步包括將所述第二組導電線劃分成多個群組。第一群組具有與第二群組不同數目的來自所述第二組的導電線。所述方法進一步包括將被所述第一群組的導電線上覆的所述第一組中的導電線指配給第一光罩,以及將被所述第二群組的導電線上覆的所述第一組中的導電線指配給第二光罩及第三光罩。
本發明實施例提供一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的系統。所述系統包括:輸入接收模組,被配置成接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;劃分模組,耦合至所述輸入接收模組且被配置成將所述第二組導電線劃分成多個群組,第一群組具有與第二群組不同數目的來自所述第 二組的導電線;以及圖案化模組,耦合至所述劃分模組且被配置成以被所述第一群組的導電線上覆的所述第一組中的導電線將第一光罩圖案化、以及以被所述第二群組的導電線上覆的所述第一組中的導電線將第二光罩及第三光罩圖案化。
本發明實施例提供一種製造半導體裝置的方法。所述方法包括:以單元的第一導電線的佈局將基底的第一區域之上的第一光罩圖案化;以所述單元的第二導電線的佈局將所述基底的第二區域之上的第二光罩圖案化,其中所述基底的所述第二區域所具有的尺寸實質上為所述基底的所述第一區域的尺寸的兩倍;以及以所述單元的第三導電線的佈局將所述基底的所述第二區域之上的第三光罩圖案化。
本發明實施例提供一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的系統。所述系統包括:處理器;非暫時性電腦可讀存儲媒體;以及一組可執行指令,存儲於所述非暫時性電腦可讀存儲媒體且可由所述處理器執行,經執行的所述一組可執行指令被配置成:接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;將所述第二組導電線劃分成多個群組,其中第一群組具有與第二群組不同數目的來自所述第二組的導電線;將被所述第一群組的導電線上覆的所述第一組中的導電線指配給第一光罩; 以及將被所述第二群組的導電線上覆的所述第一組中的導電線指配給第二光罩及第三光罩。
本發明實施例提供一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的系統。所述系統包括:處理器;非暫時性電腦可讀存儲媒體;以及一組可執行指令,存儲於所述非暫時性電腦可讀存儲媒體且可由所述處理器執行,經執行的所述一組可執行指令被配置成:接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;將所述第二組導電線劃分成多個群組,其中第一群組具有與第二群組不同數目的來自所述第二組的導電線;以及以所述第一群組的導電線上覆的所述第一組中的導電線對第一光罩圖案化以及以所述第二群組的導電線上覆的所述第一組中的導電線對第二光罩及第三光罩。
本發明實施例提供一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的方法。所述方法包括:接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;將所述第二組導電線劃分成多個群組,其中第一群組具有與第二群組不同數目的來自所述第二組的導電線;以及以所述第一群組的導電線上覆的所述第一組中的 導電線對第一光罩圖案化以及以所述第二群組的導電線上覆的所述第一組中的導電線對第二光罩及第三光罩。
100、500、700、900:佈局
110、510、710、910:基板
120、520、720、920:單元
130:導電層/第一導電層
130a、130b、130c、130d、130e、130f、130g、130h、130i:導電線/垂直導電線/通孔
140:導電層/第二導電層
140a、140b、140c、140d、140e、540b、540c、540d、540e、740b、740c、740d、740e、740f、940b、940c、940d、940e、940f、940g:導電線/水平導電線
150、550、750、950:邊界
160:群組/第一群組/區域
170:群組/第二群組/區域
180:線
200:系統/分解系統
210:模塊/輸入接收模塊
220:模塊/邊界定義模塊
230:模塊/計算模塊
240:模塊/劃分模塊
250:模塊/驗證模塊
260:模塊/指配模塊
270:圖案化模塊
280:蝕刻模塊
290:可執行指令
300、400、600、800、1000:方法
310、320、330、340、350、360、370、410、420、430、610、620、630、810、820、830、1010、1020、1030:操作
530a、530b、530c、530d、530e、530f、530g、530h、530i、530j、730a、730b、730c、730d、730e、730f、730g、730h、730i、730j、930a、930b、930c、930d、930e、930f、930g、930h、930i、930j、930k:垂直導電線
540a、540f、740a、740g、940a、940h:水平導電線
560、570、760、770、960、970:群組/區域
Hcell:高度/單元高度
Wcell:寬度/單元寬度
根據以下的詳細說明並配合所附圖式以了解本發明實施例。應注意的是,根據本產業的一般作業,各種特徵並未按照比例繪製。事實上,為了清楚說明,可能任意的放大或縮小元件的尺寸。
圖1是根據一些實施例的單元的示例性佈局的示意性俯視圖。
圖2是根據一些實施例的示例性分解系統的方塊圖。
圖3是對單元的佈局進行分解以進行三重圖案化微影(triple-patterning lithography)的示例性方法的流程圖。
圖4是根據一些實施例的製造半導體裝置的示例性方法的流程圖。
圖5是根據一些實施例的單元的另一示例性佈局的示意性俯視圖。
圖6是根據一些實施例的製造半導體裝置的另一示例性方法的流程圖。
圖7是根據一些實施例的單元的另一示例性佈局的示意性俯視圖。
圖8是根據一些實施例的製造半導體裝置的另一示例性方法 的流程圖。
圖9是根據一些實施例的單元的另一示例性佈局的示意性俯視圖。
圖10是根據一些實施例的製造半導體裝置的另一示例性方法的流程圖。
以下公開內容提供用於實作所提供主題的不同特徵的許多不同的實施例或實例。以下闡述元件及排列的具體實例以簡化本公開內容。當然,這些僅為實例且不旨在進行限制。例如,以下說明中將第一特徵形成在第二特徵“的上方”或第二特徵“上”可包括其中第一特徵及第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵、進而使得所述第一特徵與所述第二特徵可能不直接接觸的實施例。另外,本公開內容可能在各種實例中重複使用參考編號及/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“之下(beneath)”、“下面(below)”、“下部的(lower)”、“上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括裝置/元件在使用或操作中的不 同取向。裝置可具有其他取向(旋轉90度或處於其他取向)且本文中所用的空間相對性描述語可同樣相應地進行解釋。
在半導體裝置的單元(例如,單元120)的佈局(例如,圖1(俯視圖)中的佈局100)的設計中,在佈局100的各種位置處放置有單元120的垂直導電線(朝向頁內)(例如,垂直導電線130a至130i)。垂直導電線130a至130i充當通孔(vias),所述通孔將單元120耦合至電源供應線(例如,VDD供應線及VSS供應線)及/或對單元120的各組件(例如,電晶體)進行內部連接。這些耦合連接位於例如基板110、位於基板110頂上的第一導電層130(通孔130a至130i是由第一導電層130形成)、及位於第一導電層130上方的第二導電層140等膜層上的各結構。單元120被配置成執行單元功能。例如,單元可實作用以將信號從低反轉為高(或反之亦然)的反相器(inverter)。
在佈局100設計之後,執行微影製程以實現佈局100。存在兩種微影製程,即單次圖案化微影及多次圖案化微影。單次圖案化微影利用單個光罩將佈局的圖案轉移至光阻。與微影製程相關聯的最小節距規則(minimum pitch rule)要求垂直導電線(例如,垂直導電線130a至130i)等於或大於最小節距。在一個實例中,節距是相鄰的一對垂直導電線的中心之間的水平距離。在此種最小節距中,垂直導電線的圖案可通過單次圖案化微影而以足夠的清晰度轉移至光阻。小於最小節距則會使得光阻圖案的清晰 度開始模糊。例如,圖1包括遵從最小節距規則的兩條垂直導電線(例如,導電線130a、130e),即在圖1的繪示中,垂直導電線130a、垂直導電線130e之間的水平距離大於或等於最小節距。如此一來,單次圖案化微影可利用同一光罩將垂直導電線130a、130e的圖案轉移至光阻。
圖1所示實例進一步包括不遵從(即,違反)最小節距規則的兩條垂直導電線(例如,導電線130c、130d),且其不遵從最小節距規則是因為所述兩條垂直導電線以小於最小節距的節距進行排列,因此在所述兩條垂直導電線之間存在衝突邊緣(conflict edge)。衝突邊緣在本文中是由連接兩條彼此衝突(即,無法被指配給同一光罩)的垂直導電線的線(例如,線180)指出。如此一來,垂直導電線130c、130d的圖案不能夠通過單次圖案化微影來進行轉移。因此,垂直導電線130c、130d的佈局須進行分解以利用多次圖案化微影來形成。
多次圖案化微影可為雙重圖案化微影、三重圖案化微影、或更多重的微影(例如,n重圖案化微影)。在多次圖案化微影中,由衝突邊緣連接的(即,彼此衝突的)垂直導電線(例如,垂直導電線130c、130d)被指配給不同的光罩。例如,可使用雙重圖案化微影以分別利用第一光罩及第二光罩將垂直導電線130c、130d的圖案轉移至光阻。
儘管垂直導電線130c、130d可如上文所述使用雙重圖案 化微影來形成,然而垂直導電線130a、130b之間、垂直導電線130b、130c之間、及垂直導電線130a、130c之間將仍存在衝突,使得其無法包含在同一光罩上。因此,在圖1中,存在彼此衝突的三條垂直導電線(例如,導電線130a、130b、130c)。垂直導電線130a、130b、130c的佈局因此不能夠針對雙重圖案化微影進行分解。然而,此種佈局可針對三重圖案化微影進行分解。例如,三重圖案化微影可分別利用第一光罩、第二光罩、及第三光罩將垂直導電線130a、130b、130c的圖案轉移至光阻。
圖1所示實例進一步包括由五個衝突邊緣連接的四條垂直導電線(例如,導電線130d、130e、130f、130g)。與垂直導電線130a、130b、130c的佈局相同,垂直導電線130d、130e、130f、130g的佈局能夠針對三重圖案化微影進行分解。例如,三重圖案化微影可分別利用第一光罩將垂直導電線130d、130g的圖案、利用第二光罩及第三光罩來轉移垂直導電線130e、130f的圖案轉移至第一光阻。
本發明實施例提供對半導體裝置的單元(例如,單元120)的佈局(例如,圖1中的佈局100)進行分解以進行有限等級(limited-level)的圖案化微影(例如,三重圖案化微影)的各種示例性方法。半導體裝置(例如,應用專用積體電路(application specific integrated circuit,ASIC))包括具有單元高度(Hcell)及單元寬度(Wcell)的單元(例如,單元120)。單元120被配置成 執行例如將信號從低反轉為高(或反之亦然)的反閘(NOT gate)(或反相器)等單元功能。在某些實施例中,單元120包括及閘(AND gate)、反及閘(NAND gate)、或閘(OR gate)、反或閘(NOR gate)、互斥或閘(XOR gate)、反互斥或閘(XNOR gate)、另一邏輯門、或其組合。此種單元120可被稱作標准單元(standard cell)。在其他實施例中,單元120包括邏輯門以及例如電阻器、電容器、電感器、電晶體、二極管或類似物等被動/主動裝置。
示例性單元120包括基板110以及第一導電層130及第二導電層140。第一導電層130及第二導電層140用於將單元120耦合至電源供應線(例如,VDD供應線及VSS供應線),及/或對單元120的各組件(例如,電晶體)進行內部連接。第一導電層130位於基板110上方且包括多條垂直導電線130a至130i(即,通孔)。第二導電層140位於第一導電層130上方且包括多條水平導電線140a至140e。
對佈局100進行分解以進行三重圖案化微影的示例性方法包括將水平導電線140b至140d劃分成第一群組160及第二群組170。第一群組160包括一條水平導電線(例如,導電線140b)。第二群組170包括兩條水平導電線(例如,導電線140c、140d)。所述方法進一步包括將被第一群組160中的水平導電線(即,導電線140b)上覆(即,連接至所述水平導電線)的垂直導電線(即,導電線130a、130e)指配給第一光罩。如圖1中所示,垂直導電 線130a、130e遵從最小節距規則。如此一來,可利用第一光罩將垂直導電線130a、130e的圖案轉移至光阻。由於在第二群組170的垂直導電線130b至130d及130f至130i內存在衝突,因此可執行進一步的調整。
圖2是根據一些實施例的示例性分解系統200的方塊圖。系統200被配置成對半導體裝置的單元的佈局進行分解以進行三重圖案化微影以及對每一佈局製造所述半導體裝置。如圖2中所示,系統200包括輸入接收模塊210、邊界定義模塊220、計算模塊230、劃分模塊240、驗證模塊250、指配模塊260、圖案化模塊270、及蝕刻模塊280。
輸入接收模塊210被配置成接收代表半導體裝置的單元(例如,單元120)的佈局(例如,佈局100)的輸入。單元120包括第一導電層(例如,導電層130)及第二導電層(例如,導電層140),所述第一導電層包括多條垂直導電線(例如,導電線130a至130i),所述第二導電層包括多條水平導電線(例如,導電線140a至140e)。
邊界定義模塊220連接至輸入接收模塊210且被配置成定義包圍垂直導電線(例如,導電線130a至130i)的邊界(例如,邊界150)。
計算模塊230連接至邊界定義模塊220且被配置成執行模運算(modulo operation),所述模運算對表達式,n mod 3(n模 除3),進行評估,其中n是上覆在被邊界(例如,邊界150)包圍的垂直導電線(例如,導電線130a至130i)上(即,連接至垂直導電線)的水平導電線(例如,導電線140b至140d)的數目。
模運算獲得將一個數除以另一個數之後的餘數。例如,當n=3時,計算模塊230獲得0,當n=4時獲得1,當n=5時獲得2、等等以此類推。將在下文中闡述,將在將水平導電線劃分成多個群組期間使用通過計算模塊230獲得的數。
劃分模塊240連接至計算模塊230且被配置成將上覆在被邊界(例如,邊界150)包圍的垂直導電線(例如,導電線130a至130i)上的水平導電線(例如,導電線140b至140d)劃分成一個或多個第一群組(例如,群組160)及一個或多個第二群組(例如,群組170)。在此實施例中,每一第一群組具有一條水平導電線(例如,導電線140b)。每一第二群組具有兩條水平導電線(例如,導電線140c、140d)。
將在下文中闡述,被一個或多個第一群組(例如,群組160)中的水平導電線(例如,導電線140b)上覆的垂直導電線(例如,導電線130a、130e)將被指配給第一光罩。被一個或多個第二群組(例如,群組170)中的水平導電線(例如,導電線140c、140d)上覆的垂直導電線(例如,導電線130b至130d、130f至130i)將被指配給第二光罩及第三光罩。
劃分模塊240被進一步配置成將水平導電線劃分成使得 一個或多個第一群組及一個或多個第二群組從邊界(例如,邊界150)的頂部至底部交替排列。
劃分模塊240被進一步配置成當計算模塊230獲得為0或1的值時,從邊界(例如,邊界150)的頂部以第一群組(例如,群組160)開始劃分水平導電線。
劃分模塊240被進一步配置成當計算模塊230獲得為2的值時,從邊界(例如,邊界150)的頂部以第二群組(例如,群組170)開始劃分水平導電線。
驗證模塊250連接至劃分模塊240且被配置成驗證被一個或多個第一群組(例如,群組160)中的水平導電線(例如,導電線140b)上覆的垂直導電線(例如,導電線130a、130e)是否遵從最小節距規則。
驗證模塊250被進一步配置成驗證被一個或多個第二群組(例如,群組170)中的水平導電線(例如,導電線140c、140d)上覆的垂直導電線(例如,導電線130b至130d、130f至130i)是否能夠針對雙重圖案化微影進行分解。
指配模塊260連接至驗證模塊250且被配置成將被一個或多個第一群組(例如,群組160)中的水平導電線(例如,導電線140b)上覆的垂直導電線(例如,導電線130a、130e)指配給第一光罩。
指配模塊260被進一步配置成將被一個或多個第二群組 中的水平導電線上覆的垂直導電線指配給第二光罩及第三光罩。
圖案化模塊270連接至指配模塊260且被配置成以被指配給第一光罩的垂直導電線圖案化所述第一光罩以及將所述第一光罩的圖案轉移至第一光阻。
圖案化模塊270被進一步配置成以被指配給第二光罩的垂直導電線圖案化所述第二光罩以及將所述第二光罩的圖案轉移至第二光阻。
圖案化模塊270被進一步配置成以被指配給第三光罩的垂直導電線圖案化所述第三光罩以及將所述第三光罩的圖案轉移至第三光阻。
蝕刻模塊280連接至圖案化模塊270且被配置成使用第一光阻、第二光阻、及第三光阻作為罩幕蝕刻基板。
模塊210至260被實作成存儲在非暫時性電腦可讀存儲媒體中的一組可執行指令290。將下文中闡述,所述一組可執行指令當被計算裝置的處理器執行時會使得所述計算裝置對單元的佈局進行分解以進行三重圖案化微影。存儲媒體可通過計算裝置的匯流排結構(bus structure)或媒體界面及/或通過例如區域網(local area network,LAN)或廣域網(wide area network,WAN)等網絡而連接至所述計算裝置。存儲媒體的形式可為硬碟機(hard disk drive,HDD)(例如,內部硬碟機或外部硬碟機)、光碟(例如,唯獨光碟記憶體(CD-ROM)及數位影碟唯獨記憶體 (DVD-ROM))、記憶裝置(例如,通用串列匯流排(universal serial bus,USB)記憶裝置)、記憶卡、任何適合的存儲媒體、或其組合。
圖案化模塊270及蝕刻模塊280構成將在下文中闡述的製造半導體裝置的製作裝備。在此實施例中,所述製作裝備通過網絡(例如,區域網或廣域網)連接至計算裝置(即,圖案化模塊270連接至指配模塊260),圖案化模塊270經由所述網絡對指配模塊260的輸出進行存取。在一個替代性實施例中,製作裝備不連接至電子設計自動化(electronic design automation,EDA)電腦裝置。在此種替代性實施例中,指配模塊260的輸出可使用例如外部硬碟機、光碟、通用串列匯流排記憶裝置、記憶卡、任何適合的存儲媒體、或其組合等而提供至圖案化模塊270。
圖3是根據一些實施例的對單元的佈局100進行分解以進行三重圖案化微影的示例性方法300的流程圖。為易於理解,將進一步參照圖1及圖2來闡述方法300。應理解,方法300可應用於除圖1及圖2所示結構以外的結構。在操作310中,輸入接收模塊210接收代表佈局100的輸入。在操作320中,邊界定義模塊220定義包圍垂直導電線130a至130i的邊界150。
在操作330中,計算模塊230執行模運算,所述模運算對表達式,n mod 3,進行評估,其中n是上覆在被邊界150包圍的垂直導電線130a至130i上的水平導電線140b、140c、140d的數目。在此實施例中,由於n=3,因此計算模塊230獲得為0的 值。
在操作340中,劃分模塊240將上覆在被邊界150包圍的垂直導電線130a至130i上的水平導電線140b、140c、140d劃分成群組160、170。在此實施例中,由於在操作330中獲得為0的值,因此劃分模塊240會從邊界150的頂部開始劃分群組160。如圖1中所示,群組160具有一條水平導電線(即,導電線140b)。群組170具有兩條水平導電線(即,導電線140c、140d)。
流程進行至操作350。在操作350中,驗證模塊250驗證被群組160中的水平導電線140b上覆的垂直導電線130a、130e是否遵從最小節距規則。若驗證出垂直導電線130a、130e遵從最小節距規則,則流程進行至操作360。反之,則流程終止且須重新設計佈局100。
在操作360中,驗證模塊250驗證被群組170中的水平導電線140c、140d上覆的垂直導電線130b至130d、130f至130i的佈局是否能夠針對雙重圖案化微影進行分解。若驗證出垂直導電線130b至130d、130f至130i的佈局能夠針對雙重圖案化微影進行分解,則流程進行至操作370。反之,流程終止且須重新設計佈局100。
在操作370中,指配模塊260將被群組160中的水平導電線140b上覆的垂直導電線130a、130e指配給第一光罩。指配模塊260進一步將被群組170中的水平導電線140c上覆的垂直導 電線130b、130d、130g、130i指配給第二光罩。指配模塊260進一步將被群組170中的水平導電線140c、140d上覆的垂直導電線130c、130f、130h指配給第三光罩。
在針對三重圖案化微影對單元的佈局100進行分解之後,將單元的佈局100放置在半導體裝置的佈局中的一個位置處。接著重複進行操作310至370以將單元佈局填入半導體裝置佈局。此後,對半導體裝置佈局執行路由操作(routing operation)以將各單元連接至電源供應線且連接至其他單元,以及對各單元組件進行內部連接,從而使得半導體裝置佈局能夠執行電路功能(circuit function)。
圖4是說明根據一些實施例的製造半導體裝置的示例性方法400的流程圖。為易於理解,將進一步參照圖1及圖2來闡述方法400。應理解,方法400可應用於除圖1及圖2所示結構以外的結構。在操作410中,圖案化模塊270以垂直導電線130a、130e將基板110的區域160之上的第一光罩圖案化。在操作410之前,方法400進一步包括:接收基板110;在基板110之上沉積第一光阻;在第一光阻之上沉積第一光罩。在操作410之後,所述方法進一步包括:將第一光罩的圖案轉移至第一光阻;移除第一光罩;使用第一光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板110以形成介層窗孔(via holes);以及剝除第一光阻。
在操作420中,圖案化模塊270以垂直導電線130b、 130d、130g、130i將基板110的區域170之上的第二光罩圖案化。區域170所具有的尺寸為區域160的尺寸的兩倍。在操作420之前,方法400進一步包括:在基板110之上沉積第二光阻;在第二光阻之上沉積第二光罩。在操作420之後,方法400進一步包括:將第二光罩的圖案轉移至第二光阻;移除第二光罩;使用第二光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板110以形成介層窗孔;以及剝除第二光阻。
在操作430中,圖案化模塊270以垂直導電線130c、130f、130h將基板110的區域170之上的第三光罩圖案化。在操作430之前,方法400進一步包括:在基板110之上沉積第三光阻;在第三光阻之上沉積第三光罩。在操作430之後,方法400進一步包括:將第三光罩的圖案轉移至第三光阻;移除第三光罩;使用第三光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板110以形成介層窗孔;以及剝除第三光阻。
方法400進一步包括在介層窗孔中沉積金屬以形成垂直導電線130a至130i以及沉積金屬以在基板110的區域160之上形成一條水平導電線(即,導電線140b)且在基板110的區域170之上形成兩條水平導電線(即,導電線140c、140d)。
圖5是根據一些實施例的半導體裝置的單元的另一示例性佈局500的示意圖。半導體裝置包括基板510及單元520。如圖5中所示,單元520被邊界(由虛線表示)包圍、具有高度(Hcell) 及寬度(Wcell)、且包括第一導電層及第二導電層。
第一導電層配置在基板110上方且包括各自實質上延伸垂直於基板510的表面的垂直導電線530a至530j。第二導電層配置在第一導電層上方且包括排列成行且各自沿基板510的長度延伸的水平導電線540a至540f。
為易於理解,將進一步參照圖2及圖5來闡述方法300。應理解,方法300可應用於除圖2及圖5所示結構以外的結構。在操作310中,輸入接收模塊210接收代表單元的佈局500的輸入。在操作320中,邊界定義模塊220定義包圍垂直導電線530a至530j的邊界550。
在操作330中,計算模塊230執行模運算,所述模運算對表達式,n mod 3,進行評估,其中n是上覆在被邊界550包圍的垂直導電線530a至530j上的水平導電線540b至540e的數目。在此實施例中,由於n=4,因此計算模塊230獲得1。
在操作340中,劃分模塊240將上覆在被邊界550包圍的垂直導電線530a至530j上的水平導電線540b至540e劃分成群組560、570。在此實施例中,劃分模塊240將水平導電線540b至540e劃分成使得群組560、570從邊界550的頂部至底部交替排列。由於在操作330中獲得為1的值,因此劃分模塊240從邊界550的頂部以群組560開始劃分水平導電線540b至540e。如圖5中所示,每一群組560具有一條水平導電線(即,導電線 540b/540e)。群組570具有兩條水平導電線(即,導電線540c、540d)。
流程進行至操作350。在操作350中,驗證模塊250驗證被群組560中的水平導電線540b、540e上覆的垂直導電線530a、530b、530h、530i、530j是否遵從最小節距規則。若驗證出垂直導電線530a、530b、530h、530i、530j遵從最小節距規則,則流程進行至操作360。反之,流程終止且須重新設計佈局500。
在操作360中,驗證模塊250驗證被群組570中的水平導電線540c、540d上覆的垂直導電線530c、530d、530e、530f、530g的佈局是否能夠針對雙重圖案化微影進行分解。若驗證出垂直導電線530c、530d、530e、530f、530g的佈局能夠針對雙重圖案化微影進行分解,則流程進行至操作370。反之,流程終止且須重新設計佈局500。
在操作370中,指配模塊260將被群組560中的水平導電線540b、540e上覆的垂直導電線530a、530b、530h、530i、530j指配給第一光罩。指配模塊260進一步將被群組570中的水平導電線540c、540d上覆的垂直導電線530c、530e、530f指配給第二光罩。指配模塊260進一步將被群組570中的水平導電線540c、540d上覆的垂直導電線530d、530g指配給第三光罩。
在針對三重圖案化微影對單元的佈局500進行分解之後,將單元的佈局500放置在半導體裝置的佈局中的一個位置處。 接著重複進行操作310至370以將單元佈局填入半導體裝置佈局。此後,對半導體裝置佈局執行路由操作以將各單元連接至電源供應線且連接至其他單元,以及對各單元組件進行內部連接,從而使得半導體裝置佈局能夠執行電路功能。
圖6是說明根據一些實施例的製造半導體裝置的另一示例性方法600的流程圖。為易於理解,將進一步參照圖2及圖5來闡述方法600。應理解,方法600可應用於除圖2及圖5所示結構以外的結構。在操作610中,圖案化模塊270以垂直導電線530a、530b、530h、530i、530j將基板510的區域560之上的第一光罩圖案化。在操作610之前,方法600進一步包括:接收基板510;在基板510之上沉積第一光阻;在第一光阻之上沉積第一光罩。在操作610之後,方法600進一步包括將第一光罩的圖案轉移至第一光阻;移除第一光罩;使用第一光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板510以形成介層窗孔;以及剝除第一光阻。
在操作620中,圖案化模塊270以垂直導電線530c、530e、530f將基板510的區域570之上的第二光罩圖案化。每一區域560均具有第一尺寸。區域570位於區域560之間且具有為第一尺寸的兩倍的第二尺寸。在操作620之前,方法600進一步包括:在基板510之上沉積第二光阻;在第二光阻之上沉積第二光罩。在操作620之後,方法600進一步包括:將第二光罩的圖 案轉移至第二光阻;移除第二光罩;使用第二光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板510以形成介層窗孔;以及剝除第二光阻。
在操作630中,圖案化模塊270以垂直導電線530d、530g將基板510的區域570之上的第三光罩圖案化。在操作630之前,方法600進一步包括:在基板510之上沉積第三光阻;在第三光阻之上沉積第三光罩。在操作630之後,方法600進一步包括:將第三光罩的圖案轉移至第三光阻;移除第三光罩;使用第三光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板510以形成介層窗孔;以及剝除第三光阻。
方法600更包括:在介層窗孔中沉積金屬以形成垂直導電線530a至530j;以及在基板510的區域560之上沉積金屬以在基板510的區域560中的每一者之上形成一條水平導電線(即,導電線540d/540e)且在基板510的區域570之上形成兩條水平導電線(即,導電線540c、540d)。
圖7是根據一些實施例的半導體裝置的單元的另一示例性佈局700的示意圖。半導體裝置包括基板710及單元720。如圖7中所示,單元720被邊界(由虛線表示)包圍、具有高度(Hcell)及寬度(Wcell)且包括第一導電層及第二導電層。
第一導電層配置在基板710上方且包括各自實質上延伸垂直於基板710的表面的垂直導電線730a至730j。第二導電層配 置在第一導電層上方且包括排列成行且各自沿基板710的長度延伸的水平導電線740a至740g。
為易於理解,將進一步參照圖2及圖7來闡述方法300。應理解,方法300可應用於除圖2及圖7所示結構以外的結構。在操作310中,輸入接收模塊210接收代表佈局700的輸入。在操作320中,邊界定義模塊220定義包圍垂直導電線730a至730j的邊界750。
在操作330中,計算模塊230執行模運算,所述模運算對表達式,n mod 3,進行評估,其中n是上覆在被邊界750包圍的垂直導電線730a至730j上的水平導電線740b至740f的數目。在此實施例中,由於n=5,因此計算模塊230獲得2。
在操作340中,劃分模塊240將上覆在被邊界750包圍的垂直導電線730a至730j上的水平導電線740b至740f劃分成群組760、770。在此實施例中,劃分模塊240將水平導電線740b至740f劃分成使得群組760、770從邊界750的頂部至底部交替排列。由於在操作330中獲得為2的值,因此劃分模塊240從邊界750的頂部以群組770開始劃分水平導電線740b至740f。如圖7中所示,群組760具有一條水平導電線(即,導電線740d)。每一群組770具有兩條水平導電線(即,導電線740b/740e、740c/740f)。
流程進行至操作350。在操作350中,驗證模塊250驗證 被群組760中的水平導電線740d上覆的垂直導電線730e、730f是否遵從最小節距規則。若驗證出垂直導電線730e、730f遵從最小節距規則,則流程進行至操作360。反之,流程終止且須重新設計佈局700。
在操作360中,驗證模塊250驗證被群組570中的水平導電線740b、740c、740e、740f上覆的垂直導電線730a、730b、730c、730d、730g、730h、730i、730j的佈局是否能夠針對雙重圖案化微影進行分解。若驗證出垂直導電線730a、730b、730c、730d、730g、730h、730i、730j的佈局能夠針對雙重圖案化微影進行分解,則流程進行至操作370。反之,流程終止且須重新設計佈局700。
在操作370中,指配模塊260將被群組760中的水平導電線740d上覆的垂直導電線730e、730f指配給第一光罩。指配模塊260進一步將被群組770中的水平導電線740b、740c、740e、740f上覆的垂直導電線730a、730c、730h、730j指配給第二光罩。指配模塊260進一步將被群組770中的水平導電線740c、740e上覆的垂直導電線730b、730d、730g、730i指配給第三光罩。
在針對三重圖案化微影對單元的佈局700進行分解之後,將單元的佈局700放置在半導體裝置的佈局中的一個位置處。接著重複進行操作310至370以將單元佈局填入半導體裝置佈局。此後,對半導體裝置佈局執行路由操作以將各單元連接至電 源供應線且連接至其他單元,以及對各單元組件進行內部連接,從而使得半導體裝置佈局能夠執行電路功能。
圖8是根據一些實施例的製造半導體裝置的示例性方法800的流程圖。為易於理解,將進一步參照圖2及圖7來闡述方法800。應理解,方法800可應用於除圖2及圖7所示結構以外的結構。在操作810中,圖案化模塊270以垂直導電線730e、730f將基板710的區域760之上的第一光罩圖案化。在操作810之前,方法800進一步包括:接收基板710;在基板710之上沉積第一光阻;在第一光阻之上沉積第一光罩。在操作810之後,方法800進一步包括:將第一光罩的圖案轉移至第一光阻;移除第一光罩;使用第一光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板710以形成介層窗孔;以及剝除第一光阻。
在操作820中,圖案化模塊270以垂直導電線730a、730c、730h、730j將基板710的區域770之上的第二光罩圖案化。區域760位於區域770之間且具有第一尺寸。每一區域770均具有為第一尺寸的兩倍的第二尺寸。在操作820之前,方法800進一步包括:在基板710之上沉積第二光阻;在第二光阻之上沉積第二光罩。在操作820之後,方法800進一步包括:將第二光罩的圖案轉移至第二光阻;移除第二光罩;使用第二光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板710以形成介層窗孔;以及剝除第二光阻。
在操作830中,圖案化模塊270以垂直導電線730b、730d、730g、730i將基板710的區域770之上的第三光罩圖案化。在操作830之前,方法800進一步包括:在基板710之上沉積第三光阻;在第三光阻之上沉積第三光罩。在操作830之後,方法800進一步包括:將第三光罩的圖案轉移至第三光阻;移除第三光罩;使用第三光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板710以形成介層窗孔;以及剝除第三光阻。
方法800進一步包括:在介層窗孔中沉積金屬以形成垂直導電線730a至730j;以及在基板710的區域760之上沉積金屬以在所述基板的區域760之上形成一條水平導電線(即,導電線740d)且在基板710的區域770中的每一者之上形成兩條水平導電線(即,導電線740b/740e、740c/740f)。
圖9是根據一些實施例的半導體裝置的單元的另一示例性佈局900的示意圖。半導體裝置包括基板910及單元920。如圖9中所示,單元920被邊界(由虛線表示)包圍、具有高度(Hcell)及寬度(Wcell)、且包括第一導電層及第二導電層。
第一導電層配置在基板910上方且包括各自實質上延伸垂直於基板910的表面的垂直導電線930a至930k。第二導電層配置在第一導電層之上且包括排列成行且各自沿基板910的長度延伸的水平導電線940a至940h。
為易於理解,將進一步參照圖2及圖9來闡述方法300。 應理解,方法300可應用於除圖2及圖9所示結構以外的結構。在操作310中,輸入接收模塊210接收代表佈局900的輸入。在操作320中,邊界定義模塊220定義包圍垂直導電線930a至930k的邊界950。
在操作330中,計算模塊230執行模運算,所述模運算對表達式,n mod 3,進行評估,其中n是上覆在被邊界950包圍的垂直導電線930a至930k上的水平導電線940b至940g的數目。在此實施例中,由於n=6,因此計算模塊230獲得0。
在操作340中,劃分模塊240將上覆在被邊界950包圍的垂直導電線930a至930k上的水平導電線940b至940g劃分成群組960、970。在此實施例中,劃分模塊240將水平導電線940b至940g劃分成使得群組960、970從邊界950的頂部至底部交替排列。由於在操作330中獲得為0的值,因此劃分模塊240從邊界950的頂部以第一群組960開始劃分水平導電線940b至940g。如圖9中所示,每一群組960具有一條水平導電線(即,導電線940b/940e)。每一群組970具有兩條水平導電線(即,導電線940c/940f、940d/940g)。
流程進行至操作350。在操作350中,驗證模塊250驗證被群組960中的水平導電線940b、940e上覆的垂直導電線930a、930b、930g是否遵從最小節距規則。若驗證出垂直導電線930a、930b、930g遵從最小節距規則,則流程進行至操作360。反之, 流程終止且須重新設計佈局900。
在操作360中,驗證模塊250驗證被群組970中的水平導電線940c、940d、940f、940g上覆的垂直導電線930c、930d、930e、930f、930h、930i、930j、930k的佈局是否能夠針對雙重圖案化微影進行分解。若驗證出垂直導電線930c、930d、930e、930f、930h、930i、930j、930k的佈局能夠針對雙重圖案化微影進行分解,則流程進行至操作370。反之,流程終止且須重新設計佈局900。
在操作370中,指配模塊260將被群組960中的水平導電線940b、940e上覆的垂直導電線930a、930b、930g指配給第一光罩。指配模塊260進一步將被群組970中的水平導電線940c、940f上覆的垂直導電線930c、930e、930h、930j指配給第二光罩。指配模塊260進一步將被群組970中的水平導電線940c、940d、940f、940g上覆的垂直導電線930d、930f、930i、930k指配給第三光罩。
在針對三重圖案化微影對單元的佈局900進行分解之後,將單元的佈局900放置在半導體裝置的佈局中的一個位置處。接著重複進行操作310至370以將單元佈局填入半導體裝置佈局。此後,對半導體裝置佈局執行路由操作以將各單元連接至電源供應線且連接至其他單元,以及對各單元組件進行內部連接,從而使得半導體裝置佈局能夠執行電路功能。
圖10是根據一些實施例的製造半導體裝置的示例性方法1000的流程圖。為易於理解,將進一步參照圖2及圖9來闡述方法1000。應理解,方法1000可應用於除圖2及圖9所示結構以外的結構。在操作1010中,圖案化模塊270以垂直導電線930a、930b、930g將基板910的區域960之上的第一光罩圖案化。在操作1010之前,方法1000進一步包括:接收基板910;在基板910之上沉積第一光阻;在第一光阻之上沉積第一光罩。在操作1010之後,方法1000進一步包括:將第一光罩的圖案轉移至第一光阻;移除第一光罩;使用第一光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板910以形成介層窗孔;以及剝除第一光阻。
在操作1020中,圖案化模塊270以垂直導電線930c、930e、930h、930j將基板910的區域970之上的第二光罩圖案化。區域960、970交替排列。每一區域960均具有第一尺寸。每一區域970均具有為第一尺寸的兩倍的第二尺寸。在操作1020之前,方法1000進一步包括:在基板910之上沉積第二光阻;在第二光阻之上沉積第二光罩。在操作1020之後,方法1000進一步包括:將第二光罩的圖案轉移至第二光阻;移除第二光罩;使用第二光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板910以形成介層窗孔;以及剝除第二光阻。
在操作1030中,圖案化模塊270以垂直導電線930d、930f、930i、930k將基板910的區域970之上的第三光罩圖案化。 在操作1030之前,方法1000進一步包括:在基板910之上沉積第三光阻;在第三光阻之上沉積第三光罩。在操作1030之後,方法1000進一步包括:將第三光罩的圖案轉移至第三光阻;移除第三光罩;使用第三光阻作為罩幕例如通過蝕刻模塊280來蝕刻基板910以形成介層窗孔;以及剝除第三光阻。
方法1000進一步包括:在介層窗孔中沉積金屬以形成垂直導電線930a至930k;以及在基板910的區域960之上沉積金屬以在基板910的區域960中的每一者之上形成一條水平導電線(即,導電線940b/940e)且在基板910的區域970中的每一者之上形成兩條水平導電線(即,導電線940c/940f、940d/940g)。
在一些實施方式中,一種對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的方法包括:接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;將所述第二組導電線劃分成多個群組,其中第一群組具有與第二群組不同數目的來自所述第二組的導電線;將被所述第一群組的導電線上覆的所述第一組中的導電線指配給第一光罩;以及將被所述第二群組的導電線上覆的所述第一組中的導電線指配給第二光罩及第三光罩。
在一些實施方式中,所述的方法進一步包括執行模運算,所述模運算評估表達式,n mod 3,其中n是所述第二組中的 導電線的數目。在一些實施方式中,所述的方法進一步包括定義包圍所述第一組導電線的邊界,其中當執行所述模運算獲得為0或1的值時,對所述第二組導電線的劃分會從所述邊界的頂部以第一群組開始劃分所述第二組導電線。在一些實施方式中,所述的方法進一步包括定義包圍所述第一組導電線的邊界,其中當執行所述模運算獲得為2的值時,對所述第二組導電線的劃分是從所述邊界的頂部以第二群組開始劃分所述第二組導電線。在一些實施方式中,所述的方法進一步包括驗證被所述第一群組的導電線上覆的所述第一組中的導電線的圖案是否能夠利用單個光罩轉移至光阻。在一些實施方式中,所述的方法進一步包括驗證被所述第二群組的導電線上覆的所述第一組中的導電線的佈局是否能夠針對雙重圖案化微影進行分解。在一些實施方式中,所述的方法進一步包括在所述半導體裝置的形成中,使用所述第一光罩、所述第二光罩及所述第三光罩。在一些實施方式中,所述的方法進一步包括驗證被第三群組的導電線上覆的所述第二組中的導電線的佈局是否能夠針對三重圖案化微影進行分解。在一些實施方式中,所述的方法進一步包括轉移所述第一光罩至所述光阻。在一些實施方式中,所述的方法進一步包括轉移所述第二光罩至所述光阻。在一些實施方式中,所述的方法進一步包括轉移所述第三光罩至所述光阻。在一些實施方式中,所述的方法進一步包括在所述半導體裝置的形成中,使用所述光阻。
在一些實施方式中,一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的系統包括輸入接收模塊、劃分模塊以及圖案化模塊。所述輸入接收模塊被配置成接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆。所述劃分模塊耦合至所述輸入接收模塊且被配置成將所述第二組導電線劃分成多個群組,第一群組具有與第二群組不同數目的來自所述第二組的導電線。所述圖案化模塊耦合至所述劃分模塊且被配置成以被所述第一群組的導電線上覆的所述第一組中的導電線將第一光罩圖案化、以及以被所述第二群組的導電線上覆的所述第一組中的導電線將第二光罩及第三光罩圖案化。
在一些實施方式中,所述的系統進一步包括指配模塊,所述指配模塊耦合在所述劃分模塊與所述圖案化模塊之間且被配置成將被所述第一群組的導電線上覆的所述第一組中的導電線指配給所述第一光罩。在一些實施方式中,所述的系統進一步包括指配模塊,所述指配模塊耦合在所述劃分模塊與所述圖案化模塊之間且被配置成將被所述第二群組的導電線上覆的所述第一組中的導電線指配給第二光罩及第三光罩。在一些實施方式中,所述的系統進一步包括計算模塊,所述計算模塊耦合在所述輸入接收模塊與所述劃分模塊之間且被配置成執行模運算,所述模運算評 估表達式,n mod 3,其中n是所述第二組中的導電線的數目。在一些實施方式中,所述的系統進一步包括邊界定義模塊,所述邊界定義模塊耦合在所述輸入接收模塊與所述計算模塊之間且被配置成定義包圍所述第一組導電線的邊界,其中當所述計算模塊獲得為0或1的值時,所述劃分模塊會從所述邊界的頂部以第一群組開始劃分所述第二組導電線。在一些實施方式中,所述的系統進一步包括邊界定義模塊,所述邊界定義模塊耦合在所述輸入接收模塊與所述計算模塊之間且被配置成定義包圍所述第一組導電線的邊界,其中當所述計算模塊獲得為2的值時,所述劃分模塊是從所述邊界的頂部以第二群組開始劃分所述第二組導電線。在一些實施方式中,所述的系統進一步包括驗證模塊,所述驗證模塊耦合在所述劃分模塊與所述圖案化模塊之間且被配置成驗證被所述第一群組的導電線上覆的所述第一組中的導電線的圖案是否能夠利用單個光罩轉移至光阻。在一些實施方式中,所述的系統進一步包括驗證模塊,所述驗證模塊耦合在所述劃分模塊與所述圖案化模塊之間且被配置成驗證被所述第二群組的導電線上覆的所述第一組中的導電線的佈局是否能夠針對雙重圖案化微影進行分解。在一些實施方式中,所述圖案化模塊進一步被配置成將所述第一光罩的圖案、所述第二光罩的圖案及所述第三光罩的圖案分別轉移至第一光阻、第二光阻及第三光阻,所述系統進一步包括蝕刻模塊,所述蝕刻模塊耦合至所述圖案化模塊且被配置成使 用所述第一光阻、所述第二光阻及所述第三光阻作為罩幕來蝕刻基板以形成介層窗孔。
在一些實施方式中,所述的系統進一步包括指配模組,所述指配模組耦合在所述劃分模組與所述圖案化模組之間且被配置成將被所述第一群組的導電線上覆的所述第一組中的導電線指配給所述第一光罩。在一些實施方式中,所述的系統進一步包括第二指配模組,所述第二指配模組耦合在所述劃分模組與所述圖案化模組之間且被配置成將被所述第二群組的導電線上覆的所述第一組中的導電線指配給第二光罩及第三光罩。在一些實施方式中,所述的系統進一步包括計算模組,所述計算模組耦合在所述輸入接收模組與所述劃分模組之間且被配置成執行模運算,所述模運算評估運算式,n mod 3,其中n是所述第二組中的導電線的數目。在一些實施方式中,所述的系統進一步包括邊界定義模組,所述邊界定義模組耦合在所述輸入接收模組與所述計算模組之間且被配置成定義包圍所述第一組導電線的邊界,其中當所述計算模組獲得為0或1的值時,所述劃分模組會從所述邊界的頂部以第一群組開始劃分所述第二組導電線。在一些實施方式中,所述的系統進一步包括邊界定義模組,所述邊界定義模組耦合在所述輸入接收模組與所述計算模組之間且被配置成定義包圍所述第一組導電線的邊界,其中當所述計算模組獲得為2的值時,所述劃分模組是從所述邊界的頂部以第二群組開始劃分所述第二組導電 線。在一些實施方式中,所述的系統進一步包括邊界定義模組,所述邊界定義模組耦合在所述輸入接收模組與所述計算模組之間且被配置成定義包圍所述第一組導電線的邊界。在一些實施方式中,在所述的系統中,當所述計算模組獲得為0或1的值時,所述劃分模組會從所述邊界的頂部以第一群組開始劃分所述第二組導電線。在一些實施方式中,所述的系統進一步包括第二邊界定義模組,所述第二邊界定義模組耦合在所述輸入接收模組與所述計算模組之間且被配置成定義包圍所述第一組導電線的邊界。在一些實施方式中,在所述的系統中,當所述計算模組獲得為2的值時,所述劃分模組是從所述邊界的頂部以第二群組開始劃分所述第二組導電線。在一些實施方式中,所述的系統進一步包括驗證模組,所述驗證模組耦合在所述劃分模組與所述圖案化模組之間且被配置成驗證被所述第一群組的導電線上覆的所述第一組中的導電線的圖案是否能夠利用單個光罩轉移至光阻。在一些實施方式中,所述的系統進一步包括第二驗證模組,所述第二驗證模組耦合在所述劃分模組與所述圖案化模組之間且被配置成驗證被所述第二群組的導電線上覆的所述第一組中的導電線的佈局是否能夠針對雙重圖案化微影進行分解。在一些實施方式中,所述的系統所述圖案化模組進一步被配置成將所述第一光罩的圖案、所述第二光罩的圖案及所述第三光罩的圖案分別轉移至第一光阻、第二光阻及第三光阻,所述系統進一步包括蝕刻模組,所述蝕刻 模組耦合至所述圖案化模組且被配置成使用所述第一光阻、所述第二光阻及所述第三光阻作為掩模來蝕刻基底以形成介層孔。在一些實施方式中,在所述的系統中,所述圖案化模組進一步被配置成將所述第一光罩的圖案、所述第二光罩的圖案及所述第三光罩的圖案分別轉移至第一光阻、第二光阻及第三光阻。在一些實施方式中,所述的系統進一步包括:蝕刻模組,所述蝕刻模組耦合至所述圖案化模組且被配置成使用所述第一光阻、所述第二光阻及所述第三光阻作為掩模來蝕刻基底以形成介層窗孔。
在一些實施方式中,一種製造半導體裝置的方法包括以單元的第一導電線的佈局將基板的第一區域之上的第一光罩圖案化;以所述單元的第二導電線的佈局將所述基板的第二區域之上的第二光罩圖案化,其中所述基板的所述第二區域所具有的尺寸實質上為所述基板的所述第一區域的尺寸的兩倍;以及以所述單元的第三導電線的佈局將所述基板的所述第二區域之上的第三光罩圖案化。
在一些實施方式中,所述的方法進一步包括將所述第一光罩的圖案、所述第二光罩的圖案及所述第三光罩的圖案分別轉移至第一光阻、第二光阻及第三光阻。在一些實施方式中,所述的方法進一步包括使用所述第一光阻、所述第二光阻及所述第三光阻作為罩幕來蝕刻所述基板以形成介層窗孔。在一些實施方式中,所述的方法進一步包括在所述介層窗孔中沉積金屬以形成所 述第一導電線、所述第二導電線及所述第三導電線。在一些實施方式中,所述的方法進一步包括在所述基板之上沉積金屬以在所述基板的所述第一區域之上形成第一群組的導電線以及在所述基板的所述第二區域之上形成第二群組的導電線,其中所述第一群組具有與所述第二群組不同的導電線數目。
本發明實施例提供一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的系統。所述系統包括:處理器;非暫時性電腦可讀存儲媒體;以及一組可執行指令,存儲於所述非暫時性電腦可讀存儲媒體且可由所述處理器執行,經執行的所述一組可執行指令被配置成:接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;將所述第二組導電線劃分成多個群組,其中第一群組具有與第二群組不同數目的來自所述第二組的導電線;將被所述第一群組的導電線上覆的所述第一組中的導電線指配給第一光罩;以及將被所述第二群組的導電線上覆的所述第一組中的導電線指配給第二光罩及第三光罩。
在一些實施方式中,在所述的系統中,所述一組可執行指令進一步被配置成執行模運算,所述模運算評估運算式,n mod 3,其中n是所述第二組中的導電線的數目。在一些實施方式中,在所述的系統中,所述一組可執行指令進一步被配置成定義包圍 所述第一組導電線的邊界,其中當執行所述模運算獲得為0或1的值時,對所述第二組導電線的劃分會從所述邊界的頂部以所述第一群組開始劃分所述第二組導電線。在一些實施方式中,在所述的系統中,所述一組可執行指令進一步被配置成定義包圍所述第一組導電線的邊界,其中當執行所述模運算獲得為2的值時,對所述第二組導電線的劃分是從所述邊界的頂部以所述第二群組開始劃分所述第二組導電線。在一些實施方式中,在所述的系統中,所述一組可執行指令進一步被配置成驗證被所述第一群組的導電線上覆的所述第一組中的導電線的圖案是否能夠利用單個光罩轉移至光阻。在一些實施方式中,在所述的系統中,所述一組可執行指令進一步被配置成驗證被所述第二群組的導電線上覆的所述第一組中的導電線的佈局是否能夠針對雙重圖案化微影進行分解。在一些實施方式中,在所述的系統中,所述一組可執行指令包括一或多個劃分模組、圖案化模組以及指配模組。在一些實施方式中,在所述的系統中,所述一組可執行指令包括一或多個計算模組、邊界定義模組以及驗證模組。
本發明實施例提供一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的系統。所述系統包括:處理器;非暫時性電腦可讀存儲媒體;以及一組可執行指令,存儲於所述非暫時性電腦可讀存儲媒體且可由所述處理器執行,經執行的所述一組可執行指令被配置成:接收 代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;將所述第二組導電線劃分成多個群組,其中第一群組具有與第二群組不同數目的來自所述第二組的導電線;以及以所述第一群組的導電線上覆的所述第一組中的導電線對第一光罩圖案化以及以所述第二群組的導電線上覆的所述第一組中的導電線對第二光罩及第三光罩。
在一些實施方式中,在所述的系統中,所述一組可執行指令進一步被配置成將被所述第一群組的導電線上覆的所述第一組中的導電線指配給第一光罩。在一些實施方式中,在所述的系統中,所述一組可執行指令進一步被配置成將被所述第二群組的導電線上覆的所述第一組中的導電線指配給第二光罩及第三光罩。在一些實施方式中,在所述的系統中,所述一組可執行指令進一步被配置成執行模運算,所述模運算評估運算式,n mod 3,其中n是所述第二組中的導電線的數目。在一些實施方式中,在所述的系統中,所述一組可執行指令進一步被配置成定義包圍所述第一組導電線的邊界。在一些實施方式中,本發明實施例提供一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的方法。所述方法包括:接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述 導電線中的第二組導電線上覆;將所述第二組導電線劃分成多個群組,其中第一群組具有與第二群組不同數目的來自所述第二組的導電線;以及以所述第一群組的導電線上覆的所述第一組中的導電線對第一光罩圖案化以及以所述第二群組的導電線上覆的所述第一組中的導電線對第二光罩及第三光罩。
在一些實施方式中,所述的方法,進一步包括將被所述第一群組的導電線上覆的所述第一組中的導電線指配給第一光罩。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本發明實施例的各個方面。所屬領域中的技術人員應知,其可容易地使用本發明實施例作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本發明實施例的精神及範圍,而且他們可在不背離本發明實施例的精神及範圍的條件下對其作出各種改變、代替、及變更。
100:佈局
110:基板
120:單元
130:導電層/第一導電層
130a、130b、130c、130d、130e、130f、130g、130h、130i:導電線/垂直導電線/通孔
140:導電層/第二導電層
140a、140b、140c、140d、140e:導電線/水平導電線
150:邊界
160:群組/第一群組/區域
170:群組/第二群組/區域
180:線
Hcell:高度/單元高度
Wcell:寬度/單元寬度

Claims (10)

  1. 一種對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的方法,包括:接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;將所述第二組導電線劃分成多個群組,其中第一群組具有與第二群組不同數目的來自所述第二組的導電線;將被所述第一群組的導電線上覆的所述第一組中的導電線指配給第一光罩;以及將被所述第二群組的導電線上覆的所述第一組中的導電線指配給第二光罩及第三光罩。
  2. 如申請專利範圍第1項所述的方法,更包括執行模運算,所述模運算評估運算式,n mod 3,其中n是所述第二組中的導電線的數目。
  3. 如申請專利範圍第2項所述的方法,更包括定義包圍所述第一組導電線的邊界,其中當執行所述模運算獲得為0或1的值時,對所述第二組導電線的劃分會從所述邊界的頂部以所述第一群組開始劃分所述第二組導電線。
  4. 如申請專利範圍第1項所述的方法,更包括定義包圍所述第一組導電線的邊界,其中當執行所述模運算獲得為2的值時, 對所述第二組導電線的劃分是從所述邊界的頂部以所述第二群組開始劃分所述第二組導電線。
  5. 一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的系統,包括:輸入接收模組,被配置成接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;劃分模組,耦合至所述輸入接收模組且被配置成將所述第二組導電線劃分成多個群組,第一群組具有與第二群組不同數目的來自所述第二組的導電線;以及圖案化模組,耦合至所述劃分模組且被配置成以被所述第一群組的導電線上覆的所述第一組中的導電線將第一光罩圖案化、以及以被所述第二群組的導電線上覆的所述第一組中的導電線將第二光罩及第三光罩圖案化。
  6. 一種製造半導體裝置的方法,包括:以單元的第一導電線的佈局將基底的第一區域之上的第一光罩圖案化;以所述單元的第二導電線的佈局將所述基底的第二區域之上的第二光罩圖案化,其中所述基底的所述第二區域所具有的尺寸實質上為所述基底的所述第一區域的尺寸的兩倍;以及以所述單元的第三導電線的佈局將所述基底的所述第二區域之上的第三光罩圖案化。
  7. 如申請專利範圍第6項所述的方法,更包括將所述第一光罩的圖案、所述第二光罩的圖案及所述第三光罩的圖案分別轉移至第一光阻、第二光阻及第三光阻。
  8. 一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的系統,包括:處理器;非暫時性電腦可讀存儲媒體;以及一組可執行指令,存儲於所述非暫時性電腦可讀存儲媒體且可由所述處理器執行,經執行的所述一組可執行指令被配置成:接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;將所述第二組導電線劃分成多個群組,其中第一群組具有與第二群組不同數目的來自所述第二組的導電線;將被所述第一群組的導電線上覆的所述第一組中的導電線指配給第一光罩;以及將被所述第二群組的導電線上覆的所述第一組中的導電線指配給第二光罩及第三光罩。
  9. 一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的系統,包括:處理器;非暫時性電腦可讀存儲媒體;以及 一組可執行指令,存儲於所述非暫時性電腦可讀存儲媒體且可由所述處理器執行,經執行的所述一組可執行指令被配置成:接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;將所述第二組導電線劃分成多個群組,其中第一群組具有與第二群組不同數目的來自所述第二組的導電線;以及以所述第一群組的導電線上覆的所述第一組中的導電線對第一光罩圖案化以及以所述第二群組的導電線上覆的所述第一組中的導電線對第二光罩及第三光罩。
  10. 一種利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的方法,包括:接收代表半導體裝置的佈局的輸入,所述佈局包括單元的多條導電線,所述導電線中的第一組導電線被所述導電線中的第二組導電線上覆;將所述第二組導電線劃分成多個群組,其中第一群組具有與第二群組不同數目的來自所述第二組的導電線;以及以所述第一群組的導電線上覆的所述第一組中的導電線對第一光罩圖案化以及以所述第二群組的導電線上覆的所述第一組中的導電線對第二光罩及第三光罩。
TW106114591A 2016-11-29 2017-05-03 利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的方法及系統及製造半導體裝置的方法 TWI703616B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427177P 2016-11-29 2016-11-29
US62/427,177 2016-11-29
US15/456,883 US10275562B2 (en) 2016-11-29 2017-03-13 Method of decomposing a layout for multiple-patterning lithography
US15/456,883 2017-03-13

Publications (2)

Publication Number Publication Date
TW201820400A TW201820400A (zh) 2018-06-01
TWI703616B true TWI703616B (zh) 2020-09-01

Family

ID=62190235

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106114591A TWI703616B (zh) 2016-11-29 2017-05-03 利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的方法及系統及製造半導體裝置的方法

Country Status (3)

Country Link
US (3) US10275562B2 (zh)
CN (1) CN108121168B (zh)
TW (1) TWI703616B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10275562B2 (en) * 2016-11-29 2019-04-30 Taiwan Semiconductor Manufacturing Company Limited Method of decomposing a layout for multiple-patterning lithography

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130126978A1 (en) * 2006-03-09 2013-05-23 Scott T. Becker Circuits with linear finfet structures
US20150243515A1 (en) * 2014-02-21 2015-08-27 Globalfoundries Inc. Methods of patterning line-type features using a multiple patterning process that enables the use of tighter contact enclosure spacing rules

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5563012A (en) * 1994-06-30 1996-10-08 International Business Machines Corporation Multi mask method for selective mask feature enhancement
US6225013B1 (en) * 1999-05-20 2001-05-01 Tower Semiconductor Ltd. Stitching design rules for forming interconnect layers
US6194105B1 (en) * 1999-05-20 2001-02-27 Tower Semiconductor Ltd. Method of forming reticle from larger size reticle information
TW495836B (en) * 2000-02-02 2002-07-21 Nikon Corp Scanning exposure method and device
US6760638B1 (en) * 2000-05-16 2004-07-06 Esko Graphics, Nv Method and apparatus for resolving overlaps in a layout containing possibly overlapping designs
KR100576832B1 (ko) * 2004-11-05 2006-05-10 삼성전자주식회사 비대칭 패턴들을 위한 포토 공정의 수행방법들 및 그를이용한 반도체 장치의 형성방법들
KR101085136B1 (ko) * 2004-12-04 2011-11-18 엘지디스플레이 주식회사 수평 전계 박막 트랜지스터 기판 및 그 제조 방법
KR101167304B1 (ko) * 2004-12-31 2012-07-19 엘지디스플레이 주식회사 프린지 필드 스위칭 타입의 박막 트랜지스터 기판 및 그제조 방법
KR20060079040A (ko) * 2004-12-31 2006-07-05 엘지.필립스 엘시디 주식회사 프린지 필드 스위칭 타입의 박막 트랜지스터 기판 및 그제조 방법
KR101159388B1 (ko) * 2005-12-27 2012-06-28 엘지디스플레이 주식회사 액정표시소자와 그 제조 방법
CN101520599A (zh) * 2008-02-26 2009-09-02 上海天马微电子有限公司 掩模及其设计方法、和使用该掩模制造阵列基板的方法
JP5294489B2 (ja) * 2009-12-14 2013-09-18 株式会社ブイ・テクノロジー 露光方法及び露光装置
CN102799061B (zh) * 2011-05-27 2016-08-17 联华电子股份有限公司 双重曝光制作工艺的光掩模组及其形成方法
CN103728828B (zh) * 2012-10-15 2017-05-24 旺宏电子股份有限公司 具有光学隔离的通道孔与邻近修正特征的掩模及制造方法
US9405879B2 (en) * 2014-04-01 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundary layout
US9773076B2 (en) * 2014-05-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive lines in circuits
US9767243B2 (en) * 2014-05-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of layout design for integrated circuits
US9502283B2 (en) * 2015-02-20 2016-11-22 Qualcomm Incorporated Electron-beam (E-beam) based semiconductor device features
JP6615001B2 (ja) * 2016-02-24 2019-12-04 株式会社ジャパンディスプレイ 表示装置およびその製造方法
US10275562B2 (en) * 2016-11-29 2019-04-30 Taiwan Semiconductor Manufacturing Company Limited Method of decomposing a layout for multiple-patterning lithography
US10360337B2 (en) * 2017-11-22 2019-07-23 Taiwan Semiconductor Manufacturing Company Ltd. Method of forming conductive grid of integrated circuit

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130126978A1 (en) * 2006-03-09 2013-05-23 Scott T. Becker Circuits with linear finfet structures
US20150243515A1 (en) * 2014-02-21 2015-08-27 Globalfoundries Inc. Methods of patterning line-type features using a multiple patterning process that enables the use of tighter contact enclosure spacing rules

Also Published As

Publication number Publication date
US10977420B2 (en) 2021-04-13
TW201820400A (zh) 2018-06-01
CN108121168A (zh) 2018-06-05
US20200125787A1 (en) 2020-04-23
CN108121168B (zh) 2021-11-02
US10275562B2 (en) 2019-04-30
US20180150590A1 (en) 2018-05-31
US20190251228A1 (en) 2019-08-15
US10515186B2 (en) 2019-12-24

Similar Documents

Publication Publication Date Title
US20150234974A1 (en) Multiple patterning design with reduced complexity
US8211807B2 (en) Double patterning technology using single-patterning-spacer-technique
JP4880151B2 (ja) 集積回路に相互接続ラインを形成する方法と装置
US8423923B2 (en) Optical proximity correction method
JP2001267321A (ja) 半導体装置およびその製造方法ならびにマスクデータの生成方法、マスクおよびコンピュータ読み取り可能な記録媒体
JP2007150166A (ja) 半導体装置の製造方法
TW201633189A (zh) 提供積體電路佈局資料之方法、系統與電腦程式產品
TW202013657A (zh) 半導體單元結構
TWI703616B (zh) 利用對佈局進行分解以進行用以轉移光罩圖案至光阻的多次圖案化微影來形成半導體裝置的方法及系統及製造半導體裝置的方法
TWI613757B (zh) 形成用於電路之複數個導線之方法
US9530731B2 (en) Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
JP2007311501A (ja) 半導体装置及びその設計方法
CN105404706A (zh) 分解半导体器件的布局的方法和制造半导体器件的方法
TWI671882B (zh) 半導體晶片及積體電路製造方法
JP2006253498A (ja) 半導体集積回路装置
US20140131832A1 (en) Method for manufacturing semiconductor layout pattern, method for manufacturing semiconductor device, and semiconductor device
US20230298997A1 (en) Routing pattern
JP2007294500A (ja) 半導体装置およびその製造方法
JP2001267322A (ja) 半導体装置およびその製造方法ならびにマスクデータの生成方法、マスクおよびコンピュータ読み取り可能な記録媒体
TW201918793A (zh) 製造用於半導體製程之光罩的方法
US8661372B1 (en) Optical proximity correction method
TW202338658A (zh) 佈線圖案
JPH10178012A (ja) 半導体装置の層用の平面パターンの生成方法及びその方法を使用した半導体装置の製造方法
JP2011049426A (ja) 半導体装置の設計方法及び半導体装置の製造方法
JP2008053458A (ja) 半導体装置の設計方法