TWI613757B - 形成用於電路之複數個導線之方法 - Google Patents

形成用於電路之複數個導線之方法 Download PDF

Info

Publication number
TWI613757B
TWI613757B TW104115618A TW104115618A TWI613757B TW I613757 B TWI613757 B TW I613757B TW 104115618 A TW104115618 A TW 104115618A TW 104115618 A TW104115618 A TW 104115618A TW I613757 B TWI613757 B TW I613757B
Authority
TW
Taiwan
Prior art keywords
wire
width
circuit
wires
transistor
Prior art date
Application number
TW104115618A
Other languages
English (en)
Other versions
TW201606936A (zh
Inventor
陳重輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201606936A publication Critical patent/TW201606936A/zh
Application granted granted Critical
Publication of TWI613757B publication Critical patent/TWI613757B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)

Abstract

一種方法中,形成電路的導線。將複數個訊號軌跡分組為複數個第一訊號軌跡之第一群組或複數個第二訊號軌跡之第二群組。利用第一光罩形成用於第一群組之第一訊號軌跡的第一導線。利用第二光罩形成用於第二群組之第二訊號軌跡第二導線。第一訊號軌跡每一者具有第一寬度。第二訊號軌跡具有相異於第一寬度之第二寬度。分組步驟基於以下條件至少一者:流經該複數個訊號軌跡之訊號軌跡的電流、訊號軌跡之長度、訊號軌跡之電阻率或訊號軌跡之電阻率電容常數。

Description

形成用於電路之複數個導線之方法
本發明是有關於一種形成導線技術,且特別是有關於一種形成用於電路之複數個導線之方法。
於多圖案化(Multi-Patterning Technology,MPT)技術中,多個光罩接續使用以形成導線或金屬線。舉例而言,於雙圖案化技術(Double-Pattering Technology,DPT)中,使用兩個光罩。於三圖案化技術(Triple-Pattering Technology)中,使用三個光罩,等等。於形成導線,每一個光罩對應一組導線。由於不同光罩與不同方式以形成不同組導線,特殊一組導線的導線寬度不同於另一組導線的導線寬度。不同寬度依序造成利用對應導線的電路的不同電性行為(Electrical behavior)。
於關於形成用於一電路之複數個導線之方法的一些實施例中,形成用於電路的導線。將複數個訊號軌跡分 組為複數個第一訊號軌跡之第一群組或複數個第二訊號軌跡之第二群組。利用第一光罩形成用於第一群組之第一訊號軌跡第一導線。利用第二光罩形成用於第二群組之第二訊號軌跡第二導線。第一訊號軌跡每一者具有第一寬度。第二訊號軌跡每一者具有相異於第一寬度之第二寬度。分組步驟基於以下條件至少一者:流經該複數個訊號軌跡之訊號軌跡的電流、訊號軌跡之長度、訊號軌跡之電阻率或訊號軌跡之電阻率電容常數。
一些關於形成用於一電路之複數個導線之方法的實施例為基於用於形成第一導線的第一光罩與用於形成第二導線的第二光罩。若第一導線的寬度大於第二導線的寬度,第一光罩用來形成第三導線。若第二導線的寬度大於第一導線的寬度,第二光罩用來形成第三導線。第三導線連接第一電路元件,其距離第二電路元件一預定值。
於一些實施例中,一種形成用於一電路之複數個導線之方法基於相似於第二電路的第一電路、用來形成第一導線的第一寬度的第一光罩、用來形成第二導線的第二寬度的第二光罩,第一寬度不同於第二寬度。第一光罩用來形成第一電路的第三導線與第二電路的第四導線。第三導線的每一導線對應第四導線的每一導線。第二光罩用來形成第一電路的第五導線與第二電路的第六導線。第五導線的每一導線對應第六導線的每一導線。第一電路的第三導線承載各別的第一電流,並且第一電路的第五導線承載各別的第二電流。第一電流的每一電流值小於第二電流的每一電流值。
為讓本揭示內容之上述和其他目的、特徵、優點與實施例能更明顯易懂,所附符號之說明如下:
100、100-1、100-2、100-3、100-4、100-5、100-6、400、1000、1200B‧‧‧半導體結構
PO、PO_P1、PO_P2、PO_P3、PO_N1、PO_N2、PO_N3、PO_1A、PO_2A、PO_3A、PO_1B、PO_2B、PO_3B‧‧‧多晶矽結構
SB、SB-1、SB-2、SB-3、SB-4、SB-5、SB-6、SB-01、SB-02、SB-03‧‧‧基板
M0‧‧‧金屬層
VIA0、VIA1、VIA0-10、VIA0-20、VIA0-30、VIA0-40、VIA0-71、VIA1-10、VIA1-20、VIA1-30、VIA1-40、VIA0-420、VIA0-122、VIA0-124、VIA0-126、VIA0-55、VIA0-65、VIA0-75‧‧‧層間連接點
M1_1st_mask、M1_2nd_mask、M1_2nd_mask-71、M2_1st_mask、M2_2nd_mask、M10、M20‧‧‧光罩
WM0、WM11、WM12、WS、WP、XA2S、XA、XB‧‧‧寬度
L200、L510、L520、L530、L610、L620、L710、L720、L740、810、L1100、L1210、L1220、L1230、L1240、L1260、L1270‧‧‧線段
200、600B、600C、700B、700C、700D、1100‧‧‧截面示意圖
300、810L、810R‧‧‧電路
MP1、MN1、MP2、MN2、MP3、MN3、MP1<A,B,C>、MP2<A,B,C>、MP3<A,B,C>、MP4<A,B,C>、MP5<A,B,C>、MP6<A,B,C>‧‧‧電晶體
LDD_P1_N1、LDD_P2_N2、LDD_P3_N3、LDG_N1_N2、LDG_N2_N3、LGD_P1_P3、LGG_P1_N1、LSSS_3A_3B_3C、LDDD_3A_3B_3C、LDDD_2A_2B_2C、LDDD_1A_1B_1C、L850L、L850R、L860L、L860R、LDSS_3A_2A_2B、LDSS_2A_1B_1C、LDSS_2A_1A_1B、A-5、B-10、A-15‧‧‧導線
M0-1、M0-2、M0-11、M0-21、M0-71、M1-71、M1-620、M0-122、M0-124、M0-126、M0-32、M0-34、M0-36‧‧‧金屬部分
S_P1、D_P1、S_N1、D_N1、S1A、S2A、S3A、D1A、D2A、D3A、SO2A、SO2B、DO3A‧‧‧氧擴散區域
G_P1、G_N1‧‧‧多晶矽區域
I1、I2‧‧‧電流
PA、PB、PC、P01、P02、P03‧‧‧子結構
G1A、G2A、G3A、G1B、G2B、G3B、G1C、G2C、 G3C‧‧‧閘極
1300C~1300E、1300G~1300K‧‧‧結構
130-5、130-10、130-15、140-5、140-10、140-15、150-10、200-5、200-10、200-15‧‧‧圖案
150‧‧‧硬式光罩層
160‧‧‧緩衝層
170‧‧‧介電層
180、190、250‧‧‧層
Y180‧‧‧高度
180-5、180-15、190-5、190-15、210-10、220-5、220-10、220-15‧‧‧開口
190-3、190-7、190-8、190-12‧‧‧分隔物
235‧‧‧表面
DAB‧‧‧距離
1400、1500、1600‧‧‧形成電路之導線之方法
1410~1420、1510~1520、1610~1620‧‧‧操作
本揭示內容的一或多個實施例的細節如後附圖式與如下描述所示。從描述、圖式與申請專利範圍,其他特徵與優點能更明顯易懂。
第1圖係說明本揭示內容一些實施例之半導體結構之佈局(Layout)示意圖;第2圖係說明本揭示內容一些實施例之第1圖之半導體結構之截面示意圖;第3圖係說明本揭示內容一些實施例之基於第1圖之多種半導體結構建立之範例反相器環(Inverter ring)之電路示意圖;第4圖係說明本揭示內容一些實施例之用以形成第3圖之電路之半導體結構之佈局示意圖;第5圖係說明本揭示內容一些實施例之第4圖之半導體結構之截面示意圖;第6A圖係說明本揭示內容一些實施例之具有關於第6B、6C圖標示之複製第4圖之佈局示意圖;第6B圖係說明本揭示內容一些實施例之第6A圖之佈局之一線段之截面示意圖;第6C圖係說明本揭示內容一些實施例之第6A圖之佈局之另一線段之截面示意圖;第7A圖係說明本揭示內容一些實施例之具有關於第7B、7D圖標示之複製第4圖之佈局示意圖; 第7B圖係說明本揭示內容一些實施例之第7A圖之佈局之一線段之截面示意圖;第7C圖係說明本揭示內容一些實施例之第7A圖之佈局之另一線段之截面示意圖;第7D圖係說明本揭示內容一些實施例之第7A圖之佈局之又另一線段之截面示意圖;第8圖係說明本揭示內容一些實施例之範例對稱電路(Symmetrical circuit)之電路示意圖;第9圖係說明本揭示內容一些實施例之第8圖之電路之一部分之電路示意圖;第10圖係說明本揭示內容一些實施例之用以形成第9圖之電路之半導體結構之佈局示意圖;第11圖係說明本揭示內容一些實施例之第10圖之佈局示意圖之一線段之截面示意圖;第12圖係說明本揭示內容一些實施例之第10圖之佈局示意圖之另一線段之截面示意圖;第12A圖係說明本揭示內容一些實施例之具有關於第12B、12C圖標示之複製第9圖之電路示意圖;第12B圖係說明本揭示內容一些實施例之用以形成第12A圖之電路之一部分半導體結構之示意圖;第12C圖係說明本揭示內容一些實施例之第12B圖之佈局示意圖之截面示意圖;第13A~13K圖係說明本揭示內容一些實施例之形成多種電路之導線之範例之示意圖; 第14圖係說明本揭示內容一些實施例之舉例形成電路內導線之方法之流程圖;第15圖係說明本揭示內容一些實施例之舉例形成電路內導線之方法之流程圖;以及第16圖係說明本揭示內容一些實施例之舉例形成電路內導線之另一方法之流程圖。
多種圖式的相似參考符號標示表示相似元件。
圖式說明的實施例或範例利用具體圖式揭示如下。實施例與範例仍然將被理解為並非用以限制。相關領域的通常知識者當可對所揭示實施例的任何置換或修改,或本說明書揭示的原理的任何進一步應用。
本揭示內容之一些實施例具有以下特徵且/或優點之一或組合。於關於雙圖案化(Double patterning)的一些實施例中,雙圖案化包含自我對準雙圖案化(Self-Aligned Double Patterning,SADP),依序使用兩個光罩(Mask)以形成導線。使用第一光罩以形成第一導線組,並使用第二個後續的光罩以形成第二導線組。兩個導線組用來作為用於電路的訊號軌跡(Signal trace)。於一些實施例中,基於第一光罩形成的導線具有的寬度小於基於第二光罩形成的導線的寬度。於一些實施例中,若相同導線基於第一光罩形成,基於第二光罩形成的導線具有的電阻率(Resistivity)低於該相同導線的電阻率。於一些實 施例中,當導線的寬度增加,導線的電容亦增加。然而,由於電阻率的降低程度大於電容的增加程度,所以導線的電阻電容(Resistive-Capacitive,RC)常數降低。結果,基於第二光罩形成的導線的電阻電容常數小於基於第一光罩形成的導線的電阻電容常數。
於一些實施例中,使用第二光罩以形成長導線。舉例而言,關於具有串聯連接多個反相器的反相器環(Inverter ring)的一些實施例中,由前一反相器之輸出至後續反相器之輸入的導線被認為是長的。此外,由串聯的反相器內最後一反相器之輸出至串聯的反相器內第一個反相器之輸入的導線亦被認為是長的。由於長導線導線透過第二光罩形成,長導線具有較寬的寬度與較低的電阻率,相較於若是透過第一光罩形成的相同導線。利用長導線的電路的效能(Performance)因此改善。
於一些實施例中,基於不同因素之一者或組合認為導線是長的。舉例而言,於一些實施例中,基於電晶體的通道寬度(Channel width)認為導線是長的,例如至少五倍的電晶體的通道寬度。於一些實施例中,電晶體的通道寬度介於10奈米(nm)至20微米(μm)的範圍之間。舉另一例而言,對於特殊技術世代(Technology node),例如20奈米(nm)、16奈米(nm)、14奈米(nm)等,基於該特殊技術世代的金屬或導電性結構之至少一預定寬度、多晶矽結構的預定寬度、介於多晶矽結構與金屬結構之間的預定寬度、介於兩金屬結構之間的預定寬度等,認為導 線是長的。舉例而言,導電性結構的預定寬度、多晶矽結構的預定寬度、介於多晶矽結構與金屬結構之間的預定寬度、介於兩金屬結構之間的預定寬度之每一者稱為一個距離單位(Distance unit)。於一些實施例中,使用第二光罩以形成介於第一電晶體與第二電晶體的汲極(Drain)與汲極、汲極與源極(Source)、源極與源極之間的導線,當結果的導線長於預定的距離單位的某個倍數,例如五個距離單位。於一些實施例中,若導線至少5微米(μm),則認為導線是長的。
於一些實施例中,基於導線是否位於半導體結構內或延伸過不同半導體結構,導線被認為是長的,舉例而言,其中兩個半導體結構之間距離超過預定數目的距離單位。
於一些實施例中,當第一導線以承載第一電流,第一電流大於第二導線的第二電流,形成第一導線以具有寬度寬於第二導線的寬度。舉例而言,第一導線透過第13F圖的光罩M20形成,並且第二導線透過第13B圖的光罩M10形成。
於一些實施例中,當第一電路類似於第二電路,透過與用於形成第二電路內的導線相同的光罩形成第一電路內的導線。舉例而言,電流鏡(Current mirror)包含第一分路(Branch)內的電路,其類似於第二分路內的電路。於一些實施例中,透過與用來形成第二分路的電路內的導線的相同光罩形成第一分路的電路內的導線。由於第一 分路的電路內的導線與第二分路的電路內的導線透過相同光罩形成,第一分路的電路內的第一電流類似於第二分路的電路內的導線第二電流。因此,相較於第一分路的電路內的導線透過不同於用來形成第二分路的電路內的導線的光罩的情況,改善電流鏡的電性效能。舉例關於不同於本揭示內容多種實施例方式而言,第一分路內的電路的長導線透過第一光罩形成,而第二分路內的電路的長導線透過第二光罩形成。於該些方式中,由於第一分路內的電路的長導線的電阻率不同於第二分路內的電路的長導線的電阻率,第一分路內的電流不同於第二分路內的電流,其顯著影響電流鏡的效能。增加的頻率為改善的電性效能的例子之一。舉例而言,於本揭示內容的多種實施例中,相較於第一分路內的電路的導線與第二分路內的電路的導線透過不同光罩形成的另一電流鏡的頻率,電流鏡操作在較高頻率。
於本說明書內關於雙圖案化,雙圖案化包含自我對準雙圖案化,其依序使用兩個光罩。使用兩個光罩的第一光罩與第二光罩形成對應較小寬度與較大寬度的相同導線。除非另外指明,長導線透過製造具有較大寬度的導線的光罩形成。因此,若相同導線透過提供較小寬度的光罩形成,則長導線的電阻率小於相同導線的電阻率。本揭示內容討論多種因素以決定導線是否為長的。
以下說明範例的長導線。第1圖係說明本揭示內容一些實施例之半導體結構100之佈局(Layout)示意圖。第2圖係說明本揭示內容一些實施例之半導體結構100的線 段L200之截面示意圖200。第1圖例示性地提供半導體結構100的不同子結構(Sub-structure)的標示。
半導體結構100用來形成不同電子元件,舉例而言,包含電晶體(Transistors)。如第1圖與第2圖所示,半導體結構100包含基板(Substrate)SB、兩個多晶矽結構PO、具有三個金屬帶(Metal strip)的一金屬層M0、八個層間連接點(Via)VIA0、五個層間連接點VIA1、透過第一光罩形成的金屬層M1的一金屬線以及透過第二光罩形成的金屬層M1的一金屬線。金屬帶亦稱為金屬結構。不同於半導體結構100的多種其他半導體結構位於本揭示內容的預期範圍之內。
多晶矽結構PO的區域(Region)或部分(Portion)用來形成電晶體的閘極(Gate)。基板SB的氧擴散(Oxide Diffusion,後稱OD)區域或部分用來形成電晶體的汲極(Drain)或源極(Source)。層間連接點VIA0用來連接金屬層M0至金屬層M1的電組件(Electrical component)。層間連接點VIA1用來連接金屬層M1至金屬層M2的電組件。於本揭示內容的多種實施例中,電晶體的源極作用如同汲極,反之亦然。半導體結構100為鰭式場效電晶體(Fin Field Effect Transistor,FinFET)類型,但平面式或其他技術涵蓋於本揭示內容的預期範圍之內。
寬度WM0表示金屬層M0的金屬帶M0的寬度。寬度WM11表示透過第一光罩形成的金屬層M1的金屬 帶的寬度,第一光罩例如為第13B圖的光罩M10。寬度WM12表示透過第二光罩形成的金屬層M1的金屬帶的寬度,第二光罩例如為第13F圖的光罩M20。寬度WS1表示介於金屬層M1的兩個金屬帶之間的距離。寬度WS表示金屬帶M0與多晶矽結構PO之間的距離。寬度WP表示多晶矽結構PO的寬度。於一些實施例中,寬度WP成比例於電晶體的通道(Channel)長度。舉例而言,具有較大通道長度的電晶體有較大的寬度WP,反之亦然。相較於具有兩個較小寬度WP的相同兩個電晶體的相同兩個類似電流,具有兩個較大寬度WP的兩個電晶體的兩個類似電流有較低程度的不匹配(Mismatch)。於一些實施例中,為了降低有相似電晶體的相似電路的電流不匹配,使用具有較大寬度WP的多晶矽結構PO。以相同或不同寬度WP形成的電路的電晶體涵蓋於本揭示內容的預期範圍之內。於一些實施例中,寬度WP等於或大於寬度WM0、WM11或WM12每一者。於一些實施例中,寬度WM0、WM11與WM12為相同尺寸,但於其他實施例中,寬度WM0、WM11與WM12為不同尺寸。
舉例而言,寬度WM0、WS、WP、WS1、WM11、WM12的每一者稱為距離單位(Distance unit),並且用來決定導線是否為長的。舉例而言,於一些實施例中,若導線至少五個距離單位,則導線被認為是長的。於一些實施例中,上述寬度的最小寬度用來作為距離單位。五個距離單位用來作為舉例說明。其他數量的距離單位涵蓋在本 揭示內容的預期範圍之內。多種方式以決定導線是否為長的涵蓋於本揭示內容的預期範圍之內。
第3圖係說明本揭示內容一些實施例之電路300示意圖。電路300為反相器環(Inverter ring),其包含串聯連接的三個反相器。第一反相器透過P型金氧半(PMOS)電晶體MP1與N型金氧半(NMOS)電晶體MN1形成。第二反相器透過PMOS電晶體MP2與NMOS電晶體MN2,並且第三反相器透過PMOS電晶體MP3與NMOS電晶體MN3形成。第一反相器的輸出與第二反相器的輸入耦接。第二反相器的輸出與第三反相器的輸入耦接,並且第三反相器的輸出與第一反相器的輸入耦接。
於多種實施例中,介於電晶體MP1的汲極與電晶體MN1的汲極之間的導線LDD_P1_N1被認為是長的,而且透過第二光罩形成,第二光罩例如第13F圖的光罩M20。類似地,介於電晶體MP2的汲極與電晶體MN2的汲極之間的導線LDD_P2_N2,以及介於電晶體MP3的汲極與電晶體MN3的汲極之間的導線LDD_P3_N3每一者被認為是長的,而且每一者透過光罩M20形成。
此外,導線LDG_N1_N2、LDG_N2_N3、LGD_P1_P3被認為是長的,並且透過光罩M20形成。導線LDG_N1_N2連接電晶體MN1的汲極與電晶體MN2的閘極。因為電晶體MP1的汲極耦接至電晶體MN1的汲極,並且電晶體MP2的閘極耦接至電晶體MN2的閘極,電晶體MP1、MN1、MP2與MN2的汲極透過導線LDG_N1_N2耦 接在一起。類似地,經由導線LDG_N2_N3,電晶體MP2、MN2的汲極與電晶體MP3、MN3的閘極耦接在一起。導線LGD_P1_P3連接電晶體MP1的閘極與電晶體MP3的汲極。因為電晶體MP1的閘極耦接至電晶體MN1的閘極,並且電晶體MP3的汲極耦接至電晶體MN3的汲極,電晶體MP1、MN1的閘極與電晶體MP3、MN3的汲極透過導線LGD_P1_P3耦接在一起。於多種實施例中,導線包含導線LDD_P1_N1、LDG_N1_N2、LDD_P3_N3等,透過介電材料(Dielectric material)圍繞,例如第13C圖的介電層170的介電材料。
第4圖係說明本揭示內容一些實施例之半導體結構400之佈局示意圖。半導體結構400包含六個半導體結構100-1至100-6,其形成第3圖的電路300。半導體結構100-1、100-2、100-3、100-4、100-5與100-6分別形成第3圖的電晶體MP1、MN1、MP2、MN2、MP3與MN3。多晶矽結構PO_P1、PO_P2、PO_P3、PO_N1、PO_N2與PO_N3用來分別形成電晶體MP1、MN1、MP2、MN2、MP3與MN3的閘極。半導體結構100-1、100-2、100-3、100-4、100-5與100-6的基板分別稱為SB-1、SB-2、SB-3、SB-4、SB-5與SB-6,但是為了簡化而未標示在第4圖中。基板SB-1、SB-2、SB-3、SB-4、SB-5與SB-6每一者包含氧擴散區域以形成各別電晶體MP1、MN1、MP2、MN2、MP3與MN3的汲極與源極。為了簡化起見,第4圖 與第5圖未標示電晶體MP1、MN1、MP2、MN2、MP3與MN3的多種元件。
參考第5圖描述線段L510、L520與L530。
第5圖係說明本揭示內容一些實施例之第4圖之線段L510之截面示意圖500。截面示意圖500用來舉例說明透過導線LDD_P1_N1之電晶體MP1汲極與電晶體MN1汲極之間的連接。
氧擴散區域S_P1與D_P1為第3圖的基板SB-1的一部分。氧擴散區域S_P1形成電晶體MP1的源極。氧擴散區域D_P1形成電晶體MP1的汲極。第3圖的多晶矽結構PO_P1上的多晶矽區域G_P1形成電晶體MP1的閘極。
氧擴散區域S_N1與D_N1為第3圖的基板SB-2的一部分。氧擴散區域S_N1形成電晶體MN1的源極。氧擴散區域D_N1形成電晶體MN1的汲極。第3圖的多晶矽結構PO_N1上的多晶矽區域G_N1形成電晶體MN1的閘極。
如第5圖所示,汲極區域D_P1與金屬部分M0-1、層間連接點VIA0-10、導線LDD_P1_N1、層間連接點VIA0-20、金屬部分M0-2、汲極區域D_N1電性耦接。有效地,導線LDD_P1_N1電性連接電晶體MP1的汲極與電晶體MN1的汲極。
於一些實施例中,如第3~5圖所示,導線LDD_P1_N1被認為是長的,由於導線LDD_P1_N1延伸超過一個半導體結構。舉例而言,導線LDD_P1_N1延伸橫越 半導體結構100-1與半導體結構100-2。以另一方式考慮,由於導線LDD_P1_N1長於預定長度,所以導線LDD_P1_N1是長的。舉例而言,從層間連接點VIA0-10至層間連接點VIA0-20的導線LDD_P1_N1長於五個距離單位,由於從層間連接點VIA0-10至層間連接點VIA0-20的導線至少延伸層間連接點VIA0-10、多晶矽區域G_P1、金屬部分M0-11、金屬部分M0-21與多晶矽區域G_N1的距離單位。以又另一方式考慮,導線LDD_P1_N1是長的,由於導線LDD_P1_N1承載從電晶體MP1的汲極至電晶體MN1的汲極的電流,其相較於電路300其他部分的其他電流大,例如介於電晶體MP1的閘極與電晶體MN1閘極之間的電流。於一些實施例中,由於導線LDD_P1_N1被認為是長的,導線LDD_P1_N1以自我對準雙圖案化技術,透過第13F圖的第二光罩與後續光罩M20形成。其他方式以形成導線LDD_P1_N1以致於導線LDD_P1_N1上的電阻率且/或電阻電容常數降低,涵蓋在本揭示內容的預期範圍內。
由於半導體結構100-1與100-2對應於半導體結構100-3與100-4的相似度,介於電晶體MP2汲極與電晶體MN2汲極的經由導線LDD_P2_N2的連接類似於介於電晶體MP1汲極與電晶體MN1汲極的連接。舉例而言,參考導線LDD_P2_N2,第4圖的線段L520的截面示意圖相似於第5圖的截面示意圖。類似地,介於電晶體MP3汲極與電晶體MN3汲極的經由導線LDD_P3_N3的連接相似於介於電晶體MP1汲極與電晶體MN1汲極的經由導線LDD_P2_N1 的連接。舉例而言,參考導線LDD_P3_N3,第4圖的線段L530的截面示意圖相似於第5圖的截面示意圖。
第6A圖係說明本揭示內容一些實施例之具有關於第6B、6C圖標示之複製第4圖之半導體結構400之佈局示意圖。第6A~6C圖用來說明電晶體MN1的汲極與電晶體MN2的閘極之間的透過第3圖所示導線LDG_N1_N2的連接。
第6B圖係說明本揭示內容一些實施例之第6A圖之線段L610之截面示意圖600B。第6B圖的金屬部分M1-620於第6A圖內並非可見的。如第6B圖舉例性地表示,導線LDG_N1_N2連接層間連接點VIA1-10以及VIA1-20。層間連接點VIA1-20耦接金屬部分M1-620、層間連接點VIA0-420以及多晶矽PO_N2,多晶矽PO_N2為電晶體MN2的閘極。第6C圖係說明本揭示內容一些實施例之第6A圖之線段L620之截面示意圖600C。截面示意圖600C用來說明介於層間連接點VIA1-10與電晶體MN1的汲極之間的連接。如同所示,層間連接點VIA1-10耦接導線LDD_P1_N1、層間連接點VIA0-20、金屬部分M0-2與氧擴散區域D_N1,氧擴散區域D_N1為電晶體MN1的汲極。有效地,導線LDG_N1_N2連接電晶體MN1的汲極至電晶體MN2的閘極。
介於電晶體MP1的汲極與電晶體MN1的汲極之間的連接參考上述第5圖說明。介於電晶體MP2的閘極與電晶體MN2的閘極之間的連接相似於電晶體MP1的閘極與 電晶體MN1的閘極之間的連接,如同第7C圖所示。有效地,導線LDG_N1_N2連接電晶體MP1、MN1的汲極與電晶體MP2、MN2的閘極。
電晶體MP2、MN2的汲極與電晶體MP3、MN3的閘極之間的經由導線LDG_N2_N3的連接相似於電晶體MP1、MN1的汲極與電晶體MP2、MN2的閘極之間的經由導線LDG_N1_N2的連接。
於一些實施例中,基於如同參考導線LDD_P1_N1的多種因素,導線LDG_N1_N2、LDG_N2_N3被認為是長的。結果,於一些實施例中,導線LDG_N1_N2、LDG_N2_N3透過光罩M20形成。
第7A圖係說明本揭示內容一些實施例之具有關於第7B、7D圖標示之複製第4圖之半導體結構400之佈局示意圖。第7A~7D圖用來說明電晶體MP1閘極與電晶體MP3汲極之間的透過第3圖的導線LGD_P1_P3的連線。
第7B圖係說明本揭示內容一些實施例之第7A圖之佈局之線段L710之截面示意圖。如第7B圖所示,導線LGD_P1_P3連接層間連接點VIA1-30與VIA1-40。此外,層間連接點VIA1-30連接線段LGG_P1_N1、層間連接點VIA0-30與多晶矽結構PO_P1,多晶矽結構PO_P1形成電晶體MP1的閘極。
第7C圖係說明本揭示內容一些實施例之第7A圖之佈局之線段L740之截面示意圖。第7C圖中,導線LGD_P1_P3耦接層間連接點VIA1-40、金屬部分 M1_71、層間連接點VIA0_71、金屬部分M0_71與形成電晶體P3的汲極的區域D_P3。有效地,導線LGD_P1_P3連接電晶體MP1的閘極與電晶體MP3的汲極。
第7D圖係說明本揭示內容一些實施例之第7A圖之佈局之線段L720之截面示意圖。
第7D圖中,多晶矽結構PO_P1耦接層間連接點VIA0-30、線段LGG_P1_N1、層間連接點VIA0-40與多晶矽結構PO_N1。由於多晶矽結構PO_P1形成電晶體MP1的閘極,並且多晶矽結構PO_N1形成電晶體MN1的閘極,有效地,電晶體MP1的閘極耦接電晶體MN1的閘極。電晶體MP3的汲極與電晶體MN3的汲極之間的連接相似於電晶體MP1的汲極與電晶體MN1的汲極之間的連接,如第5圖所示。有效地,導線LGD_P1_P3連接電晶體MP1、MN1的閘極與電晶體MP3、MN3的汲極。
於一些實施例中,導線LGD_P1_P3被認為是長的,基於如同參考導線LDD_P1_N1的多種因素。結果,於一些實施例中,導線LGD_P1_P3透過光罩M20形成。
以下說明相似電路。本揭示內容的多種實施例包含相似電路。舉例而言,於一些實施例中,關於具有第一電路相似於第二電路的對稱電路(Symmetrical circuit),配置導線使得第一電路的效能實質上相同於第二電路的效能。於另一範例,於一些實施例中,第一電路內與第二電路內的導線用以符合下列至少一條件:第一電路的導線的電阻率實質上相同於第二電路的對應導線的電阻率,第一電路的 導線的電阻電容常數實質上相同於第二電路的對應導線的電阻電容常數,且/或第一電路的第一電流實質上相同於對應第二電路的第二電流。具有第一分路電流的電流鏡為得益於本揭示內容多種實施例的範例電路,第一分路電流實質上相同於第二分路電流。多對相似電路且/或對稱電路涵蓋於本揭示內容的預期範圍內。
第8圖係說明本揭示內容一些實施例之範例對稱電路之電路800示意圖。電路800包含電路810L,其關於線段810與電路810R對稱。如圖所示,電路810L包含九個PMOS電晶體MP6<A,B,C>、MP5<A,B,C>與MP4<A,B,C>,其分別對應於電路810R的九個PMOS電晶體MP3<A,B,C>、MP2<A,B,C>與MP1<A,B,C>。
於多種實施例中,配置電路810L與810R內的連接至電晶體MP1<A,B,C>至MP6<A,B,C>的多種端點的導線,以使電路810L的電流I1實質上相同於電路810R的電流I2。電流I1從電晶體MP6<A,B,C>的源極流經電晶體MP6<A,B,C>、MP5<A,B,C>與MP4<A,B,C>,到達電晶體MP4<A,B,C>的汲極。電流I2從電晶體MP3<A,B,C>的源極流經電晶體MP3<A,B,C>、MP2<A,B,C>與MP1<A,B,C>,到達電晶體MP1<A,B,C>的汲極。
於多種實施例中,當電路810L內的一導線透過光罩形成,例如第13F圖的光罩M10,舉例而言,電路810R內的對應導線透過光罩M10形成。類似地,當電路810L內的一導線透過另一光罩形成,例如第13F圖的光罩M20,舉 例而言,電路810R內的對應導線透過光罩M20形成。如第8圖所示,導線L850L連接電晶體MP6<A,B,C>的汲極與電晶體MP5<A,B,C>的源極,並且對應連接電晶體MP3<A,B,C>的汲極與電晶體MP2<A,B,C>的源極的導線L850R。類似地,導線L860L連接電晶體MP5<A,B,C>的汲極與電晶體MP4<A,B,C>的源極,並且對應連接電晶體MP2<A,B,C>的汲極與電晶體MP1<A,B,C>的源極的導線L860R。電路810L的其他導線對應電路810R的導線涵蓋於本揭示內容的預期範圍內。
第9圖係說明本揭示內容一些實施例之第8圖之電路810R之細節電路示意圖。如圖所示,電晶體MP3<A>、MP3<B>、MP3<C>的閘極耦接在一起。電晶體MP3<A>、MP3<B>、MP3<C>的源極透過導線LSSS_3A_3B_3C耦接在一起。電晶體MP3<A>、MP3<B>、MP3<C>的汲極透過導線LDDD_3A_3B_3C耦接在一起,亦耦接電晶體MP2<A>、MP2<B>、MP2<C>的源極。
電晶體MP2<A>、MP2<B>、MP2<C>的閘極透過導線LDD_2A_2B_2C耦接在一起,亦耦接電晶體MP1<A>、MP1<B>、MP1<C>的源極。
電晶體MP1<A>、MP1<B>、MP1<C>的閘極耦接在一起。電晶體MP1<A>、MP1<B>、MP1<C>的汲極透過導線LDDD_1A_1B_1C耦接。
於一些實施例中,基於參考導線描述的多種因素,導線LSSS_3A_3B_3C、LDDD_3A_3B_3C、LDDD_2A_2B_2C與LDDD_1A_1B_1C每一者被認為是長的,並且透過第13F圖的光罩M20形成。此外,電路810L對應於導線LSSS_3A_3B_3C、LDDD_3A_3B_3C、LDDD_2A_2B_2C與LDDD_1A_1B_1C的導線亦被認為是長的,並且透過光罩M20形成。
於一些實施例中,電晶體MP3<A>的汲極與電晶體MP2<A>的源極透過相同氧擴散區域形成。有效地,電晶體MP3<A>的汲極耦接電晶體MP2<A>的源極。類似地,電晶體MP2<A>的汲極與電晶體MP1<A>的源極透過相同氧擴散區域形成。有效地,電晶體MP2<A>的汲極耦接電晶體MP1<A>的源極。電晶體MP3<B>的汲極與電晶體MP2<B>的源極之間的連接,以及電晶體MP3<C>的汲極與電晶體MP2<C>的源極的連接每一者類似於電晶體MP3<A>的汲極與電晶體MP2<A>的源極的連接。類似地,電晶體MP2<B>的汲極與電晶體MP1<B>的源極之間的連接,以及電晶體MP2<C>的汲極與電晶體MP1<C>的源極的連接每一者類似於電晶體MP2<A>的汲極與電晶體MP1<A>的源極的連接。
第10圖係說明本揭示內容一些實施例之半導體結構1000之佈局示意圖。半導體結構1000用來形成第9圖的電路810R。第8圖的電路810L透過類似於半導體結構1000的半導體結構形成。半導體結構1000包含第一子結構 PA、第二子結構PB與第三子結構PC。子結構PA用來形成電晶體MP3<A>、MP2<A>、MP1<A>。子結構PB用來形成電晶體MP3<B>、MP2<B>、MP1<B>,並且子結構PC用來形成電晶體MP3<C>、MP2<C>、MP1<C>。為了簡化說明,未標示關聯於子結構PB與子結構PC,但其類似於子結構PA。子結構PA、PB、PC每一者具有類似於第1圖的半導體結構100的多種結構元件,舉例而言,包含多晶矽結構PO、基板SB、層間連接點VIA0等。
舉例而言,子結構PA、PB與PC包含對應的基板SB-A、SB-B與SB-C,如第12圖所示。
多晶矽結構PO_3A、PO_2A、PO_1A用來分別形成電晶體MP3<A>、MP2<A>、MP1<A>的閘極G3A、G2A、G1A。
線段L1100、L1210、L1220與L1230分別為參考第11、12圖解釋的截面示意圖的線段。
第11圖係說明本揭示內容一些實施例之第10圖之線段L1100之截面示意圖1100。截面示意圖1100用來舉例說明電晶體MP3<A>的汲極與電晶體MP2<A>的源極之間的連接。於一些實施例中,如第11圖所示,電晶體MP3<A>的汲極透過氧擴散區域D3A形成,其也用來形成電晶體MP2<A>的源極。舉例而言,氧擴散區域D3A亦稱為氧擴散區域S2A。類似地,電晶體MP2<A>的汲極透過氧擴散區域DA形成,其也形成電晶體MP1<A>的源極,並且亦稱為氧擴散區域S1A。
電晶體MP3<B>的汲極與電晶體MP2<B>的源極之間的連接,以及電晶體MP2<B>的汲極與電晶體MP1<B>的源極之間的連接分別相似於電晶體MP3<A>的汲極與電晶體MP2<A>的源極之間的連接,以及電晶體MP2<A>的汲極與電晶體MP1<A>的源極之間的連接。此外,電晶體MP3<C>的汲極與電晶體MP2<C>的源極之間的連接,以及電晶體MP2<C>的汲極與電晶體MP1<C>的源極之間的連接分別相似於電晶體MP3<A>的汲極與電晶體MP2<A>的源極之間的連接,以及電晶體MP2<A>的汲極與電晶體MP1<A>的源極之間的連接。
第12圖係說明本揭示內容一些實施例之第10圖之線段L1210之截面示意圖1200。截面示意圖1200用來舉例說明電晶體MP3<A>、MP3<B>與MP3<C>的汲極之間的連接。於第12圖中,電晶體MP3<A>、MP3<B>與MP3<C>的汲極分別透過氧擴散區域D3A、D3B與D3C形成。如第12圖所示,氧擴散區域D3A、D3B、D3C分別耦接金屬部分M0-122、M0-124、M0-126,並且分別耦接層間連接點VIA0-122、VIA0-124、VIA0-126。此外,導線LDDD_3A_3B_3C耦接層間連接點VIA0-122、VIA0-124與VIA0-126。有效地,導線LDDD_3A_3B_3C將電晶體MP3<A>、MP3<B>、MP3<C>的汲極耦接在一起。
如同導線LDDD_3A_3B_3C連接電晶體MP3<A>、MP3<B>、MP3<C>的汲極的方式,導線 LDDD_2A_2B_2C連接電晶體MP2<A>、MP2<B>、MP2<C>的汲極,導線LDDD_1A_1B_1C連接電晶體MP1<A>、MP1<B>、MP1<C>的汲極,並且導線LSSS_3A_3B_3C連接電晶體MP3<A>、MP3<B>、MP3<C>的源極。舉例而言,第10圖的線段L1220、L1230與L1240的截面示意圖類似於第12圖的截面示意圖1200。
於多種實施例中,基於參考導線LDD_P1_N1描述的多種因素,導線LSSS_3A_3B_3C、LDDD_3A_3B_3C、LDDD_2A_2B_2C、LDDD_1A_1B_1C每一者被認為是長的,並且每一者透過第13F圖的光罩M20形成。
第12A圖係說明本揭示內容一些實施例之具有關於第12B、12C圖標示之複製第9圖之電路810R示意圖。依據本揭示內容的一些實施例,第12A~12C圖用來舉例說明另一方式以連接電晶體MP3<A>的汲極至電晶體MP2<A>的源極與電晶體MP2<B>的源極。
第12B圖係說明本揭示內容一些實施例之半導體結構1200B之佈局示意圖。半導體結構1200B用來形成第12A圖的電路810R的一部分。舉例而言,半導體結構1200B用來形成電晶體MP3<A,B>、MP2<A,B>與MP1<A,B>,並且無第12A圖的電晶體MP3<C>、MP2<C>、MP1<C>。
半導體結構1200B包含第一子結構P01、第二子結構P02與第三子結構P03。子結構P01用來形成電晶體 MP1<A>、MP1<B>。子結構P02用來形成電晶體MP2<A>、MP2<B>,並且第三子結構P03用來形成電晶體MP3<A>、MP3<B>。為了簡化起見,未標示關於子結構P01、P02、P03的多種元件。子結構P01、P02與P03每一者具有類似於第1圖的半導體結構100的多種結構元件,舉例而言,包含多晶矽結構PO、基板SB、層間連接點VIA0等。
舉例而言,子結構P01、P02與P03分別包含基板SB-01、SB-02與SB-03,其標示於第12C圖。子結構P01包含多晶矽結構PO_1A、PO_1B。子結構P02包含多晶矽結構PO_2A、PO_2B,並且子結構P03包含多晶矽結構PO_3A、PO_3B。多晶矽結構PO_3A、PO_2A、PO_1A分別用來形成電晶體MP3<A>、MP2<A>、MP1<A>的閘極。多晶矽結構PO_3B、PO_2B、PO_1B分別用來形成電晶體MP3<B>、MP2<B>、MP1<B>的閘極。子結構P01、P02與P03的對應的氧擴散區域分別用來形成電晶體MP1<A,B>、MP2<A,B>與MP3<A,B>的汲極與源極。
線段L1260與L1270用於參考第12C圖解釋的截面示意圖。
第12C圖係說明本揭示內容一些實施例之第12A圖之線段L1260之截面示意圖1200C。截面示意圖1200C用來舉例說明電晶體MP3<A>的汲極、電晶體MP2<A>的源極與電晶體MP2<B>的源極透過導線LDSS_3A_2A_2B的連接。
氧擴散區域DO3A、SO2A與SO2B分別形成電晶體MP3<A>、MP2<A>與MP2<B>的汲極、源極與源極。
於一些實施例中,如第12C圖所示,氧擴散區域DO3A耦接金屬部分M0-32、層間連接點VIA0-55與導線LDSS_3A_2A_2B。氧擴散區域SO2A耦接金屬部分M0-34、層間連接點VIA0-65與導線LDSS_3A_2A_2B。氧擴散區域SO2B耦接金屬部分M0-36、層間連接點VIA0-75與導線LDSS_3A_2A_2B。有效地,導線LDSS_3A_2A_2B連接對應的電晶體MP3<A>、MP2<A>與MP2<B>的汲極、源極與源極。
導線LDSS_2A_1A_1B連接對應的電晶體MP2<A>、MP1<A>與MP1<B>的汲極、源極與源極,如同導線LDSS_3A_2A_2B連接對應的電晶體MP3<A>、MP2<A>與MP2<B>的汲極、源極與源極的方式。舉例而言,線段L1270的截面示意圖類似於第12C圖的截面示意圖1200C,但為了簡化而未標示。
形成兩電晶體MP1<A>、MP1<B>的子結構P01用來舉例說明。形成額外的電晶體的子結構P01涵蓋於本揭示內容的預期範圍內。舉例而言,加入額外的多晶矽結構以形成額外的電晶體的閘極。基板SB-01內對應的氧擴散區域形成額外的電晶體的源極與汲極。舉例另一例而言,加入多晶矽結構PO_1C(未繪示)至子結構P01以形成電晶體MP1<C>的閘極。基板SB-01內對應的氧擴散區域DO1C(未繪示)與SO1C(未繪示)用來形成電晶體MP1<C>的 汲極與源極。形成額外的電晶體於子結構P03與P02內以類似於形成額外電晶體於子結構P01的方式完成。當額外的電晶體形成於對應的子結構P01、P02與P03,導線LDSS_3A_2A_2B與LDSS_2A_1A_1B延伸以連接對應的額外電晶體的端點。舉例而言,當加入電晶體MP3<C>、MP2<C>與MP<1C>至對應的子結構P03、P02與P01,延伸導線LDSS_3A_2A_2B以連接電晶體MP2<C>的源極。於此情況中,有效地,導線LDSS_3A_2A_2B連接對應的電晶體MP3<A>、MP2<A>、MP2<B>與MP2<C>的汲極、源極、源極與源極。類似地,延伸導線LDSS_2A_1A_1B以連接電晶體MP1<C>的源極。於此情況中,導線LDSS_2A_1A_1B連接對應的電晶體MP2<A>、MP1<A>、MP1<B>、MP1<C>的汲極、源極、源極與源極等。
於多種實施例中,導線LDSS_3A_2A_2B與導線LDSS_2A_1A_1B每一者被認為是長的,基於參考導線LDD_P1_N1描述的多種因素,並且每一者透過第13F圖的光罩M20形成。
如第9~12圖與第12A~12C圖所示,不同的半導體結構用來形成相同的電路810R,造成連接多種電晶體的相同端點的不同的導線。舉例而言,第9圖的導線LDD_3A_3B_3C連接電晶體MP3<A,B,C>的汲極。第12A圖的導線LDSS_3A_2A_2B有效地連接相同電晶體MP3<A,B,C>的汲極。於本揭示內容的多種實施例,無論 半導體結構用來形成電晶體且/或其他元件,當導線被認為是長的,導線的電流、電阻率且/或電阻電容常數被決定減低,透過第二光罩M20形成導線以具有較寬的寬度,舉例來說。
以下說明形成導線。第13A~13K圖係說明本揭示內容一些實施例之說明如何透過第一光罩或第二光罩形成導線之範例之示意圖。舉例而言,第一光罩稱為光罩M10,如第13B圖所示。第二光罩稱為光罩M20,如第13F圖所示。此外,長導線透過光罩M20形成,並且其他導線透過光罩M10形成。額外地,第13K圖的導線A-5與A-15透過第一光罩M10形成,並且具有小於導線B-10的寬度,導線B-10透過第二光罩M20形成。因此導線A-5對應第7C圖的導線LGG_P1_N1,而導線B-10對應長導線LDD_P1_N1、LDD_P2_N2、LDD_P3_N3等。
參考第13A圖,圖案130-5表示第13K圖的導線A-5,並且圖案130-15表示導線A-15。以另一方式表達,圖案130-5用以形成導線A-5,並且圖案130-15用以形成導線A-15。類似地,圖案130-10用以形成導線B-10。於一些實施例中,圖案130-5、130-10與130-15包含於圖形資料庫(Graphic Database System,GDS)檔案中。於一些實施例中,導線A-5與A-15具有相同寬度XA。簡化而言,說明形成導線A-5,但相同程序以形成導線A-5亦可適用於形成導線A-15。導線B-10具有寬度XB。舉例而言,因為圖案130-5與130-15用來形成導線A-5與A-15,於一些實 施例中,圖案130-5與130-15每一者關聯於儲存在資料檔案內的寬度XA。類似地,圖案130-10關聯於寬度XB。於一些實施例中,當設計利用導線A-5與B-5的電路的佈局時,例如當在計算機內繪製時,資料檔案提供寬度XA與XB。結果,寬度XA且/或XB的尺寸可在佈局設計階段被調整。於一些實施例中,圖案130-5與130-15關聯於光罩M10的顏色,並且圖案130-10關聯於光罩M20的顏色。替代性地表示為,基於圖案130-5與130-10的對應顏色,形成導線A-5與B-10。
第13B圖係說明本揭示內容一些實施例之光罩M10之示意圖。光罩M10具有圖案140-5、140-10與140-15,分別對應於第13A圖的圖案130-5、130-10與130-15。
於一些實施例中,圖案140-5具有寬度XA2S,其為總寬度XA加上第13E圖的分隔物190-3與190-7的兩個寬度。替代性地表示為,設計寬度XA2S以適應分隔物190-3與190-7。於一些實施例中,分隔物190-3與190-7每一者的尺寸用來決定第13C圖的開口(Opening)150-5的尺寸。因此相應地決定寬度XA2S。於一些實施例中,寬度XS對於多種關聯於光罩M10的分隔物是相同的,舉例而言,包含第13E圖的分隔物190-8、190-12等。於一些實施例中,設計分隔物190-3、190-5、190-7、190-8、190-12的寬度WS,以致於介於第13K圖的導線A-5與導線B-10之 間的距離DAB等於或大於預定值,其稱為介於導線A-15與導線B-10之間的最小間隔值。
圖案140-10具有導線B-10的寬度XB,其相同於第13C圖的圖案150-10的寬度。
第13C圖係說明本揭示內容一些實施例之結構1300C之截面示意圖。於第13C圖中,硬式光罩層(Hard mask layer)150在緩衝層(Buffer layer)160上方,其依序位於介電層(Dielectric layer)170上方。硬式光罩層150的開口150-5與150-15為在硬式光罩層150上蝕刻製程的結果,基於第13B圖的圖案140-5與140-15。形成開口150-5與150-15之後,圖案150-10包含硬式光罩層150的硬式光罩材料。
於一些實施例中,加入緩衝層160於硬式光罩層150與介電層170之間,以保護介電層170。舉例而言,緩衝層160防止對介電層170的潛在損害,由於第13D圖的介電層170上方的層180的應力(Stress)。於一些實施例中,介電層170圍繞對應金屬層內的金屬帶。
第13D圖係說明本揭示內容一些實施例之結構1300D之截面示意圖。結構1300D為結構1300C沉積分隔物材料的層180的結果。層180包含開口180-5與180-15,分別對應第13A的圖案130-5與130-15。開口180-5與180-15每一者具有寬度XA,對應第13K圖的導線A-5與A-15的寬度XA。選擇層180的高度Y180以致於在蝕刻製程以形成第13E圖的結構1300E之後,第13E圖的層190的 厚度實質上相同於硬式光罩層150的厚度。有效地,高度Y180為硬式光罩層150的厚度的函數。
於一些實施例中,包含開口180-5底面的層180的暴露表面(Exposed surface)在相同時間被蝕刻。於一些實施例中,蝕刻包含撞擊離子至層180的表面與開口180-5的底面。當開口180-5的底面達到層160的表面時,層180的表面與圖案150-10的表面同高度,蝕刻操作停止,造成第13E圖的結構1300E。如第13E圖所示,結構1300D內的層180的分隔物材料的一部分被移除,導致造成四個分隔物190-3、190-7、190-8與190-12。有效地,基於硬式光罩層150的厚度,形成層190以具有分隔物190-3、190-7、190-8、190-12、圖案150-10,與其他硬式光罩材料,為了簡化而未繪示。
分隔物190-3與190-7定義開口190-5。分隔物190-7與190-8鄰近硬式光罩圖案150-10。於一些實施例中,層190的表面實質平坦。舉例而言,圖案150-10的表面與分隔物190-3、190-7、190-8與190-12的表面約位於相同水平面。第13D圖的開口180-5與180-15造成對應的開口190-5與190-15,其具有位於層160表面的底面。
第13F圖用以說明第二光罩M20用來蝕刻結構1300E以造成第13G圖的結構1300G。簡化而言,結構1300E的元件未標示於第13F圖。於第13F圖,光罩M20具有對應圖案150-10的圖案200-10,以及分別對應開口 190-5、190-15的圖案200-5、200-15。圖案200-5與200-15防止開口190-5與190-15被蝕刻。
圖案200-10用來蝕刻並因此移除硬式光罩圖案150-10。於一些實施例中,圖案200-10的寬度等於或大於硬式光罩圖案150-10的寬度,於一些實施例中,其為XB。於光罩圖案200-10的寬度大於硬式光罩圖案150-10寬度的情況中,蝕刻操作並不蝕刻穿過分隔物190-3、190-7、190-8或190-12,於一些實施例中,因為形成分隔物190-3、190-7、190-8與190-12的層180的分隔物材料選擇以耐受蝕刻操作。換言之,於蝕刻除去圖案150-10當中,第13G圖的開口210-10順應於圖案150-10的寬度XB,其透過分隔物190-7與190-8定義。
第13H圖係說明本揭示內容一些實施例之結構1300H之截面示意圖。結構1300H為結構1300G蝕刻進入介電層170,並造成開口220-5、220-10、220-15。有效地,基於開口190-5、210-10與190-15,蝕刻操作蝕刻穿過緩衝層160,進入介電層170。
係說明本揭示內容一些實施例之結構1300I之示意圖。結構1300I為結構1300H移除層190與160的結果。結構1300I具有開口230-5、230-10與230-15,其稱為介電層170的凹槽(Trench)。
第13J圖係說明本揭示內容一些實施例之結構1300J之示意圖。結構1300J為結構1300I填滿導電材料的 的層250的結果,於一些實施例中,其為金屬。導電材料填滿凹槽230-5、230-10、230-15與介電層170的表面235。
第13K圖為係說明本揭示內容一些實施例之結構1300K之示意圖。結構1300K為結構1300J以化學機械研磨(Chemical Mechanical Process,CMP)操作處理的結果。於一些實施例中,化學機械研磨操作移除表面235上方的導電材料,並且留下凹槽230-5、230-10、230-15內的導電材料,以分別形成導線A-5、B-10與A-15。有效地,導線A-5、B-10與A-15受到介電層170A的介電材料圍繞。多個實施例已被描述。儘管如此,在不脫離本揭示內容的精神與範圍內,可進行多種類的修改。舉例而言,於多種實施例中,當訊號軌跡牽引大量電流,為了此種訊號軌跡而形成具有較寬的寬度的導線。於另一例中,於一些實施例中,流經汲極且/或源極的電流大幅高於流經相同電晶體的閘極的電流。於該些實施例中,關於汲極且/或源極的導線形成具有較寬的寬度,舉例而言,透過利用第二光罩M20。
第14圖係說明本揭示內容一些實施例之舉例形成電路之導線之方法1400之流程圖。
於操作1410,訊號軌跡分組為第一訊號軌跡的第一群組與第二訊號軌跡的第二群組。於一些實施例中,第一訊號軌跡具有第一寬度,並且第二訊號軌跡具有不同於第一寬度的第二寬度。此外,分組基於以下至少一條件:流經該些訊號軌跡之一訊號軌跡的電流、該訊號軌跡的長度、該訊號軌跡的電阻率或該訊號軌跡的電阻電容常數。
於操作1420中,第一光罩,例如光罩M10,用來形成用於第一群組的第一訊號軌跡的第一導線,並且第二光罩,例如光罩M20,用來形成用於第二群組的第二訊號軌跡的第二導線。
第15圖係說明本揭示內容一些實施例之舉例形成電路之導線之方法之流程圖1500。
於一些實施例中,流程圖1500的操作基於用來形成第一導線的第一光罩與用來形成第二導線的第二光罩。於操作1510,識別第一光罩,例如光罩M10,以及第二光罩,例如光罩M20。
於操作1520,若第一導線的寬度大於第二導線的寬度,則第一光罩用來形成第三導線,或者若第二導線的寬度大於第一導線的寬度,則第二光罩用來形成第三導線。於一些實施例中,第三導線連接第一電路元件,其距離第二電路元件一預定值。
第16圖係說明本揭示內容一些實施例之舉例形成電路之導線之另一方法之流程圖1600。
於一些實施例中,流程圖1600基於相似於第二電路的第一電路,第一光罩用來形成具有第一寬度的第一導線,第二光罩用來形成具有第二寬度的第二導線,第二寬度不同於第一寬度。於一些實施例中,第一光罩為光罩M10,並且第二光罩為光罩M20。
於操作1610,第一光罩用來形成第一電路的第三導線與第二電路的第四導線。於一些實施例中,第三導線的每一導線對應於第四導線的每一導線。
於操作1620,第二光罩用來形成第一電路的第五導線與第二電路的第六導線。於一些實施例中,第五導線的每一導線對應於第六導線的每一導線。此外,第一電路的第三導線承載各別第一電流,並且第一電路的第五導線承載各別第二電流,第一電流的電流值少於第二電流的電流值。
上述舉例說明係基於兩個光罩,光罩M10與M20。然而,可使用多於兩個光罩。舉例而言,此處揭示的發明概念適用於包含自我對準多圖案化的多圖案化技術。相似於兩個光罩的情況,多於兩個光罩情況的每一光罩用來形成一組導線。於多種實施例中,後續光罩用來形成一組具有寬度大於前光罩形成導線的寬度的導線。舉例而言,若三個光罩依照光罩MA10、MA20與MA30順序使用,透過第二光罩MA20形成的導線具有的寬度大於透過第一光罩MA10形成的導線的寬度,並且透過第三光罩MA30形成的導線具有的寬度大於透過第二光罩MA20形成的導線寬度。
然而,對應用來形成導線的光罩的其他順序的導線的其他寬度涵蓋於本揭示內容的預期範圍內。以不同方式解釋,形成具有較大寬度的導線,無論用來形成導線的光照的順序。舉例而言,光罩MA10可用來形成導線,其具有的寬度大於透過光罩MA20或光罩MA30形成的導線寬度之一或兩者。舉另一例而言,於上述說明中,第一光罩M10 提供導線A-5,其具有寬度小於透過第二光罩M20形成的導線B-10的寬度。於其他實施例中,第一光罩M10提供導線,其具有寬度大於透過第二光罩M20形成的導線的寬度。於此種情況中,透過第一光罩M10形成的導線用於長訊號軌跡。
其他方式以形成不同組導線,具有不同寬度的每一組導線涵蓋於本揭示內容的預期範圍內。多種電路用於舉例目的,包含反相器環300。其他電路涵蓋於本揭示內容的預期範圍內。
於關於方法的一些實施例中,形成用於電路的導線。將複數個訊號軌跡分組為複數個第一訊號軌跡之第一群組或複數個第二訊號軌跡之第二群組。利用第一光罩形成用於第一群組之第一訊號軌跡第一導線。利用第二光罩形成用於第二群組之第二訊號軌跡第二導線。第一訊號軌跡每一者具有第一寬度。第二訊號軌跡每一者具有相異於第一寬度之第二寬度。分組步驟基於以下條件至少一者:流經該複數個訊號軌跡之訊號軌跡的電流、訊號軌跡之長度、訊號軌跡之電阻率或訊號軌跡之電阻率電容常數。
一些關於方法的實施例為基於用於形成形成第一導線的第一光罩與用於形成第二導線的第二光罩。若第一導線的寬度大於第二導線的寬度,第一光罩用來形成第三導線。若第二導線的寬度大於第一導線的寬度,第二光罩用來形成第三導線。第三導線連接第一電路元件,其距離第二電路元件一預定值。
於一些實施例中,一種方法基於相似於第二電路的第一電路、用來形成第一導線的第一寬度的第一光罩、用來形成第二導線的第二寬度的第二光罩,第一寬度不同於第二寬度。第一光罩用來形成第一電路的第三導線與第二電路的第四導線。第三導線的每一導線對應第四導線的每一導線。第二光罩用來形成第一電路的第五導線與第二電路的第六導線。第五導線的每一導線對應第六導線的每一導線。第一電路的第三導線承載各別的第一電流,並且第一電路的第五導線承載各別的第二電流。第一電流的每一電流值小於第二電流的每一電流值。
多種電晶體示為特殊摻雜物(Dopant)類型(例如N型或P型金氧半(NMOS或PMOS))為舉例目的。本揭示內容的實施例不限於特殊類型。選擇不同摻雜物類型用於特殊電晶體涵蓋在本揭示內容的預期範圍內。使用於上述說明內容的多種訊號的低或高邏輯數值亦為舉例。當訊號活化(Activate)且/或鈍化(Deactivate)時,多種實施例不限於特殊邏輯數值。選擇不同的邏輯數值涵蓋在本揭示內容多種實施例的範圍內。於多種實施例中,電晶體作用為開關。於多種實施例中,電晶體的源極可配置為汲極,並且汲極可配置為源極。於一些實施例中,當第一值隊第二值的比值高於90%時,第一值實質上相同於第二值。其他比值且/或不同方式以決定第一值是否實質相同於第二值涵蓋在本揭示內容的預期範圍內。舉例的比值包含87%、85%、80%等。
雖然本揭示內容已以實施方式揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本揭示內容之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視申請專利範圍所界定者為準。
1400‧‧‧形成電路之導線之方法
1410~1420‧‧‧操作

Claims (10)

  1. 一種形成用於一電路之複數個導線之方法,包含:將複數個訊號軌跡(Signal trace)分組為複數個第一訊號軌跡之一第一群組或複數個第二訊號軌跡之一第二群組;利用一第一光罩形成用於該第一群組之一第一訊號軌跡之一第一導線;以及利用一第二光罩形成用於該第二群組之一第二訊號軌跡之一第二導線;其中該第一訊號軌跡每一者具有一第一寬度;該第二訊號軌跡每一者具有相異於該第一寬度之一第二寬度;該分組步驟基於以下條件至少一者:流經該複數個訊號軌跡之一訊號軌跡之一電流;該訊號軌跡之一長度;該訊號軌跡之一電阻率;或該訊號軌跡之一電阻率電容常數(Resistivity-capacitive constant)。
  2. 如請求項1所述之方法,其中該第二光罩在該第一光罩之後使用;該第二導線之一寬度大於該第一導線之一寬度,或者該第一導線之該寬度大於該第二導線之該寬度。
  3. 如請求項1所述之方法,其中該第二光罩在該第一光罩之後使用;該第二導線之一寬度大於一預定值,其中該預定值基於以下其中一者:一半導體結構內之一金屬結構之一預定寬度;該半導體結構內之一多晶矽結構之一預定寬度;該金屬結構與該多晶矽結構之間之一預定寬度;二金屬結構之間之一預定寬度;或該二金屬結構之間之一預定最小寬度。
  4. 如請求項1所述之方法,其中該第二光罩在該第一光罩之後使用;該第二導線之一電阻率小於若透過該第一光罩形成之該第二導線之一電阻率;或該第二導線之一電阻率電容常數小於若透過該第一光罩形成之該第二導線之一電阻率電容常數;或流經該第二導線之一電流小於流經若透過該第一光罩形成之該第二導線之一電流。
  5. 一種形成用於一電路之複數個導線之方法,包含:基於用以形成一第一導線之一第一光罩與用以形成一第二導線之一第二光罩,若該第一導線之一寬度大於該第二導線之一寬度,利用該第一光罩形成一第三導線;或者 若該第二導線之該寬度大於該第一導線之該寬度,利用該第二光罩形成該第三導線;其中該第三導線連接一第一電路元件,該第一電路元件距離一第二電路元件一預定值。
  6. 如請求項5所述之方法,其中該預定值基於以下其中一者:一半導體結構內之一金屬結構之一預定寬度;該半導體結構內之一多晶矽結構之一預定寬度;該金屬結構與該多晶矽結構之間之一預定寬度;二金屬結構之間之一預定寬度;或該二金屬結構之間之一預定最小寬度。
  7. 如請求項5所述之方法,其中該第三導線之一長度大於五個距離單位;一距離單位包含以下其中一者:該金屬結構之該預定寬度;該多晶矽結構之該預定寬度;該金屬結構與該多晶矽結構之間之該預定寬度;或二金屬結構之間之一預定寬度。
  8. 如請求項5所述之方法,其中該第一電路元件包含一P型電晶體之一源極(Source)、一第一電路之一輸出或一第一反相器之一輸出;該第二電路元件包含一N型電晶體之一汲極(Drain)、一第二電路之一輸入 或一第二反相器之一輸入;該P型電晶體與該N型電晶體形成一反相器,該第一反相器與該第二反相器為一反相器環(Inverter ring)之部分。
  9. 一種形成用於一電路之複數個導線之方法,包含:基於相似於一第二電路之一第一電路,第一光罩用以形成具有一第一寬度之一第一導線,第二光罩用以形成具有一第二寬度之一第二導線,該第一寬度相異於該第二寬度,利用該第一光罩形成該第一電路之複數個第三導線與該第二電路之複數個第四導線,其中該些第三導線每一者對應該些第四導線每一者;以及利用該第二光罩形成該第一電路之複數個第五導線與該些第二電路之複數個第六導線,其中該些第五導線每一者對應該些第六導線每一者;其中該第一電路之該些第三導線承載各別的複數個第一電流,並且該第一電路之該些第五導線承載各別的複數個第二電流;該些第一電流之一電流值小於該些第二電流之一電流值。
  10. 如請求項9之方法,其中該第一電路與該第二電路為一相同對稱電路之每一部分或一相同電流鏡(Current mirror)之每一部分。
TW104115618A 2014-05-19 2015-05-15 形成用於電路之複數個導線之方法 TWI613757B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462000317P 2014-05-19 2014-05-19
US62/000,317 2014-05-19
US14/709,938 US9773076B2 (en) 2014-05-19 2015-05-12 Conductive lines in circuits
US14/709,938 2015-05-12

Publications (2)

Publication Number Publication Date
TW201606936A TW201606936A (zh) 2016-02-16
TWI613757B true TWI613757B (zh) 2018-02-01

Family

ID=54361854

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104115618A TWI613757B (zh) 2014-05-19 2015-05-15 形成用於電路之複數個導線之方法

Country Status (4)

Country Link
US (4) US9773076B2 (zh)
KR (1) KR101688730B1 (zh)
DE (1) DE102015107688A1 (zh)
TW (1) TWI613757B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9773076B2 (en) * 2014-05-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive lines in circuits
US10275562B2 (en) * 2016-11-29 2019-04-30 Taiwan Semiconductor Manufacturing Company Limited Method of decomposing a layout for multiple-patterning lithography
US10685925B2 (en) * 2018-01-26 2020-06-16 Nvidia Corporation Resistance and capacitance balancing systems and methods
US10699943B2 (en) * 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020022311A1 (en) * 2000-08-17 2002-02-21 Yuji Takeuchi Semiconductor device and manufacturing method thereof
US20080169862A1 (en) * 2007-01-12 2008-07-17 Samsung Electronics Co., Ltd. Semiconductor device and methods for controlling its patterns

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6038383A (en) * 1997-10-13 2000-03-14 Texas Instruments Incorporated Method and apparatus for determining signal line interconnect widths to ensure electromigration reliability
JP3616611B2 (ja) 2002-05-14 2005-02-02 株式会社東芝 半導体集積回路装置の設計装置、半導体集積回路の設計方法および半導体集積回路設計プログラム
KR100851197B1 (ko) * 2007-03-08 2008-08-08 삼성에스디아이 주식회사 평판 표시장치
JP5269428B2 (ja) 2008-02-01 2013-08-21 株式会社東芝 半導体装置及びその製造方法
KR101016826B1 (ko) 2009-02-13 2011-02-21 주식회사 하이닉스반도체 반도체 소자의 패턴 및 이의 형성 방법
KR101616744B1 (ko) * 2009-08-25 2016-04-29 삼성전자주식회사 포토 마스크, 기판의 노광 방법, 패턴의 형성방법 및 반도체 소자의 제조방법
US8631379B2 (en) 2010-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Decomposing integrated circuit layout
KR20130022227A (ko) * 2011-08-25 2013-03-06 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US8601416B2 (en) 2012-03-15 2013-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of circuit design yield analysis
US8713491B2 (en) 2012-03-29 2014-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-colored methodology of multiple patterning
US9361423B2 (en) 2012-04-13 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. RC corner solutions for double patterning technology
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
US8745556B2 (en) 2012-06-28 2014-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. Layout method and system for multi-patterning integrated circuits
US8769451B2 (en) 2012-07-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device design method, system and computer program product
US8775993B2 (en) 2012-08-31 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design flow with layout-dependent effects
US8782575B1 (en) 2013-01-23 2014-07-15 Taiwan Semiconductor Manufacturing Company Limited Conflict detection for self-aligned multiple patterning compliance
US8793640B1 (en) 2013-03-12 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for RC extraction
US8887116B2 (en) 2013-03-14 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Flexible pattern-oriented 3D profile for advanced process nodes
US9773076B2 (en) * 2014-05-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive lines in circuits

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020022311A1 (en) * 2000-08-17 2002-02-21 Yuji Takeuchi Semiconductor device and manufacturing method thereof
US20080169862A1 (en) * 2007-01-12 2008-07-17 Samsung Electronics Co., Ltd. Semiconductor device and methods for controlling its patterns

Also Published As

Publication number Publication date
KR101688730B1 (ko) 2016-12-21
US9773076B2 (en) 2017-09-26
DE102015107688A1 (de) 2015-11-19
US20150332960A1 (en) 2015-11-19
US11106835B2 (en) 2021-08-31
US10360314B2 (en) 2019-07-23
US20180011947A1 (en) 2018-01-11
KR20150133156A (ko) 2015-11-27
TW201606936A (zh) 2016-02-16
US20190340309A1 (en) 2019-11-07
US20210390217A1 (en) 2021-12-16

Similar Documents

Publication Publication Date Title
US10381338B2 (en) Metal fill optimization for self-aligned double patterning
US8916441B2 (en) FinFET device and methods of fabrication
TWI613757B (zh) 形成用於電路之複數個導線之方法
US7859111B2 (en) Computer implemented method for designing a semiconductor device, an automated design system and a semiconductor device
JP5509599B2 (ja) 半導体集積回路
US7719115B2 (en) Semiconductor integrated circuit including a multi-level interconnect with a diagonal wire
JP5650878B2 (ja) ダミーパターンの設計方法、露光マスク、半導体装置、半導体装置の製造方法およびダミーパターンの設計プログラム
US9892224B2 (en) Method of forming masks
US20050141764A1 (en) Pattern analysis method and pattern analysis apparatus
US8875067B2 (en) Reusable cut mask for multiple layers
TW202013657A (zh) 半導體單元結構
US9530731B2 (en) Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
JP2008205283A (ja) 半導体集積回路装置の配線構造並びにその設計方法及び設計装置
US7091614B2 (en) Integrated circuit design for routing an electrical connection
TWI690049B (zh) 孔柱結構及其製造的方法
TW202205614A (zh) 積體電路
JP5021891B2 (ja) 半導体集積回路のパターン生成方法および半導体集積回路、その製造方法
US20090121357A1 (en) Design structure for bridge of a seminconductor internal node
JP2007036290A (ja) 半導体集積回路装置
KR20200079173A (ko) 반도체 집적 회로 레이아웃 설계 방법
TW201820619A (zh) 半導體結構
JP2009135264A (ja) スタンダードセル、スタンダードセルライブラリ、半導体集積回路およびその設計方法
JP2015029137A (ja) 半導体装置
JP2007294499A (ja) 半導体装置
JP2013239063A (ja) ダミーパターンの設計方法