TWI648856B - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TWI648856B
TWI648856B TW106111867A TW106111867A TWI648856B TW I648856 B TWI648856 B TW I648856B TW 106111867 A TW106111867 A TW 106111867A TW 106111867 A TW106111867 A TW 106111867A TW I648856 B TWI648856 B TW I648856B
Authority
TW
Taiwan
Prior art keywords
fin
gate
source
drain region
fins
Prior art date
Application number
TW106111867A
Other languages
English (en)
Other versions
TW201806155A (zh
Inventor
程潼文
羅威揚
陳志山
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201806155A publication Critical patent/TW201806155A/zh
Application granted granted Critical
Publication of TWI648856B publication Critical patent/TWI648856B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates

Abstract

本揭露之實施例的結構包含第一鰭位於基底上方,第二鰭位於基底上方,第二鰭與第一鰭相鄰。隔離區圍繞第一鰭和第二鰭,隔離區的第一部分位於第一鰭與第二鰭之間。閘極結構沿著第一鰭和第二鰭的側壁和上表面上方設置,閘極結構定義第一鰭和第二鰭中的通道區。閘極密封間隙壁位於閘極結構的側壁上,閘極密封間隙壁的第一部分位於隔離區的第一部分上且位於第一鰭與第二鰭之間,以及源極/汲極區位於第一鰭和第二鰭上且與閘極結構相鄰。

Description

半導體結構及其製造方法
本揭露係有關於半導體技術,且特別是有關於鰭式場效電晶體的結構及其製造方法。
半導體產業為尋求更高的元件密度、更高效能與更低成本,其製程技術已進步到奈米節點。來自製造與設計問題上的挑戰發展出三維設計,如鰭式場效電晶體(fin field effect transistor,FinFET)。一般的鰭式場效電晶體具有製造出自基板延伸的薄且垂直的鰭(或鰭狀結構),其透過例如蝕刻基板的矽層的一部分形成。鰭式場效電晶體的通道區形成於此垂直鰭中,閘極則位於鰭上方(例如包覆鰭)。具有在通道區兩側的閘極可由通道區兩側對通道區進行閘極控制。然而,在半導體製造中實施這樣的特徵部件和製程仍有挑戰。
在一些實施例中,本揭露提供半導體結構,其包含第一鰭位於基底上方,第二鰭位於基底上方,第二鰭與第一鰭相鄰,隔離區圍繞第一鰭和第二鰭,隔離區的第一部分位於第一鰭與第二鰭之間,閘極結構沿著第一鰭和第二鰭的側壁和上表面上方設置,閘極結構定義第一鰭和第二鰭中的通道區, 閘極密封間隙壁位於閘極結構的側壁上,閘極密封間隙壁的第一部分位於隔離區的第一部分上且位於第一鰭與第二鰭之間,以及源極/汲極區位於第一鰭和第二鰭上且與閘極結構相鄰。
在其他實施例中,本揭露提供半導體結構的製造方法,其包含在基底上形成複數鰭,形成隔離區圍繞這些鰭,隔離區的第一部分位於相鄰的鰭之間,在這些鰭上方形成閘極結構,在閘極結構的側壁上形成閘極密封間隙壁,閘極密封間隙壁的第一部分位於隔離區的第一部分上且位於相鄰的鰭之間,以及在閘極結構的相對兩側形成複數個源極/汲極區,這些源極/汲極區的至少一個延伸於閘極密封間隙壁的第一部分上方。
在另外一些實施例中,本揭露提供半導體結構的製造方法,其包含在基底上形成第一鰭和第二鰭,第二鰭與第一鰭相鄰,沉積隔離材料圍繞第一鰭和第二鰭,隔離材料的第一部分位於第一鰭與第二鰭之間,第一鰭和第二鰭的上方部分延伸於隔離材料的頂表面上方,沿著第一鰭和第二鰭的側壁和上表面上方形成閘極結構,閘極結構定義第一鰭和第二鰭中的通道區,在閘極結構的側壁上沉積閘極密封間隙壁,閘極密封間隙壁的第一部分位於隔離材料的第一部分上且位於第一鰭與第二鰭之間,將閘極結構外面的第一鰭和第二鰭凹陷,以在第一鰭中形成第一凹口,且在第二鰭中形成第二凹口,以及在第一鰭的第一凹口和第二鰭的第二凹口中磊晶成長第一源極/汲極區,閘極密封間隙壁的第一部分介入於隔離材料的第一部 分與第一源極/汲極區之間。
30‧‧‧鰭式場效電晶體
32、50‧‧‧基底
34、62‧‧‧隔離區
36、64、64/60‧‧‧鰭
38‧‧‧閘極介電質
66‧‧‧閘極介電質(虛設閘極介電質)
40、98‧‧‧閘極電極
42、44、80‧‧‧源極/汲極區
56‧‧‧遮罩層(硬遮罩)
60‧‧‧半導體條帶
68‧‧‧閘極(虛設閘極)
70‧‧‧遮罩
72、72’‧‧‧閘極密封間隙壁
76‧‧‧凹口
84‧‧‧覆蓋層
86‧‧‧閘極間隙壁
90‧‧‧層間介電質
92、102‧‧‧接點
96‧‧‧閘極介電層
A、B‧‧‧區域
L1‧‧‧第一層
L2‧‧‧第二層
W1‧‧‧寬度
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示中的各種特徵部件並未必按照比例繪製。事實上,可能任意的放大或縮小各種特徵部件的尺寸,以做清楚的說明。
第1圖顯示鰭式場效電晶體(FinFET)的一範例之立體圖。
第2-6圖、第7A-7C圖、第8A-8C圖、第9A-9C圖、第10-14圖顯示依據本揭露的一些實施例之鰭式場效電晶體的製造之中間階段的立體圖和剖面示意圖。
第15-16圖顯示依據本揭露的一些實施例之閘極後製(gate-last)結構之製程的中間階段的剖面示意圖。
要瞭解的是本說明書以下的揭露內容提供許多不同的實施例或範例,以實施本揭露的不同特徵部件。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化本揭露的說明。當然,這些特定的範例並非用以限定本揭露。例如,元件的尺寸並不侷限於本揭露的範圍或值,而可取決於裝置的製程條件及/或所需性質。再者,若是本說明書以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了尚可將附加的特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可 能未直接接觸的實施例。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或特徵部件與另一(複數)元件或(複數)特徵部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語涵蓋使用或操作中的裝置的不同方位。例如,若翻轉圖式中的裝置,描述為位於其他元件或特徵部件“下方”或“在...之下”的元件,將定位為位於其他元件或特徵部件“上方”。因此,範例的用語“下方”可涵蓋上方及下方的方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
本揭露提供各種實施例的鰭式場效電晶體(FinFET)及其形成方法,並說明形成鰭式場效電晶體的中間階段。此處討論的一些實施例為使用閘極先製(gate-first)製程形成的鰭式場效電晶體。在其他實施例中,可使用閘極後製(gate-last)製程(有時也被稱為取代閘極製程)。本揭露亦討論實施例的一些變化。本發明所屬技術領域中具有通常知識者能夠了解,可在不脫離本發明之精神和範圍做出更動及潤飾。雖然以特定的順序討論方法實施例,但是可依任何合乎邏輯的順序實施各種其他方法實施例,且可包含比在此描述內容更少或更多的步驟。
在說明的實施例被明確地提出之前,本揭露的實施例之某些具有優點的特徵部件和觀點將被概略地提出。一般來說,本揭露的半導體裝置及其形成方法提供簡單且有成本效益的製程流程,來達成至少在接近鰭式場效電晶體的通道區的位置具有較少缺陷(例如差排)的鰭式場效電晶體的磊晶源極/汲極,以增強裝置。此外,此簡單且有成本效益的製程流程可透過減少相鄰的鰭之間的漏電,達成相鄰的鰭之間較好的隔離,且也可縮小源極/汲極區的接觸阻抗。特別來說,例如以下揭示的實施例包含製程流程和結構,其使用磊晶成長的源極/汲極區與在源極/汲極區中相鄰的鰭之間餘留的隔離區(例如淺溝槽隔離(shallow trench isolation,STI)區)的一些隔離材料和一些側壁間隙壁材料。因為餘留的隔離材料和間隙壁材料減少相鄰的鰭之間的磊晶體積的量,所以此餘留的隔離材料和間隙壁材料抑制差排的產生。再者,餘留的隔離材料和間隙壁材料可降低磊晶源極/汲極結構之間的電容,此降低的電容可使裝置得到較好的交流電(alternating current,AC)效能。再者,磊晶源極/汲極結構的上表面可具有非平面(例如起伏不平及/或波浪般)的頂表面,其可增加與上方接點的接觸表面面積,此增加的接觸表面面積可降低源極/汲極區的接觸阻抗。
第1圖顯示鰭式場效電晶體30之一範例的立體圖。鰭式場效電晶體30包含鰭36在基底32上。基底32包含隔離區34,且鰭36從相鄰的隔離區34之間向上突出。閘極介電質38沿著鰭36的側壁和頂表面上方設置,且閘極電極40位於閘極介電質38上方。源極/汲極區42和44就閘極介電質38和閘極電極 40而言設置於鰭36的相對兩側中。第1圖更顯示在後續圖式中使用的參考剖面線。剖面線B-B橫跨鰭式場效電晶體30的通道區、閘極介電質38和閘極電極40,剖面線C-C與剖面線B-B平行且橫跨源極/汲極區42,剖面線A-A與剖面線B-B垂直且沿著鰭36的長軸,且在例如源極/汲極區42和44之間的電流流動的方向上。後續圖式參考這些參考剖面線以求明確。
第2-6圖、第7A-7C圖、第8A-8C圖、第9A-9C圖、第10-14圖為依據本揭露的一些實施例之鰭式場效電晶體之製造的中間階段的立體圖和剖面示意圖。第2-6圖、第7A-7C圖、第8A-8C圖、第9A-9C圖、第10-16圖顯示之鰭式場效電晶體相似於第1圖的鰭式場效電晶體30,除了具有複數鰭之外。第2-6圖顯示沿剖面線B-B的剖面示意圖。在第7A-9C圖中,圖式編號末端有“A”的圖式為立體圖,圖式編號末端有“B”的圖式顯示沿剖面線B-B的剖面示意圖,而圖式編號末端有“C”的圖式顯示沿剖面線C-C的剖面示意圖。第10圖和第12-14圖顯示沿剖面線C-C的剖面示意圖,第11圖顯示沿剖面線A-A的剖面示意圖。
第2圖顯示基底50,基底50可為半導體基底,例如塊體半導體、絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底或類似基底,其可被摻雜(例如p型或n型摻雜物)或不摻雜。基底50可為晶圓,例如矽晶圓。一般來說,絕緣層上覆半導體(SOI)基底包含一層半導體材料形成於絕緣層上。此絕緣層可例如為埋置氧化(buried oxide,BOX)層、氧化矽層或類似物。絕緣層提供於基底上,一般為矽基底或玻璃基底,也可 使用其他基底例如多層基底或梯度(gradient)基底。在一些實施例中,基底50的半導體材料可包含矽;鍺;化合物半導體包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs,GaInP及/或GaInAsP;或前述之組合。
基底50可包含積體電路元件(未顯示)。本發明所屬技術領域中具有通常知識者可以理解各種廣泛的積體電路元件例如電晶體、二極體、電容器、電阻器、類似元件或前述之組合可形成於基底50中及/或基底50上,以產生設計鰭式場效電晶體的結構和功能需求。積體電路元件可透過使用任何合適的方法形成。
第3圖顯示在基底50上方之遮罩層56的形成和圖案化,且使用遮罩層56來圖案化基底50來形成半導體條帶(strip)60。在一些實施例中,遮罩層56為硬遮罩且以下可被稱為硬遮罩56。硬遮罩56可由氮化矽、氮氧化矽、碳化矽、碳氮化矽、類似物或前述之組合。
在一些實施例中,半導體條帶60可透過在基底50中蝕刻出溝槽形成。此蝕刻可以是任何合適的蝕刻製程,例如反應式離子蝕刻(reactive ion etching,RIE)、中子束蝕刻(neutral beam etch,NBE)、類似製程或前述之組合。此蝕刻可為非等向性(anisotropic)。
第4圖顯示在相鄰的半導體條帶60之間形成絕緣材料以形成隔離區62。絕緣材料可為例如氧化矽之氧化物、氮化物、類似物或前述之組合,且可透過高密度電漿化學氣相沉 積(high density plasma chemical vapor deposition,HDP-CVD)、流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)(例如在遠距電漿系統中以化學氣相沉積為主之材料的沉積,且之後將其固化,使其轉變成另一種材料,例如氧化物)、類似製程或前述之組合形成。可使用透過任何合適的製程形成的其他絕緣材料。在說明的實施例中,絕緣材料為透過流動式化學氣相沉積(FCVD)製程形成的氧化矽。當絕緣材料形成後,可實施退火製程。再者,在第4圖中,平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP)製程可移除任何多餘的絕緣材料(和硬遮罩(假如硬遮罩仍存在)),且形成之隔離區62的頂表面和半導體條帶60的頂表面為共平面。
第5圖顯示隔離區62的凹陷,例如形成淺溝槽隔離(STI)區62。將隔離區62凹陷使半導體條帶60的上方部從相鄰的隔離區62之間突出並形成半導體鰭64。隔離區62的頂表面可具有如圖示之平坦的表面、凸面、凹面(例如凹陷)或前述之組合,隔離區62的頂表面可透過合適的蝕刻形成平坦面、凸面及/或凹面。可透過合適的蝕刻製程將隔離區62凹陷,例如對隔離區62的材料有選擇性的蝕刻製程。舉例來說,可使用CERTAS®蝕刻的化學氧化物移除製程或Applied Materials SICONI設備或稀釋的氫氟酸(dilute hydrofluoric,DHF)。
第2-5圖顯示形成鰭64的實施例,但是鰭可在各種不同的製程中形成。在一實施例中,透過在基底中蝕刻出溝槽以形成半導體條帶,介電層可填充於溝槽中,且可將介電層凹陷使半導體條帶從介電層突出以形成鰭。在另一實施例中,介 電層可形成於基底的頂表面上方,可蝕刻出穿透介電層的溝槽,同質磊晶(homoepitaxial)結構可磊晶成長於溝槽中,且可將介電層凹陷使同質磊晶結構從介電層突出以形成鰭。在另一實施例中,可使用異質磊晶(heteroepitaxial)結構作為鰭。舉例來說,可將半導體條帶凹陷,並將不同於半導體條帶的材料磊晶成長於半導體條帶凹陷的位置。在另一實施例中,介電層可形成於基底的頂表面上方,可蝕刻出穿透介電層的溝槽,使用不同於基底的材料在溝槽中磊晶成長異質磊晶結構,且可將介電層凹陷使異質磊晶結構從介電層突出以形成鰭。在磊晶成長同質磊晶結構或異質磊晶結構的一些實施例中,磊晶成長的材料可在成長期間原位(in situ)摻雜,雖然原位和佈植摻雜可一起使用,其可免除預先和後續的佈植。再者,在N型金屬氧化物半導體(n-type metal oxide semiconductor,NMOS)區域磊晶成長不同於在P型金屬氧化物半導體(p-type metal oxide semiconductor,PMOS)磊晶成長的材料是有利的。在各種實施例中,鰭可包括矽鍺(SixGe1-x,其中x可介於約0至100之間)、碳化矽、純或大致純的鍺、III-V族化合物半導體、II-VI族化合物半導體或類似組成。舉例來說,用於形成III-V族化合物半導體的可用材料包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和類似化合物,但不限定於此。
第6圖顯示閘極結構形成於半導體鰭64上方。介電層(未顯示)形成於半導體鰭64和隔離區62上,介電層可例如為氧化矽、氮化矽、前述化合物的多層結構或類似組成,且可依 據合適的技術沉積或熱成長。在一些實施例中,介電層可為高介電常數(high-k)介電材料,且在這些實施例中,介電層的介電常數k大於約7.0,且可包含金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb的矽化物、前述化合物的多層結構或前述之組合。介電層的形成方法包含分子束沉積(molecular-beam deposition,MBD)、原子層沉積(atomic layer deposition,ALD)、電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)和類似方法。
閘極層(未顯示)形成於介電層上方,且遮罩層(未顯示)形成於閘極層上方。閘極層可沉積於介電層上方並將其透過例如化學機械研磨平坦化。遮罩層可沉積於閘極層上方。閘極層可例如由多晶矽形成,然而也可使用其他材料。在一些實施例中,閘極層可包含含金屬的材料例如TiN、TaN、TaC、Co、Ru、Al、前述之組合或前述的多層結構,遮罩層可例如由氮化矽或類似物形成。
在上述這些層形成之後,遮罩層可使用合適的光微影技術和蝕刻技術圖案化以形成遮罩70。遮罩70的圖案可接著透過合適的蝕刻技術轉移至閘極層和介電層來形成閘極68和閘極介電質66。閘極68和閘極介電質66覆蓋半導體鰭64各自的通道區,閘極68可具有長度方向大致垂直於半導體鰭64各自的長度方向。
第7A、7B和7C圖顯示閘極密封間隙壁(gate seal spacer)72形成於隔離區62、半導體鰭64、閘極68和遮罩70暴露的表面上,可透過熱氧化製程或沉積製程形成閘極密封間隙壁 72。在一些實施例中,閘極密封間隙壁72可由例如為氮化矽之氮化物、氮氧化矽、碳化矽、碳氮化矽、類似物或前述之組合形成。
第8A、8B和8C圖顯示移除部分在閘極結構的側壁外的閘極密封間隙壁。在一些實施例中,可使用例如乾蝕刻製程的非等向性蝕刻製程來移除部分在閘極結構的側壁外的閘極密封間隙壁72。在一些實施例中,在乾蝕刻製程之後,一些部分的閘極密封間隙壁72餘留在隔離區62上且位於相鄰的半導體鰭64之間(請參照第8C、9C、10和12-14圖)。一些閘極密封間隙壁72餘留在隔離區62上的原因至少一部份是因為閘極密封間隙壁72形成於隔離區62上的厚度大於閘極密封間隙壁72形成於半導體鰭64的頂表面上的厚度(請參照第7C圖)。
再者,如第8A、8B、8C圖和第9A、9B、9C圖所示,移除閘極結構外面的鰭64。閘極結構可用作移除鰭64的期間的遮罩。在一些實施例中,移除閘極結構外面的鰭64為多步驟的移除製程。在一實施例中,多步驟的移除製程包含第一乾蝕刻製程和第二濕蝕刻製程。如第8A、8B、8C圖所示,第一乾蝕刻製程移除閘極結構外面的鰭64上方部分,而保留在隔離區62上且位於相鄰鰭64之間的閘極密封間隙壁72’。第二濕蝕刻製程選擇性地蝕刻的鰭64的餘留部分,且在一些實施例中,蝕刻半導體條帶60至低於隔離區62的上表面,以在半導體鰭64及/或隔離區62中形成凹口76。
第一乾蝕刻製程可為任何合適的蝕刻製程,例如反應式離子蝕刻、中子束蝕刻、類似蝕刻製程或前述之組合。 在一實施例中,第一乾蝕刻製程為有著低轟擊能量的電漿乾蝕刻製程,使閘極密封間隙壁72’保留於隔離區62上且位於相鄰半導體鰭64之間,此蝕刻可為非等向性。在一些實施例中,第一乾蝕刻製程具有小於或等於約50V的偏壓,且在大於或等於約100mTorr之壓力的環境中。電漿可透過任何合適的產生電漿的方法產生,例如變壓耦合電漿產生器、感應耦合電漿系統、磁性增強反應式離子蝕刻、電子迴旋共振、遠距離電漿產生器或類似方法。
如第9A、9B、9C圖所示,在第一乾蝕刻製程之後,第二濕蝕刻製程更加移除位於餘留的隔離區62之間的鰭64/60和餘留的閘極密封間隙壁72’以形成凹口76。在一些實施例中,凹口76具有延伸至隔離區62之頂表面下方的表面。此第二濕蝕刻製程可為任何合適的蝕刻製程,例如四甲基氫氧化銨(tetramethyalammonium hydroxide,TMAH)、氨水(ammonium hydroxide,NH4OH)、在鰭64/60的材料和隔離區62的材料和閘極密封間隙壁72的材料之間具有好的蝕刻選擇比,可蝕刻鰭64/60的濕蝕刻劑,此蝕刻可為等向性(isotropic)。在一些實施例中,在實施乾蝕刻製程和濕蝕刻製程之後,餘留的閘極密封間隙壁72’由於蝕刻製程可具有圓頭的頂部表面(請參照第9C圖)。在一些實施例中,半導體條帶60的頂表面暴露出來作為凹口76的底表面的至少一部份。
第10圖顯示源極/汲極區80的形成。透過磊晶成長材料於凹口76中,源極/汲極區80形成於凹口76中,磊晶成長的方式例如金屬有機化學氣相沉積(metal-organic CVD, MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、類似的磊晶方式或前述之組合。如第10圖所示,由於位於相鄰的鰭64/60之間餘留的隔離區62和餘留的閘極密封間隙壁72’的阻擋,源極/汲極區80先垂直地成長於凹口76中(如第10圖中的區域B),在此期間源極/汲極區80不會水平地成長。在將凹口76完全填滿後,源極/汲極區80垂直和水平地成長來形成複數個面(如第10圖中的區域A)。由於蝕刻步驟及/或來自磊晶源極/汲極區80的成長的力量,如第10圖所示,餘留的閘極密封間隙壁72’可具有圓頭的頂表面和非平面的側壁(例如起伏不平及/或波浪般的側壁)。
在第10圖中,顯示有著區域A和區域B的類似雙層磊晶源極/汲極區80的結構。在此磊晶結構之間的結構(有時也被稱作磊晶間(Inter-Epitaxial)結構)包含餘留的隔離區62和餘留的閘極密封間隙壁72’,且也可被稱作磊晶間雙層(Inter-Epi Bi-Layer)結構。在一些實施例中,餘留的閘極密封間隙壁72’延伸於相鄰的鰭64/60上的源極/汲極區80的區域A之間並接觸區域A。磊晶間雙層結構包含由閘極密封間隙壁72’構成之第一層(L1)在由隔離區62構成之第二層(L2)上方。在一些實施例中,L1的高度在約9nm至約15nm的範圍內。L1的高度會幫助控制源極/汲極區80的磊晶體積,且磊晶體積會直接影響裝置的晶圓接受度測試(wafer acceptance test,WAT)表現的效能。在一些實施例中,L2的高度在約14nm至約20nm的範圍內。L2的 高度會幫助決定相鄰的鰭之間的電性隔離,且也會幫助控制源極/汲極區80的磊晶體積。在一些實施例中,磊晶間雙層結構的寬度(W1)在約17nm至約23nm的範圍內。磊晶間雙層結構的寬度W1越大,磊晶間雙層結構會對源極/汲極區80的磊晶體積施加越多的壓力,其會降低晶圓接受度測試之表現的效能,特別來說,其會降低飽和電流/開啟電流(Isat/Ion)之表現的效能。
如第10圖所示,相鄰鰭64/60的源極/汲極區80合併形成連續的磊晶源極/汲極區80。在一些實施例中,相鄰鰭64/60的源極/汲極區80不合併在一起,且維持為分離的源極/汲極區80。在一些例示性的實施例中,其產生的鰭式場效電晶體為n型鰭式場效電晶體,源極/汲極區80包括碳化矽(SiC)、磷化矽(SiP)、摻雜磷的矽碳(SiCP)或類似物。在一些其他例示性的實施例中,其產生的鰭式場效電晶體為p型鰭式場效電晶體,源極/汲極區80包括SiGe和例如硼或銦的p型雜質。
磊晶源極/汲極區80可透過佈植摻雜物和之後的退火形成。佈植製程可包含形成和圖案化例如為光阻的遮罩來覆蓋鰭式場效電晶體的一些區域,使其受到保護而不被佈植製程影響。源極/汲極區80可具有在約1019cm-3至約1021cm-3的範圍內的雜質濃度。在一些實施例中,磊晶源極/汲極區80在成長期間可以原位摻雜。
第11圖顯示沿著第1圖的剖面線A-A,第10圖的製造的中間階段之剖面圖。如第11圖所示,磊晶源極/汲極區80可具有從鰭64各自的表面升高的表面(例如升高至鰭64之非凹陷部分的上方)且可具有複數個面。第11圖更顯示閘極間隙壁 86沿著閘極結構的側壁位於閘極密封間隙壁72上,閘極間隙壁86可透過順應性地沉積材料並隨後非等向性地蝕刻此材料形成。閘極間隙壁86的材料可為氮化矽、SiCN、前述之組合或類似物。閘極間隙壁86可在磊晶源極/汲極區80之前或之後形成。在一些實施例中,虛設(dummy)閘極間隙壁在磊晶源極/汲極區80的磊晶製程之前,形成於閘極密封間隙壁72上,且在磊晶源極/汲極區80形成之後,移除虛設閘極間隙壁並以閘極間隙壁86取代之。
在源極/汲極區80形成之後,覆蓋層84形成於源極/汲極區80上。覆蓋層84可被視為源極/汲極區的一部分。在一些實施例中,覆蓋層84磊晶成長於源極/汲極區80上。覆蓋層84幫助保護源極/汲極區80在後續的製程(例如蝕刻製程、溫度製程等等)期間不會損失摻雜物。可控制源極/汲極區80的表面形貌為非平面(non-planar),如第10圖和第12圖所示,或為平面(未顯示)。
源極/汲極區80可具有大於40%的鍺濃度。源極/汲極區80的鍺濃度越高,可使源極/汲極區80施加越大的應力至鰭式場效電晶體的通道區。源極/汲極區80之較高摻雜濃度的部分可被視為應力層(stressor layer)。此外,覆蓋層84和應力層的摻雜物濃度可不同。舉例來說,覆蓋層84可具有小於約40%的鍺濃度,而應力層具有大於40%的鍺濃度。
在一些實施例中,應力層和覆蓋層84可形成於單一、連續的磊晶製程中。在其他實施例中,這些結構在分開的製程中形成。在有著單一、連續製程的實施例中,可改變磊晶 製程的製程參數(例如製程氣體流量、溫度、壓力等等)來形成有著不同材料組成的這些結構。舉例來說,在磊晶期間,含鍺的前驅物(例如GeH4)的流速在初始形成應力層(有時也可被稱作緩衝層)的期間可為第一級,且在轉換為形成應力層的主要部分時可增加至第二級。再者,含鍺的前驅物的流速在轉換為形成覆蓋層84時可從第二級降低至第三級。覆蓋層84和緩衝層可被視為源極/汲極區的一部分。
可實施鰭式場效電晶體裝置的後續製程,例如一層或多層層間介電質的形成和接點的形成。這些製程會於以下參考第13-14圖討論。
在第13圖中,層間介電質(interlayer dielectric,ILD)90沉積於第12圖的結構上方。層間介電質90由介電材料形成,例如磷矽玻璃(phosphosilicate glass,PSG)、硼矽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜矽玻璃(undoped silicate glass,USG)或其他類似介電材料,且可透過任何合適的方法沉積,例如化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)或流動式化學氣相沉積(FCVD)。
在第14圖中,形成接點92穿透層間介電質90。放入接點92的開口形成穿透層間介電質90,此開口可使用合適的光微影技術和蝕刻技術形成。在一些實施例中,在形成開口的期間,移除至少一部分的覆蓋層84。襯墊例如擴散阻障層、黏著層或類似物和導電材料形成於開口中。襯墊可包含鈦、氮化鈦、鉭、氮化鉭或類似物,導電材料可為銅、銅合金、銀、金、 鎢、鋁、鎳或類似物。可實施例如化學機械研磨的平坦化製程,從層間介電質90的表面移除多餘的材料,留下的襯墊和導電材料形成開口中的接點92。可實施退火製程,在源極/汲極區80(覆蓋層84,假如覆蓋層84存在)與接點92之間的界面形成矽化物,接點92物理地和電性地耦接源極/汲極區80(覆蓋層84,假如覆蓋層84存在)。
雖然未明確顯示,本發明所屬技術領域中具有通常知識者能夠了解,可實施更多製程步驟於第14圖的結構上。舉例來說,各種金屬層間介電質(inter-metal dielectric)和其對應的金屬化結構可形成於層間介電質90上。再者,可形成閘極68的接點穿透上方的介電層。
再者,在一些實施例中,可使用閘極後製製程(有時被稱作取代閘極製程)。在這些實施例中,可將閘極68和閘極介電質66視為虛設結構,且在後續的製程期間將被移除並以主動閘極和主動閘極介電質取代。
第15-16圖顯示依據本揭露的一些實施例之閘極後製結構之製程的中間階段的剖面示意圖。第15和16圖為沿第1圖之剖面線A-A的剖面示意圖。
第15圖顯示第13圖的製程之後的結構,但是實施了一些其他的步驟。這些其他的步驟包含移除閘極68(在此實施例中,有時被稱作虛設閘極68)、閘極密封間隙壁72和閘極介電質66(在此實施例中,有時被稱作虛設閘極介電質66)位於閘極68正下方的部分。在一些實施例中,在蝕刻步驟中移除閘極68、閘極介電質66和閘極密封間隙壁72,使凹口形成。每一 凹口暴露出個別鰭64的通道區,每一通道區設置於相鄰的磊晶源極/汲極區80對之間。在移除期間,當蝕刻虛設閘極68時,虛設閘極介電質66可用作蝕刻停止層。在移除虛設閘極68之後,可接著移除虛設閘極介電質66和閘極密封間隙壁72。
再者,在第15圖中,形成閘極介電層96和閘極電極98作為取代閘極。閘極介電層96順應性地沉積於凹口中,例如沉積於鰭64的頂表面和側壁上、閘極間隙壁86的側壁上和層間介電質90的頂表面上。依據本揭露的一些實施例,閘極介電層96包括氧化矽、氮化矽或前述化合物之多層結構。在其他實施例中,閘極介電層96包含高介電常數介電材料,且在這些實施例中,閘極介電層96的介電常數大於約7.0,且可包含金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb的矽化物和前述之組合。閘極介電層96的形成方法可包含分子束沉積(MBD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)和類似方法。
接著,閘極電極98個別地沉積於閘極介電層96上方,並填充於凹口餘留的部分。閘極電極98可由含金屬的材料例如TiN、TaN、TaC、Co、Ru、Al、前述之組合或前述的多層結構製成。在填充閘極電極98之後,可實施例如化學機械研磨的平坦化製程,以移除超出層間介電質90的頂表面之閘極介電層96和閘極電極98的多餘部分。因此,閘極電極98和閘極介電層96的材料最終留下的部分形成所產生的鰭式場效電晶體的取代閘極。
在第16圖中,層間介電質100沉積於層間介電質90 上方。再者,如第16圖所示,形成接點92穿透層間介電質100和層間介電質90,且形成接點102穿透層間介電質100。在一實施例中,層間介電質100為透過流動式化學氣相沉積方法形成的可流動膜。在一些實施例中,層間介電質100由介電材料形成,例如磷矽玻璃(PSG)、硼矽玻璃(BSG)、摻雜硼的磷矽玻璃(BPSG)、未摻雜矽玻璃(USG)或其他類似介電材料,且可透過任何合適的方法沉積,例如化學氣相沉積(CVD)和電漿增強化學氣相沉積(PECVD)。形成接點92的開口穿透層間介電質90和100,形成接點102的開口穿透層間介電質100。這些開口可在相同製程中同時形成,或在分開的製程中形成。這些開口可使用合適的光微影技術和蝕刻技術形成。襯墊例如擴散阻障層、黏著層或類似物和導電材料形成於開口中。襯墊可包含鈦、氮化鈦、鉭、氮化鉭或類似物,導電材料可為銅、銅合金、銀、金、鎢、鋁、鎳或類似物。可實施例如化學機械研磨的平坦化製程,從層間介電質100的表面移除多餘的材料,留下的襯墊和導電材料形成開口中的接點92和102。可實施退火製程,在磊晶源極/汲極區80與接點92之間的界面形成矽化物。接點92物理地和電性地耦接磊晶源極/汲極區80,且接點102物理地和電性地耦接磊晶閘極電極98。
上述實施例具有許多優點。舉例來說,本揭露的半導體裝置及其形成方法提供簡單且有成本效益的製程流程,來達成至少在接近鰭式場效電晶體的通道區的區域具有較少缺陷(例如差排)的鰭式場效電晶體的磊晶源極/汲極,以增強裝置。此外,此簡單且有成本效益的製程流程可透過減少相鄰 的鰭之間的漏電,達成相鄰的鰭之間較好的隔離,且可降低源極/汲極區的接觸阻抗。特別來說,例如以下揭示的實施例包含製程流程和結構,其使用磊晶成長的源極/汲極區和在源極/汲極區中相鄰的鰭之間餘留的隔離區(例如淺溝槽隔離(STI)區)的一些隔離材料和一些側壁間隙壁材料。因為餘留的隔離材料和間隙壁材料減少相鄰的鰭之間的磊晶體積的量,所以此餘留的隔離材料和間隙壁材料抑制差排的產生。再者,餘留的隔離材料和間隙壁材料可降低磊晶源極/汲極結構之間的電容,此降低的電容可使裝置得到較好的交流電(AC)效能。再者,磊晶源極/汲極結構的上表面可具有非平坦(例如起伏不平及/或波浪般)的頂表面,其可增加與上方接點的接觸表面面積,此增加的接觸表面面積可降低源極/汲極區的接觸阻抗。
本揭露的一實施例的結構包含第一鰭位於基底上方,第二鰭位於基底上方,第二鰭與第一鰭相鄰。隔離區圍繞第一鰭和第二鰭,隔離區的第一部分位於第一鰭與第二鰭之間。閘極結構沿著第一鰭和第二鰭的側壁和上表面上方設置,閘極結構定義第一鰭和第二鰭中的通道區。閘極密封間隙壁位於閘極結構的側壁上,閘極密封間隙壁的第一部分位於隔離區的第一部分上且位於第一鰭與第二鰭之間,以及源極/汲極區位於第一鰭和第二鰭上且與閘極結構相鄰。
本揭露的另一實施例的方法包含在基底上形成複數鰭,形成隔離區圍繞鰭,隔離區的第一部分位於相鄰的鰭之間。在鰭上方形成閘極結構,在閘極結構的側壁上形成閘極密封間隙壁,閘極密封間隙壁的第一部分位於隔離區的第一部分 上且位於相鄰的鰭之間,以及在閘極結構的相對兩側形成複數個源極/汲極區,這些源極/汲極區的至少一個延伸於閘極密封間隙壁的第一部分上方。
本揭露的另一實施例的方法包含在基底上形成第一鰭和第二鰭,第二鰭與第一鰭相鄰。沉積隔離材料圍繞第一鰭和第二鰭,隔離材料的第一部分位於第一鰭與第二鰭之間,第一鰭和第二鰭的上方部分延伸於隔離材料的頂表面上方,沿著第一鰭和第二鰭的側壁和上表面上方形成閘極結構,閘極結構定義第一鰭和第二鰭中的通道區。在閘極結構的側壁上沉積閘極密封間隙壁,閘極密封間隙壁的第一部分位於隔離材料的第一部分上且位於第一鰭與第二鰭之間。將閘極結構外面的第一鰭和第二鰭凹陷,以在第一鰭中形成第一凹口,且在第二鰭中形成第二凹口,以及在第一鰭的第一凹口和第二鰭的第二凹口中磊晶成長第一源極/汲極區,閘極密封間隙壁的第一部分介入於隔離材料的第一部分與第一源極/汲極區之間。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。

Claims (18)

  1. 一種半導體結構,包括:一第一鰭,位於一基底上方;一第二鰭,位於該基底上方,該第二鰭與該第一鰭相鄰;一隔離區,圍繞該第一鰭和該第二鰭,該隔離區的一第一部分位於該第一鰭與該第二鰭之間;一閘極結構,沿著該第一鰭和該第二鰭的側壁和上表面上方設置,該閘極結構定義該第一鰭和該第二鰭中的通道區;一閘極密封間隙壁,位於該閘極結構的側壁上,該閘極密封間隙壁位於該隔離區的該第一部分上且具有一第一側壁和相對於該第一側壁的一第二側壁;以及一源極/汲極區,具有一第一部分位於該第一鰭上和一第二部分位於該第二鰭上,其中該源極/汲極區的該第一部分在該閘極密封間隙壁的該第一側壁上,且該源極/汲極區的該第二部分在該閘極密封間隙壁的該第二側壁上。
  2. 如申請專利範圍第1項所述之半導體結構,其中該源極/汲極區為連續的源極/汲極區位於該第一鰭與該第二鰭之間。
  3. 如申請專利範圍第1或2項所述之半導體結構,其中該源極/汲極區的該第一部分從該第一鰭垂直地延伸,該源極/汲極區的該第二部分從該第二鰭垂直地延伸,且該源極/汲極區包括:一第三部分,位於該第一部分上,該第三部分水平地和垂直地延伸;以及一第四部分,位於該第二部分上,該第四部分水平地和垂直地延伸。
  4. 如申請專利範圍第3項所述之半導體結構,其中該閘極密封間隙壁接觸該源極/汲極區的該第一部分和該第二部分。
  5. 如申請專利範圍第1或2項所述之半導體結構,其中該源極/汲極區具有一非平面的頂表面,且該源極/汲極區為一磊晶的源極/汲極區。
  6. 如申請專利範圍第1或2項所述之半導體結構,其中該源極/汲極區包括:一緩衝層,位於該第一鰭和該第二鰭上,該緩衝層具有一第一摻雜物的一第一摻雜濃度;一應力層,位於該緩衝層上,該應力層具有該第一摻雜物的一第二摻雜濃度,該第二摻雜濃度大於該第一摻雜濃度;以及一覆蓋層,位於該應力層上,該覆蓋層具有該第一摻雜物的一第三摻雜濃度,該第三摻雜濃度小於該第二摻雜濃度。
  7. 如申請專利範圍第6項所述之半導體結構,其中該第一摻雜物為鍺。
  8. 一種半導體結構的製造方法,包括:在一基底上形成複數鰭;形成一隔離區圍繞該些鰭,該隔離區的一第一部分位於相鄰的鰭之間;在該些鰭上方形成一閘極結構;在該閘極結構的側壁上形成一閘極密封間隙壁,該閘極密封間隙壁的一第一部分位於該隔離區的該第一部分上且位於相鄰的鰭之間;以及在該閘極結構的相對兩側形成複數個源極/汲極區,該些源極/汲極區的上方表面比該閘極密封間隙壁的該第一部分的上方表面更延伸遠離該基底。
  9. 如申請專利範圍第8項所述之半導體結構的製造方法,其中該些源極/汲極區為連續的源極/汲極區位於相鄰的鰭之間。
  10. 如申請專利範圍第8或9項所述之半導體結構的製造方法,其中該些源極/汲極區具有一非平面的頂表面。
  11. 如申請專利範圍第8或9項所述之半導體結構的製造方法,其中形成該些源極/汲極區的步驟包括:將該閘極結構外面的該些鰭凹陷至具有的頂表面低於該隔離區的一頂表面;以及從該些凹陷鰭磊晶成長該些源極/汲極區於該閘極結構的相對兩側上。
  12. 如申請專利範圍第11項所述之半導體結構的製造方法,其中將該閘極結構外面的該些鰭凹陷至具有的頂表面低於該隔離區的一頂表面的步驟包括:實施一乾蝕刻製程使該閘極結構外面的該些鰭凹陷;以及在該乾蝕刻製程之後,實施一濕蝕刻製程以進一步使該閘極結構外面的該些鰭凹陷。
  13. 如申請專利範圍第11項所述之半導體結構的製造方法,其中從該些鰭磊晶成長該些源極/汲極區的步驟包括:在該些鰭上磊晶成長一緩衝層,該緩衝層具有一第一摻雜濃度;在該緩衝層上磊晶成長一應力層,該應力層具有一第二摻雜濃度,該第二摻雜濃度大於該第一摻雜濃度;以及在該應力層上磊晶成長一覆蓋層,該覆蓋層具有一第三摻雜濃度,該第三摻雜濃度小於該第二摻雜濃度。
  14. 一種半導體結構的製造方法,包括:在一基底上形成一第一鰭和一第二鰭,該第二鰭與該第一鰭相鄰;沉積一隔離材料圍繞該第一鰭和該第二鰭,該隔離材料的一第一部分位於該第一鰭與該第二鰭之間,該第一鰭和該第二鰭的上方部分延伸於該隔離材料的一頂表面上方;沿著該第一鰭和該第二鰭的側壁和上表面上方形成一閘極結構,該閘極結構定義該第一鰭和該第二鰭中的通道區;在該閘極結構的側壁上沉積一閘極密封間隙壁,該閘極密封間隙壁的一第一部分位於該隔離材料的該第一部分上且位於該第一鰭與該第二鰭之間;將該閘極結構外面的該第一鰭和該第二鰭凹陷,以在該第一鰭中形成一第一凹口,且在該第二鰭中形成一第二凹口;以及在該第一鰭的該第一凹口和該第二鰭的該第二凹口中磊晶成長一第一源極/汲極區,該閘極密封間隙壁的該第一部分介入於該隔離材料的該第一部分與該第一源極/汲極區之間,其中該第一源極/汲極區沿著該閘極密封間隙壁的該第一部分的側壁和頂表面延伸。
  15. 如申請專利範圍第14項所述之半導體結構的製造方法,其中該第一源極/汲極區包括:複數個第一部分從該第一凹口和該第二凹口的底表面延伸至該閘極密封間隙壁的該第一部分的一上表面;以及複數個第二部分位於該些第一部分上,該些第二部分延伸於該閘極密封間隙壁的該第一部分的上方。
  16. 如申請專利範圍第14項所述之半導體結構的製造方法,其中將該閘極結構外面的該第一鰭和該第二鰭凹陷的步驟包括:實施一乾蝕刻製程使該閘極結構外面的該第一鰭和該第二鰭凹陷;以及在該乾蝕刻製程之後,實施一濕蝕刻製程以進一步使該閘極結構外面的該第一鰭和該第二鰭凹陷。
  17. 如申請專利範圍第14項所述之半導體結構的製造方法,更包括:形成一第一層間介電質於該第一鰭、該第二鰭和該第一源極/汲極區上方並圍繞該閘極結構;以一主動閘極結構取代該閘極結構;在該第一層間介電質和該主動閘極結構上方形成一第二層間介電質;形成一第一接點穿透該第一層間介電質和該第二層間介電質,以電性耦接該第一源極/汲極區;以及形成一第二接點穿透該第二層間介電質,以電性耦接該主動閘極結構。
  18. 如申請專利範圍第14項所述之半導體結構的製造方法,其中該第一源極/汲極區為連續的源極/汲極區位於該第一鰭與該第二鰭之間。
TW106111867A 2016-04-25 2017-04-10 半導體結構及其製造方法 TWI648856B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662327135P 2016-04-25 2016-04-25
US62/327,135 2016-04-25
US15/200,770 2016-07-01
US15/200,770 US10163898B2 (en) 2016-04-25 2016-07-01 FinFETs and methods of forming FinFETs

Publications (2)

Publication Number Publication Date
TW201806155A TW201806155A (zh) 2018-02-16
TWI648856B true TWI648856B (zh) 2019-01-21

Family

ID=60090327

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106111867A TWI648856B (zh) 2016-04-25 2017-04-10 半導體結構及其製造方法

Country Status (4)

Country Link
US (3) US10163898B2 (zh)
KR (1) KR101946689B1 (zh)
CN (1) CN107316904B (zh)
TW (1) TWI648856B (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10777466B2 (en) * 2017-11-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin cutting process and structures formed thereby
US10340384B2 (en) 2017-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fin field-effect transistor device
US10340341B1 (en) * 2017-12-20 2019-07-02 International Business Machines Corporation Self-limiting and confining epitaxial nucleation
US10347541B1 (en) * 2018-04-25 2019-07-09 Globalfoundries Inc. Active gate contacts and method of fabrication thereof
US10629706B2 (en) * 2018-05-10 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin and gate dimensions for optimizing gate formation
CN110556337B (zh) * 2018-05-31 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN110797262B (zh) * 2018-08-01 2023-06-13 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
US11054748B2 (en) 2018-09-21 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy insertion for improving throughput of electron beam lithography
US11094597B2 (en) 2018-09-28 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US10692775B2 (en) * 2018-11-09 2020-06-23 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US10686033B2 (en) 2018-11-09 2020-06-16 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
KR20210042222A (ko) 2019-10-08 2021-04-19 삼성전자주식회사 반도체 소자
KR102442812B1 (ko) * 2019-10-30 2022-09-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 방법
US11348840B2 (en) * 2019-10-30 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11972983B2 (en) 2020-06-24 2024-04-30 Etron Technology, Inc. Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US11973120B2 (en) 2020-06-24 2024-04-30 Etron Technology, Inc. Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US11489075B2 (en) 2020-06-29 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11430790B2 (en) * 2020-08-14 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11855218B2 (en) * 2020-09-09 2023-12-26 Etron Technology, Inc. Transistor structure with metal interconnection directly connecting gate and drain/source regions
US11862519B2 (en) * 2021-08-30 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device with epitaxial features having adjusted profile and method for manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201407786A (zh) * 2012-08-09 2014-02-16 Taiwan Semiconductor Mfg 半導體裝置之接觸結構、p型金氧半導體場效電晶體及半導體裝置之製造方法
TW201409707A (zh) * 2012-07-27 2014-03-01 Intel Corp 用於鰭式電晶體的高移動率應變通道
US20150179645A1 (en) * 2013-08-07 2015-06-25 United Microelectronics Corp. Semiconductor device with epitaxial structures

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US9012310B2 (en) * 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8703556B2 (en) 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US8901607B2 (en) 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9147682B2 (en) 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9059208B2 (en) * 2013-04-10 2015-06-16 International Business Machines Corporation Replacement gate integration scheme employing multiple types of disposable gate structures
US9853154B2 (en) * 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US9123744B1 (en) * 2014-03-07 2015-09-01 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9773869B2 (en) * 2014-03-12 2017-09-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9431296B2 (en) 2014-06-26 2016-08-30 International Business Machines Corporation Structure and method to form liner silicide with improved contact resistance and reliablity
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
CN105355658B (zh) * 2014-08-18 2019-10-18 联华电子股份有限公司 鳍状场效晶体管元件及其制造方法
KR102379267B1 (ko) * 2015-04-01 2022-03-28 삼성전자주식회사 아이솔레이션 영역 상의 스페이서를 갖는 반도체 소자
KR20160125208A (ko) * 2015-04-21 2016-10-31 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체 소자 및 그 제조 방법
US9450094B1 (en) * 2015-09-08 2016-09-20 United Microelectronics Corp. Semiconductor process and fin-shaped field effect transistor
US9455314B1 (en) * 2016-02-05 2016-09-27 International Business Machines Corporation Y-FET with self-aligned punch-through-stop (PTS) doping

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201409707A (zh) * 2012-07-27 2014-03-01 Intel Corp 用於鰭式電晶體的高移動率應變通道
TW201407786A (zh) * 2012-08-09 2014-02-16 Taiwan Semiconductor Mfg 半導體裝置之接觸結構、p型金氧半導體場效電晶體及半導體裝置之製造方法
US20150179645A1 (en) * 2013-08-07 2015-06-25 United Microelectronics Corp. Semiconductor device with epitaxial structures

Also Published As

Publication number Publication date
US20200126983A1 (en) 2020-04-23
TW201806155A (zh) 2018-02-16
KR20170121667A (ko) 2017-11-02
US20170309624A1 (en) 2017-10-26
US10868005B2 (en) 2020-12-15
US10163898B2 (en) 2018-12-25
KR101946689B1 (ko) 2019-02-11
US10515958B2 (en) 2019-12-24
US20180350809A1 (en) 2018-12-06
CN107316904B (zh) 2020-11-06
CN107316904A (zh) 2017-11-03

Similar Documents

Publication Publication Date Title
TWI648856B (zh) 半導體結構及其製造方法
US11728208B2 (en) FETS and methods of forming FETS
US20230215935A1 (en) Fets and methods of forming fets
KR102058219B1 (ko) FinFET 구조체 및 이를 형성하는 방법
US9704883B2 (en) FETS and methods of forming FETS
TWI514580B (zh) 半導體元件與其形成方法
KR102030724B1 (ko) 반도체 디바이스 및 방법
TW201802893A (zh) 半導體裝置的形成方法
TWI729539B (zh) 半導體裝置及其形成方法
TWI643252B (zh) 半導體裝置的形成方法
US20220359730A1 (en) FinFET Structures and Methods of Forming the Same
US20230343635A1 (en) FETS and Methods of Forming FETS