TWI643282B - Substrate processing apparatus, manufacturing method of semiconductor device, and program - Google Patents

Substrate processing apparatus, manufacturing method of semiconductor device, and program Download PDF

Info

Publication number
TWI643282B
TWI643282B TW105105660A TW105105660A TWI643282B TW I643282 B TWI643282 B TW I643282B TW 105105660 A TW105105660 A TW 105105660A TW 105105660 A TW105105660 A TW 105105660A TW I643282 B TWI643282 B TW I643282B
Authority
TW
Taiwan
Prior art keywords
gas supply
inert gas
bellows
inner space
processing container
Prior art date
Application number
TW105105660A
Other languages
English (en)
Other versions
TW201642372A (zh
Inventor
高橋哲
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW201642372A publication Critical patent/TW201642372A/zh
Application granted granted Critical
Publication of TWI643282B publication Critical patent/TWI643282B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

提供能夠抑制微粒產生之技術。
具有:處理容器,其係用以處理基板;處理氣體供給部,其係對處理容器供給處理氣體;基板載置台,其係被設置在處理容器內;處理容器側排氣部,其係被連接於處理容器;傳動軸,其係在上端支撐上述基板載置台;傳動軸支撐部,其係用以支撐傳動軸;開口孔,其係被設置在貫通傳動軸之處理容器的底壁;波紋管,其具有被設置在開口孔和傳動軸支撐部之間的能伸縮的波紋管壁,波紋管壁之內側空間與處理容器之空間連通;及波紋管側氣體供排氣部,其係同時進行對波紋管壁之內側空間供給惰性氣體,和內側空間之氛圍的排氣。

Description

基板處理裝置、半導體裝置之製造方法、程式
本發明係關於基板處理裝置及半導體裝置之製造方法、程式。
近年來,快閃記憶體等之半導體裝置有高積體化之傾向。隨此,圖案尺寸顯著被微細化。
在被微細化之圖案中,由於微粒之影響更為顯著,故要求抑制微粒之產生。
本發明係鑒於上述課題,以提供能夠抑制微粒之產生的技術為目的。
若藉由本發明之一態樣,提供具有下述構件的技術:處理容器,其係用以處理基板; 處理氣體供給部,其係對上述處理容器供給處理氣體;基板載置台,其係被設置在上述處理容器內;處理容器側排氣部,其係被連接於上述處理容器;傳動軸,其係用以支撐上述基板載置台;傳動軸支撐部,其係用以支撐上述傳動軸;開口孔,其係被設置在貫通上述傳動軸之上述處理容器的底壁;波紋管,其具有被設置在上述開口孔和上述傳動軸支撐部之間的能伸縮的波紋管壁,上述波紋管壁之內側空間與上述處理容器之空間連通;及波紋管側氣體供排氣部,其係同時進行對上述波紋管壁之內側空間供給惰性氣體,和上述內側空間之氛圍的排氣。
若藉由本發明時,可以提供能夠抑制微粒之產生的技術。
100‧‧‧基板處理裝置
200‧‧‧晶圓(基板)
201‧‧‧處理空間
202‧‧‧處理容器
203‧‧‧搬運空間
208‧‧‧開口孔
217‧‧‧傳動軸
219‧‧‧波紋管
221a‧‧‧惰性氣體供給管
222a‧‧‧排氣管
232‧‧‧緩衝空間
圖1為表示與本發明第1實施型態有關之基板處理裝置的圖示。
圖2為與第1實施型態有關之第一分散機構的說明 圖。
圖3為使用磁性流體密封件,使基板載置台旋轉之例的圖示。
圖4為表示圖1所示之基板處理裝置之基板處理工程的流程圖。
圖5為表示圖1所示之成膜工程之詳細的流程圖。
圖6為用以說明基板載置台之晶圓搬運部位的圖示。
以下,針對本發明之第1實施型態予以說明。
[裝置構成]
圖1表示與本實施型態有關之基板處理裝置100之構成。基板處理裝置100如圖1所示般,構成單片式之基板處理裝置。
(處理容器)
如圖1所示般,基板處理裝置100具備有處理容器202。處理容器202係例如橫剖面為圓形,被構成扁平之密封容器。再者,處理容器202係藉由例如鋁(Al)或不鏽鋼(SUS)等之金屬材料而被構成。在處理容器202內形成對作為基板之矽晶圓等之晶圓200進行處理的處理空間201,和於將晶圓200搬運至處理空間201之時晶圓 200通過之搬運空間203。處理容器202係以上部容器202a和下部容器202b構成。在上部容器202a和下部容器202b之間設置有隔板204。
在下部容器202b之側面設置有與閘閥205鄰接之基板搬入搬出口206,晶圓200經基板搬入搬出口206而在無圖示之搬運室之間移動。在下部容器202b之底部設置有複數升降銷207。此外,下部容器202b被接地。
閘閥205具有閥體205a和驅動體205b。閥體205a被固定在驅動體205b之一部分。於開啟閘閥之時,動作成驅動體205b從處理容器202之基板搬入搬出口206離開,使閥體205a從處理容器202之側壁間隔開。於關閉閘閥之時,驅動體205b朝向處理容器202之基板搬入搬出口206動作,且將閥體205a推壓至處理容器202之側壁而關閉基板搬入搬出口206。
在處理空間201內設置有支撐晶圓200之基板載置台212。基板載置台212主要具有載置晶圓200之載置面211,和當作被內置在基板載置台212之加熱源之加熱器213。在基板載置台212於與升降銷207對應之位置分別設置有升降銷207貫通之貫通孔214。
基板載置台212藉由傳動軸217而被支撐。在圖中,雖然基板載置台212在傳動軸217之上端被支撐,但是傳動軸217若支撐基板載置台212即可,即使非上端亦可。例如,即使為在基板載置台212之底部設置 孔,並且在傳動軸217之側面設置支撐機構的構造亦可。此時,在其孔插入傳動軸217,並且藉由被設置在傳動軸217之側面的支撐機構支撐基板載置台。
傳動軸217之主要部分貫通直徑比被設置在處理容器202之底壁之傳動軸217之直徑大一些的開口孔208,而且經由支撐板216在處理容器202之外部被連接於升降機構218。使升降機構218作動而進行傳動軸217及支撐台212之升降,能夠使被載置於基板載置面211上之晶圓200升降。而且,傳動軸217之下方藉由波紋管219被覆蓋。處理容器202內被保持氣密。而且,也將支撐台212稱為傳動軸支撐部。即使在傳動軸支撐部含有升降機構218亦可。
在升降機構218設置有控制傳動軸之升降的升降控制部171。升降控制部171為例如升降器。升降控制部171主要具有使支撐傳動軸217之升降機構218升降的作動部171a。作動部171a具有例如包含用以實現升降之馬達的升降機構171b。而且,即使在升降控制部171內設置用以對作動部171a指示旋轉的指示部171c,以作為升降控制部171之一部分亦可。指示部171c被電性連接於控制器280。指示部171c根據控制器280之指示,控制作動部171a。
波紋管219係由例如不鏽鋼所構成。波紋管219係由熔接複數之周狀的不鏽鋼而連接成蛇腹狀之波紋管壁所構成。波紋管壁為能夠伸縮之構成。
在波紋管219之上端和處理容器202之底壁之間設置有上推部220。在上推部220連接有作為惰性氣體供給部之一部分的惰性氣體供給管221a,與波紋管219之內側之空間連通。
在惰性氣體供給管221a從上游依序設置有惰性氣體供給源221b、閥221c、質量流量控制器221d、壓力檢測器221e。從惰性氣體供給源221b被供給之惰性氣體經由閥221c、質量流量控制器221d而被供給至波紋管219之上端和處理容器202之底壁之間。惰性氣體供給部221主要係由閥221c、質量流量控制器221d、惰性氣體供給管221a所構成。在惰性氣體供給部221,即使惰性氣體供給管221a包含惰性氣體供給源221b、壓力檢測器221e亦可。而且,即使將惰性氣體供給部221稱為波紋管側惰性氣體供給部,或第一惰性氣體供給部亦可。
支撐板216連接作為波紋管側氣體排氣部222之一部分的排氣管222a,與波紋管219之內側之空間連通。
在波紋管側排氣管222a從上游起設置有閥222b、泵222c。藉由開啟閥222b,使泵222c運轉,使能夠排氣波紋管219之內側空間的氛圍。波紋管側氣體排氣部222主要由閥222b、波紋管側排氣管222a所構成。再者,即使波紋管側氣體排氣部222包含泵222c亦可。而且,將第一惰性氣體供給部221和波紋管側氣體排氣部222一起稱為波紋管側氣體供排氣部。
而且,波紋管219之內側空間表示波紋管壁之內側之空間。在此,稱為波紋管219之內側空間。
基板載置台212於晶圓200之搬運時,如圖6所示般,基板載置面211下降至與基板搬入搬出口206相向之位置(晶圓搬運位置、晶圓搬運部位),於晶圓200之處理時,如圖1所示般,晶圓200上升至處理空間201內之處理位置(晶圓處理位置、晶圓處理部位)。
具體而言,於使基板載置台212下降至晶圓搬運位置之時,成為升降銷207之上端部從基板載置面211之上面突出,升降銷207從下方支撐晶圓200。再者,當使基板載置台212上升至晶圓處理位置之時,成為升降銷207從基板載置面211之上面埋沒,而基板載置面211從下方支撐晶圓200。而且,升降銷207因與晶圓200直接接觸,故以例如石英或鋁等之材質形成為佳。
在處理容器202設置有壓力感測器221f。壓力感測器221f檢測出反應容器202之壓力。壓力感測器221f係例如處理容器之底壁,被設置在開口孔208之附近。藉由設置在如此之位置,檢測出處理容器202內之孔周圍之壓力。
在處理空間201之上部(上游側)設置有作為氣體分散機構的噴淋頭230。在噴淋頭230之蓋部231設置有插入第一分散機構241之貫通孔231a。第一分散機構241具有被插入噴淋頭內之前端部241a,和被固定在蓋部231之凸緣241b。
圖2為說明第一分散機構241之前端部241a的說明圖。虛線箭號表示氣體之供給方向。前端部241a為柱狀,例如被構成圓柱狀。在圓柱之側面設置有分散孔241c。從後述之氣體供給部(供給系統)被供給之氣體經前端部241a及分散孔241c被供給至緩衝空間232。
噴淋頭之蓋部231係以具有導電性之金屬所形成,當作用以在緩衝空間232或處理空間201內生成電漿之電極使用。在蓋部231和上部容器202a之間設置有絕緣塊233,以絕緣蓋部231和上部容器202a之間。
噴淋頭230具備當作用以使氣體分散之第二分散機構的分散板234。該分散板234之上游側為緩衝空間232,下游側為處理空間201。在分散板234設置有複數之貫通孔234a。分散板234被配置成與基板載置面211相向。
在蓋部231設置有加熱噴淋頭230之噴淋頭加熱部231b。噴淋頭加熱部231b加熱至被供給至緩衝空間232的氣體不會再液化之溫度。例如,控制成加熱至100℃左右。
分散板234被構成例如圓盤狀。貫通孔234a被設置在整個分散板234之全面上。相鄰接之貫通孔234a係例如以等間隔配置,被配置在最外周之貫通孔234a被配置在較被載置於基板載置台212上之晶圓之外周更外側。
而且,具有將從第一分散機構241被供給之 氣體引導至分散板234之氣體導件235。氣體導件235係直徑隨著朝向分散板234變寬的形狀,氣體導件235之內側係由錐體形狀(例如,圓錐狀。也稱為錘狀。)所構成。氣體導件235被形成其下端較被形成在分散板234之最外周側的貫通孔234a更位於外周側。
上部容器202a具有絕緣塊233、凸緣233a,且在凸緣233a上載置絕緣塊233,且被固定。在凸緣233a上載置分散板234,且被固定。而且,蓋部231被固定在絕緣塊233之上面。藉由設成如此之構造,能夠從上方依照蓋部231、分散板234、絕緣塊233之順序拆下。
然而,後述之成膜工程具有將緩衝空間232之氛圍予以排氣之淨化工程。在該成膜工程中,交互供給不同的氣體,並且在供給不同的氣體之期間,進行除去殘留氣體的淨化工程。該交互供給法因重覆若干次直至期待之膜厚為止,故有成膜時間費時之問題。然而,進行如此之交互供給製程之時,要求盡可能地縮短時間。另外,為了提升良率,要求使基板面內之膜厚或膜質成為均勻。
然而,在本實施型態中,具有使氣體成為均勻之分散板,並且構成分散板上游之緩衝空間之容積變少。例如,小於處理室201之容積。如此一來,能夠縮短對緩衝空間之氛圍進行排氣之淨化工程。
(供給系統)
在被設置在噴淋頭230之蓋部231之氣體導入孔 231a連接有第一分散機構241。在第一分散機構241連接有共通氣體供給管242。在第一分散機構241設置有凸緣,蓋部231或共通氣體供給管242之凸緣藉由螺絲等被固定。
第一分散機構241和共通氣體供給管242在管之內部連通,從共通氣體供給管242被供給之氣體經由第一分散機構241、氣體導入孔231a被供給至噴淋頭230內。
在共通氣體供給管242連接有第一氣體供給管243a、第二氣體供給管244a、第三氣體供給管245a。第二氣體供給管244a經由遠端電漿單元244e而被連接於共通氣體供給管242。
從包含第一氣體供給管243a之第一氣體供給系統243主要供給含有第一元素氣體,從包含第二氣體供給管244a之第二氣體供給系統244主要供給含有第二元素氣體。從包含第三氣體供給管245a的第三氣體供給系統245,於對晶圓進行處理時,主要供給惰性氣體,於對噴淋頭230或處理空間201進行洗淨之時,主要供給洗淨氣體。
(第一氣體供給系統)
在第一氣體供給管243a從上游方向依序設置有第一氣體供給源243b、作為流量控制器(流量控制部)之質量流量控制器(MFC)243c,及作為開關閥的閥243d。
含有第一元素之氣體(以下,稱為「含有第一元素氣體」)從第一氣體供給管243a,經由質量流量控制器243c、閥243d、共通氣體供給管242而被供給至噴淋頭230。
含有第一元素氣體係原料氣體,即是處理氣體之一個。在此,第一元素係例如鈦(Ti)。即是,含有第一元素氣體為例如含鈦氣體。而且,含有第一元素氣體即使在常溫常壓下為固體、液體及氣體中之任一者亦可。含有第一元素氣體在常溫常壓下為液體之時,若在第一氣體供給源243b和質量流量控制器243c之間設置無圖示之氣化器即可。在此,以氣體進行說明。
在較第一氣體供給管243a之閥243d更下游側,連接有第一惰性氣體供給管246a之下游端。在第一惰性氣體供給管246a從上游方向依序設置有惰性氣體供給源246b、作為流量控制器(流量控制部)之質量流量控制器(MFC)246c,及作為開關閥的閥246d。
在此,惰性氣體為例如氮(N2)氣體。而且,作為惰性氣體,除了N2氣體之外,可以使用例如氦(He)氣、氖(Ne)氣、氬(Ar)氣等之稀有氣體。
主要藉由第一氣體供給管243a、質量流量控制器243c、閥243d,構成含有第一元素氣體供給系統243(也稱為含鈦氣體供給系統)。
再者,主要藉由第一惰性氣體供給管246a、質量流量控制器246c及閥246d構成第一惰性氣體供給系 統。而且,即使想像成第一惰性氣體供給系統包含惰性氣體供給源246b、第一氣體供給管243a亦可。
此外,即使想像成含有第一元素氣體供給系統243包含第一氣體供給源243b、第一惰性氣體供給系統亦可。
在本說明書中,也將第一氣體供給系統稱為第一氣體供給部,或是原料氣體供給部。
(第二氣體供給系統)
在第二氣體供給管244a於下游設置有遠端電漿單元244e。在上游從上游方向依序設置有第二氣體供給源244b、作為流量控制器(流量控制部)之質量流量控制器(MFC)244c,及作為開關閥的閥244d。
含有第二元素之氣體(以下,稱為「含有第二元素氣體」)從第二氣體供給管244a,經由質量流量控制器244c、閥244d、遠端電漿單元244e、共通氣體供給管242而被供給至噴淋頭230內。含有第二元素氣體係藉由遠端電漿單元244e成為電漿狀態,被照射在晶圓200上。
含有第二元素氣體為處理氣體之一個。並且,即使想像成含有第二元素氣體為反應氣體或改質氣體亦可。
在此,含有第二元素氣體含有與第一元素不同的第二元素。作為第二元素,例如為氧(O)、氮 (N)、碳(C)中之任一個。在本實施型態中,含有第二元素氣體設為例如含氮氣。具體而言,使用氨(NH3)氣以作為含氮氣體。
主要藉由第二氣體供給管244a、質量流量控制器244c、閥244d,構成含有第二元素氣體供給系統244(也稱為含氮氣體供給系統)。
再者,在較第二氣體供給管244a之閥244d更下游側,連接有第二惰性氣體供給管247a之下游端。在第二惰性氣體供給管247a從上游方向依序設置有惰性氣體供給源247b、作為流量控制器(流量控制部)之質量流量控制器(MFC)247c,及作為開關閥的閥247d。
惰性氣體從第二惰性氣體供給管247a經由質量流量控制器247c、閥247d、第二氣體供給管244a、遠端電漿單元244e而被供給至噴淋頭230內。惰性氣體在薄膜形成工程(S104)中當作載體氣體或稀釋氣體而發揮作用。
主要藉由第二惰性氣體供給管247a、質量流量控制器247c及閥247d構成第二惰性氣體供給系統。而且,即使想像成第二惰性氣體供給系統包含惰性氣體供給源247b、第二氣體供給管244a、遠端電漿單元244e亦可。
此外,即使想像成含有第二元素氣體供給系統244包含第二氣體供給源244b、遠端電漿單元244e、第二惰性氣體供給系統亦可。
在本說明書中,也將第二氣體供給系統稱為第二氣體供給部,或是反應氣體供給部。
(第三氣體供給系統)
在第三氣體供給管245a從上游方向依序設置有第三氣體供給源245b、作為流量控制器(流量控制部)之質量流量控制器(MFC)245c,及作為開關閥的閥245d。
作為淨化氣體之惰性氣體從第三氣體供給管245a,經由質量流量控制器245c、閥245d、共通氣體供給管242而被供給至噴淋頭230。
在此,惰性氣體為例如氮(N2)氣。而且,作為惰性氣體,除了N2氣體之外,可以使用例如氦(He)氣、氖(Ne)氣、氬(Ar)氣等之稀有氣體。
在較第三氣體供給管245a之閥245d更下游側,連接有潔淨氣體供給管248a之下游端。在潔淨氣體供給管248a從上游方向依序設置有潔淨供給源248b、作為流量控制器(流量控制部)之質量流量控制器(MFC)248c,及作為開關閥的閥248d。
主要藉由第三氣體供給管245a、質量流量控制器245c及閥245d構成第三氣體供給系統245。
再者,主要藉由潔淨氣體供給管248a、質量流量控制器248c及閥248d構成潔淨氣體供給系統。而且,即使想像成潔淨氣體供給系統包含潔淨氣體供給源248b、第三氣體供給管245a亦可。
此外,即使想像成含有第三氣體供給系統245包含第三氣體供給源245b、潔淨氣體供給系統亦可。
在基板處理工程中,惰性氣體從第三氣體供給管245a,經由質量流量控制器245c、閥245d、共通氣體供給管242而被供給至噴淋頭230內。再者,在潔淨工程中,潔淨氣體經由質量流量控制器248c、閥248d、共通氣體供給管242而被供給至噴淋頭230內。
從惰性氣體供給源245b被供給之惰性氣體在基板處理工程中,當作淨化蓄積在處理容器202或噴淋頭230內之氣體的淨化氣體而發揮作用。再者,在潔淨工程中,即使當作潔淨氣體之載體氣體或稀釋氣體發揮作用亦可。
從潔淨氣體供給源248b被供給之潔淨氣體係在潔淨工程中,當作除去附著於噴淋頭230或處理容器202之副生成物等的潔淨氣體而發揮作用。
在此,潔淨氣體係例如三氟化氮(NF3)氣體。而且,作為潔淨氣體,即使使用例如氟化氫(HF)氣體、三氟化氯(ClF3)氣體、氟(F2)氣等亦可,再者,即使組合該些而使用亦可。
而且,也將第三氣體供給系統稱為惰性氣體供給部,或是處理室側惰性氣體供給部。再者,相對於第一惰性氣體供給部,也稱為第二惰性氣體供給部。
而且,將第一氣體供給系統、第二氣體供給系統、第三氣體供給系統總稱為氣體供給部。
(排氣系統)
對處理容器202之氛圍進行排氣之排氣系統具有連接於處理容器202之複數排氣管。具體而言,具有被連接於緩衝空間232之排氣管(第1排氣管)263,和被連接於處理空間201之排氣管(第2排氣管)262,和被連接於搬運空間203之排氣管(第3排氣管)261。再者,在各排氣管261、262、263之下游側連接排氣管(第4排氣管)264。
排氣管261被連接於搬運空間203之側面或底面。在排氣管261設置有TMP(Turbo Molecular Pump渦輪分子泵。第1真空泵)265,以作為實現高真空或超真空之真空泵。在排氣管261,於TMP265之上游側設置有當作搬運空間用第一排氣閥之閥266。將排氣管261、TMP265總稱為搬運空間排氣部。
排氣管262被連接於處理空間201之側方。在排氣管262設置有APC(AutoPressure Controller)276,其係將處理空間201內控制成規定之壓力的壓力控制器。APC276具有能夠進行開合度調整之閥體(無圖示),因應來自後述控制器之指示,調整排氣管262之傳導性。再者,在排氣管262,於APC276之上游側設置有閥275。將排氣管262和閥275、APC276總稱為處理容器側排氣部。
排氣管263被連接於與被連接於處理室201 之面不同的面上。在高度方向被連接於分散孔234a和上述氣體導件235之下端之間。排氣管263具備閥279。將排氣管263、閥279總稱為噴淋頭排氣部。
在排氣管264設置有DP(Dry Pump。Pump乾式泵)282。如圖示般,在排氣管264從其上游側連接有排氣管263、排氣管262、排氣管261,而且在該些下游設置有DP282。DP282經由排氣管262、排氣管263、排氣管261之每個而對緩衝空間232、處理空間201及搬運空間203之每個氛圍進行排氣。再者,DP282係於TMP265動作之時,也當作其輔助泵而發揮功能。即是,作為高真空(或是超高真空)泵之TMP265因難以單獨進行至大氣壓的排氣,故使用DP282以作為進行排氣至大氣壓的補助泵。上述排氣系統之各閥使用例如氣閥。
在排氣管262中,於APC276和排氣管264之間設置有閥278。閥278係使通過排氣管264之氣體不會流入APC276。因此,從排氣管264排氣之工程以外,控制成閥278關閉。而且,即使處理容器側排氣部包含閥278亦可。
在排氣管261中,於TMP265和排氣管264之間設置有閥267。閥267係使通過排氣管264之氣體不會流入TMP265。因此,從排氣管264排氣之工程以外,控制成閥278關閉。而且,即使搬運空間排氣部包含閥278亦可。
(控制器)
如圖1所記載般,基板處理裝置100具有控制基板處理裝置100之各部之動作的控制器280。控制器280至少具有運算部281、記憶部282、收發訊部284、比較部285。控制器280被連接於上述各構成,因應上位控制器或指示者之指示而從記憶部282叫出程式、配方或表格,且因應其內容來控制各構成之動作。表格係比較溫度資訊和控制參數。而且,控制器280即使以專用之電腦來構成亦可,即使以泛用之電腦來構成亦可。例如,可以準備儲存上述程式之外部記憶裝置(例如,磁帶、軟碟或硬碟等之磁碟、CD或DVD等之光碟、MO等之光磁碟、USB記憶體(USB Flash Drive)或記憶卡等之半導體記憶體)283,並使用外部記憶裝置283在泛用之電腦安裝程式等,依此構成與本實施型態有關之控制器280。再者,用以對電腦供給程式之手段並不限定於經外部記憶裝置283而供給之情形。例如,即使使用網路或專用回線等之通訊手段,不經外部記憶裝置283而供給程式亦可。並且,記憶部282或外部記憶裝置283作為電腦可讀取之記憶媒體而被構成。以下,總稱該些,也單稱記錄媒體。並且,在本說明書中,使用如記錄媒體之語句之時,有僅包含記憶部282單體之情形,和僅包含外部記憶裝置283單體之情形,或包含其雙方之情形。收發訊部284進行與其他構成的資訊交換。例如,從溫度監視器165接收溫度。比較部285比較從記憶部282讀出之表格等之資訊,和從其他構 成接收到之資訊,抽出用以控制之參數等。
[基板處理工程]
接著,針對使用基板處理裝置100,在晶圓200上形成薄膜之工程進行說明。並且,在以下之說明中,構成基板處理裝置100之各部之動作藉由控制器280被控制。
圖4為表示與本實施型態有關之基板處理工程之流程圖。圖5為表示圖4之成膜工程之詳細的流程圖。
以下,針對使用TiCl4氣體以作為第一處理氣體,且使用氨(NH3)氣以作為第二處理氣體,在晶圓200上形成氮化鈦膜以作為薄膜之例進行說明。
(基板搬入、載置工程S102)
藉由在處理裝置100中使基板載置台212下降至晶圓200之搬運位置(搬運部位:參照圖6),使升降銷207貫通於基板載置台212之貫通孔214。其結果,成為升降銷207較基板載置台212表面僅突出規定之高度部分的狀態。接著,開啟閘閥205使搬運空間203與移載室(無圖示)連通。而且,從該移載室使用晶圓移載機(無圖示)而將晶圓200搬入至搬運空間203,且在升降銷207上移載晶圓200。依此,晶圓200以水平姿勢被支撐在從基板載置台212之表面突出之升降銷207上。
開始從惰性氣體供給管221a朝向開口孔208 及傳動軸217供給惰性氣體。與此同時,開始從波紋管側排氣管222a排氣波紋管219之內側氛圍。
而然,由於每次基板載置台212上下動作之時,波紋管219之板的連接部摩擦,當重覆此時,會導致連接部分裂化。由於波紋管之板藉由熔接等連接,故當劣化時,在波紋管219之內側空間產生細的金屬片。所產生之金屬片藉由傳動軸之上下移動而捲起,有擴散至處理容器內202內之虞。
再者,如圖3所示般,存在設置磁性流體密封件290,且邊氣密性地密封使基板載置台212旋轉的旋轉軸291邊支撐成能夠旋轉的裝置型態。此時,在磁性流體密封件290之經年劣化或附近具有熱源之情況下,使磁性流體密封件290乾燥等,而殘留磁性粒子,藉由傳動軸217之上下動作,磁性粒子從磁性流體密封件290侵入至波紋管219之內側。
再者,於開啟閘閥205之時,有微粒進入波紋管219之內側的情形。此係因為與閘閥205之解放同時,附著於基板搬入搬出口206和閘閥205之間、其接觸面或間隙等之膜剝落之故。附著於基板搬入搬出口206和閘閥205之膜在後述第一氣體供給工程S202或第二氣體供給工程S206形成。剝落之膜的一部分藉由TMP265等而從處理容器被排出,其他之膜碰撞傳動軸217,此侵入至波紋管219之內側空間。
金屬片、微粒或磁性粒子等之塵埃侵入至波 紋管219內之空間之情況下,難以由TMP265進行排氣。因此,在成膜處理中壓力變動之時等,從波紋管219捲起至處理容器202內,其結果,塵埃附著於基板,有可能會造成壞影響。因此,即使在基板搬入搬出工程中,以塵埃不會侵入至波紋管219內為佳。
在此,在本實施型態中,以在基板搬入搬出工程之期間,不會進入波紋管219內之方式,從惰性氣體供給管221a供給惰性氣體。
而且,以從波紋管219或磁性流體密封件290產生之塵埃不會侵入至處理室之方式,從波紋管側排氣孔222a排氣波紋管219內之氛圍,使金屬片不會放入至處理容器202內。
當晶圓200搬入處理容器202內時,使晶圓移載機退避至處理容器202之外,且關閉閘閥205而封閉處理容器202內。之後,藉由使基板載置台212上升,使晶圓200載置在被設置在基板載置台212之基板載置面211上,而且藉由使基板載置台212上升,使晶圓200上升至上述處理空間201內之處理位置(基板處理部位)。
晶圓200被搬運至搬運空間203之後,上升至處理空間201內之處理位置時,使閥266和閥267關閉。依此,搬運空間203和TMP265之間以及TMP265和排氣管264之間被遮斷,藉由TMP265進行搬運空間203的排氣結束。另外,開啟閥278和閥275,使處理空間201和APC276之間連通,同時使APC276和DP282之間 連通。APC276係藉由調整排氣管263之傳導性,控制藉由DP282進行處理空間201之排氣流量,將處理空間201維持在規定之壓力(例如,10-5~10-1Pa之高真空)。
此期間,即是基板載置台212位於處理位置之期間,從惰性氣體供給管221a供給惰性氣體至傳動軸217和構成開口孔208的壁部之間。與此同時,從波紋管側排氣管222a排氣波紋管219之內側氛圍。如此一來,防止被捲至傳動軸217下方之氣體侵入至波紋管219內,同時不會將從波紋管219或磁性流體密封件290產生之塵埃放入至處理容器。控制波紋管側惰性氣體供給部和波紋管側氣體排氣部222,使傳動軸217和被設置在底壁之開口孔208之側壁之間的傳導性,高於上述波紋管側排氣孔222a之傳導性。
而且,在該工程中,即使邊使處理容器202內排氣,邊從惰性氣體供給系統供給作為惰性氣體之N2氣體至處理容器202內亦可。即是,即使藉由邊以TMP265或DP282使處理容器202內排氣,邊使至少第三氣體供給系統之閥245d打開,將N2氣體供給至處理容器202內亦可。
再者,控制成在基板載置台212上載置晶圓200之時,對被埋入基板載置台212之內部的加熱器213供給電力,且晶圓200之表面成為規定之溫度。晶圓200之溫度例如為室溫以上500℃以下,理想為室溫以上且400℃以下。此時,加熱器213之溫度係藉由根據藉由無 圖示之溫度感測器所檢測出之溫度資訊來控制對加熱器213的通電狀況而被調整。
(成膜工程S104)
接著,進行薄膜形成工程S104。以下,參照圖5,針對成膜工程S104而詳細說明。並且,成膜工程S104係重覆交互供給不同之處理氣體之工程的交互供給處理。
(第一處理氣體供給工程S202)
當加熱晶圓200而達到期待之溫度時,開啟閥243d,並且以TiCl4氣體之流量成為規定之流量之方式,調整質量流量控制器243c。並且,TiCl4氣體之供給流量為例如100sccm以上5000sccm以下。此時,開啟第三氣體供給系統之閥245d,且從第三氣體供給管245a供給N2氣體。再者,即使從第一惰性氣體供給系統流通N2氣體亦可。再者,即使於該工程之前,開始從第三氣體供給管245a供給N2氣體亦可。
而且,開始從惰性氣體供給管221a朝傳動軸217和構成開口孔208之側壁之間的空間供給惰性氣體。與此同時,開始從波紋管側排氣管222a排氣波紋管219之內側氛圍。此時,使惰性氣體之供給量較後述之淨化工程S208多。藉由增多,可以更確實地防止第一氣體侵入至波紋管219內之空間。
更佳為控制惰性氣體供給使處理容器202內 之開口孔208附近之壓力低於傳動軸217和構成開口孔208之側壁之間的空間。如此一來,可以更確實地防止處理容器202之氛圍侵入至波紋管219之內側空間。
經由第一分散機構241而被供給至處理空間201之TiCl4氣體被供給至晶圓200上。在晶圓200之表面藉由TiCl4氣體接觸於晶圓200上形成有當作「含有第一元素層」之含鈦層。
含鈦層係因應例如處理容器202內之壓力、TiCl4氣體之流量、基板載置台212之溫度、通過處理空間201花費的時間等,以規定之厚度及規定之分佈形成。而且,即使在晶圓200上事先形成規定之膜亦可。再者,即使在晶圓200或規定之膜事先形成規定之圖案亦可。
開始供給TiCl4氣體而經過規定時間後,關閉閥243d,停止供給TiCl4氣體。在上述S202工程中,如圖4所示般,閥275及閥278成為開啟,藉由APC276控制成處理空間201之壓力成為規定之壓力。在S202中,閥275及閥278、閥222b以外之排氣系統之閥全部關閉。
(淨化工程S204)
接著,從第三氣體供給管245a供給N2氣體,進行噴淋頭230及處理空間201之淨化。此時,閥275及閥278成為開啟,藉由APC276被控制成處理空間201之壓力成為規定之壓力。另外,閥275及閥278以外的排氣系統之 閥全部關閉。依此,在第一處理氣體供給工程S202中無法與晶圓200結合之TiCl4氣體,藉由DP282經由排氣管262從處理空間201被除去。
接著,從第三氣體供給管245a供給N2氣體,進行噴淋頭230之淨化。此時,閥275及閥278成為關閉,另外閥279成為開啟。其他排氣系統之閥維持關閉之狀態。即是,於進行噴淋頭230之淨化之時,遮斷處理空間201和APC276之間,並且遮斷APC276和排氣管264之間,且停止藉由APC276進行的壓力控制,另外連通緩衝空間232和DP282之間。依此,殘留在噴淋頭230(緩衝空間232)內之TiCl4氣體經由排氣管262,藉由DP282從噴淋頭230被排氣。
而且,接著第一處理氣體供給工程S202,從惰性氣體供給管221a對傳動軸217和開口孔208之間之空間供給惰性氣體。與此同時,從波紋管側排氣管222a排氣波紋管219之內側氛圍。此時,使惰性氣體之供給量少於第一氣體供給工程S202。藉由減少,可以有效率地使用氣體。
當噴淋頭230之淨化結束時,開啟閥278及閥275使藉由APC276進行的壓力控制再次開始,同時關閉閥279來遮斷噴淋頭230和排氣管264之間。其他排氣系統之閥維持關閉之狀態。此時,持續從第三氣體供給管245a供給N2氣體,持續噴淋頭230及處理空間201之淨化。並且,雖然在淨化工程S204中,於經由排氣管262 的淨化之前後,進行經由排氣管263之淨化,但是即使僅經由排氣管262之淨化亦可。再者,即使同時進行經由排氣管262之淨化和經由排氣管263之淨化亦可。
(第二處理氣體供給工程S206)
淨化工程S204之後,開啟閥244d經由遠端電漿單元244e、噴淋頭230,而開始對處理空間201內供給電漿狀態之氨氣。
此時,以氨氣之流量成為規定之流量之方式,調整質量流量控制器244c。並且,氨氣之供給流量為例如100sccm以上5000sccm以下。而且,即使與氨氣同時從第二惰性氣體供給系統流出作為載體氣體之N2氣體亦可。再者,即使在該工程中,第三氣體供給系統之閥245d成為開啟,從第三氣體供給管245a供給N2氣體。
經由第一分散機構241而被供給至處理空間202之電漿狀態之氨氣被供給至晶圓200上。既已形成之含鈦層藉由氨氣之電漿被改質,依此在晶圓200上形成例如含鈦元素及氮元素之層。
改質層因應例如處理容器202內之壓力、含氮氣體之流量、基板載置台212之溫度、遠端電漿單元244e之電力供給程度等,以規定之厚度、規定之分佈、相對於含鈦層之規定之氮成分等之侵入深度所形成。
經過規定時間後,關閉閥244d,停止含氮氣體之供給。
即使在S206工程中,亦與上述S202相同,閥275及閥278成為開啟,藉由APC276控制成處理空間201之壓力成為規定之壓力。再者,閥275及閥278、閥222b以外之排氣系統之閥全部關閉。
接著淨化工程S204,從惰性氣體供給管221a對傳動軸217和開口孔208之側壁之間的空間供給惰性氣體。與此同時,從波紋管側排氣管222a排氣波紋管219之內側氛圍。此時,使惰性氣體之供給量較淨化氣體供給工程S204多。藉由增多,能夠更確實地防止第二氣體之侵入。
(淨化工程S208)
接著,實行與S204相同之淨化工程。因各部之動作與S204相同,故省略說明。
(判定S210)
控制器208判定是否以規定次數(n cycle)實施上述1循環。
於不實施規定次數時(在S210為No之情況)、重覆第一處理氣體供給工程S202、淨化工程S204、第二處理氣體供給工程S206、淨化工程S208之循環。於實施規定次數時(在S210為Yes之情況),結束圖5所示之處理。
而且,在第一處理氣體供給工程S202中,有 第一處理氣體從基板載置台212和隔板204之間洩漏而被供給至搬運空間203,進而侵入至基板搬入搬出口206之情形。第二處理氣體供給工程也同樣,有第二處理氣體從基板載置台212和隔板204之間洩漏而被供給至搬運空間203,進而侵入至基板搬入搬出口206之情形。在淨化工程S204、S206中,由於藉由基板載置台212和隔板204而被區劃,故難以排氣搬運室203之氛圍。因此,侵入至基板搬入搬出口206之氣體彼此反應,在基板搬入搬出口206之內側表面或面對於閥體205a之搬運室203之表面上形成膜。形成的膜如上述般在基板搬入、載置工程S102中成為塵埃。在此,如在基板搬入、載置工程S102記載般,在基板搬入、載置工程S102之期間,至少從惰性氣體供給管221a對傳動軸217和開口孔208之間供給惰性氣體。
當返回至圖4之說明時,接著實行基板搬出工程S106。
(基板搬出工程S106)
在基板搬出工程S106中,使基板載置台212下降,且使晶圓200支撐在從基板載置台212之表面突出之升降銷207上。依此,晶圓200從處理位置成為搬運位置。之後,開啟閘閥205,使用晶圓移載機而將晶圓200搬出至處理容器202之外。此時,關閉閥245d,停止從第三氣體供給系統對處理容器202內供給惰性氣體。
接著,當晶圓200移動至搬運位置時,關閉閥262,遮斷搬運空間203和排氣管264之間。另外,開啟閥266和閥267,藉由TMP265(及DP282)排氣搬運空間203之氛圍,依此將處理容器202維持在高真空(超高真空)狀態(例如,10-5Pa以下),降低與同樣被維持在高真空(超高真空)狀態(例如,10-6Pa以下)之移載室的壓力差。此期間,開始從惰性氣體供給管221a對傳動軸217和開口孔208之間供給惰性氣體,使微粒不會侵入至波紋管219內。與此同時,開始從波紋管側排氣管222a排氣波紋管219之內側氛圍。在該狀態下,開啟閘閥205,將晶圓200從處理容器202搬出至移載室。
(處理次數判定工程S108)
於搬出晶圓200後,判定薄膜形成工程是否到達至規定之次數。當判斷到達至規定之次數時,結束處理。當判斷無到達規定之次數時,由於開始進行接著待機之晶圓200之處理,故移行至基板搬入、載置工程S102。
以上,作為本發明之各種典型的實施型態,雖然針對成膜技術予以說明,但是本發明並不限定於該些實施型態。例如,也可以適用於上述例示之薄膜以外的成膜處理、擴散處理、氧化處理、氮化處理、光微影處理等之其他之基板處理的情況。再者,本發明除退火處理裝置外,亦可以適用於薄膜形成裝置、蝕刻裝置、氧化處理裝置、氮化處理裝置、塗佈裝置、加熱裝置等之其他之基板 處理裝置。再者,可將某實施型態之構成之一部分置換成其他實施型態之構成,再者,亦可在某實施型態之構成追加其他實施型態之構成。再者,針對各實施型態之構成之一部分,可進行其他構成之追加、刪除、置換。
再者,在上述實施例中,雖然以TiCl4作為含有第一元素氣體之例而予以說明,且以Ti為第一元素之例而予以說明,但是並不限定於此。例如,即使以Si或Zr、Hf等之各種元素當作第一元素亦可。再者,在上述實施例中,雖然以NH3作為含有第二元素氣體之例而予以說明,且以N為第二元素之例而予以說明,但是並不限定於此。例如,即使以O等作為第二元素亦可。

Claims (12)

  1. 一種基板處理裝置,具有:處理容器,其係用以處理基板;基板載置台,其係被設置在上述處理容器內;處理容器側排氣部,其係被連接於上述處理容器;傳動軸,其係用以支撐上述基板載置台;傳動軸支撐部,其係用以支撐上述傳動軸;開口孔,其係被設置在貫通上述傳動軸之上述處理容器的底壁;波紋管,其具有被設置在上述開口孔和上述傳動軸支撐部之間的能伸縮的波紋管壁,上述波紋管壁之內側空間與上述處理容器之空間連通;及波紋管側氣體供排氣部,其具有第一惰性氣體供給部,和波紋管側氣體排氣部,該第一惰性氣體供給部係連接於被設置在上述波紋管壁之上端和上述處理容器之底壁之間的惰性氣體供給孔,對上述波紋管壁之內側空間供給惰性氣體;該波紋管側氣體排氣部係被設置在較上述惰性氣體供給孔下方,經由使上述內側空間之氛圍排氣之波紋管側排氣孔而與上述波紋管壁之內側空間連通,該波紋管側氣體供排氣部同時進行對上述波紋管壁之內側空間供給惰性氣體,和上述內側空間之氛圍的排氣;處理氣體供給部,其具有供給原料氣體之原料氣體供給部,和供給惰性氣體之第二惰性氣體供給部;和控制器,其控制成在上述原料氣體供給部對上述處理 容器供給原料氣體之原料氣體供給工程之期間,以第一供給量從上述第一惰性氣體供給部對上述內側空間供給惰性氣體,同時從上述波紋管側排氣孔排出被供給至上述內側空間之惰性氣體,並且在從上述第二惰性氣體供給部對上述處理容器供給惰性氣體之淨化工程之期間,以較上述第一供給量少之供給量從上述第一惰性氣體供給部對上述內側空間供給惰性氣體,同時從上述波紋管側排氣孔排出被供給至上述內側空間的惰性氣體。
  2. 如請求項1所記載之基板處理裝置,其中上述波紋管側排氣孔被設置在較上述波紋管壁之下端更下方。
  3. 如請求項1或2所記載之基板處理裝置,其中上述基板載置台在搬運基板之期間被設定在搬運位置,且在對基板進行處理之期間被設定在處理位置,在上述基板載置台被設定在處理位置之期間,從上述惰性氣體供給孔供給惰性氣體,同時從上述波紋管側排氣孔排氣上述內側空間之氛圍。
  4. 如請求項1或2所記載之基板處理裝置,其中控制上述第一惰性氣體供給部和上述波紋管側氣體排氣部,以使上述傳動軸和上述開口孔之側壁之間的傳導性高於上述波紋管側排氣孔之傳導性。
  5. 如請求項1或2所記載之基板處理裝置,其中上述波紋管側排氣孔被設置在較配置於上述傳動軸之外周的磁性流體密封件高的位置。
  6. 一種基板處理裝置,具有:處理容器,其係用以處理基板;基板載置台,其係被設置在上述處理容器內;處理容器側排氣部,其係被連接於上述處理容器;傳動軸,其係用以支撐上述基板載置台;傳動軸支撐部,其係用以支撐上述傳動軸;開口孔,其係被設置在貫通上述傳動軸之上述處理容器的底壁;波紋管,其具有被設置在上述開口孔和上述傳動軸支撐部之間的能伸縮的波紋管壁,上述波紋管壁之內側空間與上述處理容器之空間連通;及波紋管側氣體供排氣部,其具有第一惰性氣體供給部,和波紋管側氣體排氣部,該第一惰性氣體供給部係連接於被設置在上述波紋管壁之上端和上述處理容器之底壁之間的惰性氣體供給孔,對上述波紋管壁之內側空間供給惰性氣體;該波紋管側氣體排氣部係被設置在較上述惰性氣體供給孔下方,經由使上述內側空間之氛圍排氣之波紋管側排氣孔而與上述波紋管壁之內側空間連通,該波紋管側氣體供排氣部同時進行對上述波紋管壁之內側空間供給惰性氣體,和上述內側空間之氛圍的排氣;處理氣體供給部,其具有供給原料氣體之原料氣體供給部,和供給惰性氣體之第二惰性氣體供給部,和供給與上述原料氣體引起反應的反應氣體之反應氣體供給部;和控制器,其係控制成在上述反應氣體供給部對上述處理容 器供給反應氣體之反應氣體供給工程之期間,以第二供給量從上述第一惰性氣體供給部對上述內側空間供給惰性氣體,且在從上述第二惰性氣體供給部對上述處理容器供給惰性氣體之淨化工程之期間,以較上述第二供給量少之供給量從上述第一惰性氣體供給部對上述內側空間供給惰性氣體。
  7. 如請求項6所記載之基板處理裝置,其中上述波紋管側排氣孔被設置在較上述波紋管壁之下端更下方。
  8. 如請求項6或7所記載之基板處理裝置,其中上述基板載置台在搬運基板之期間被設定在搬運位置,且在對基板進行處理之期間被設定在處理位置,在上述基板載置台被設定在處理位置之期間,從上述惰性氣體供給孔供給惰性氣體,同時從上述波紋管側排氣孔排氣上述內側空間之氛圍。
  9. 如請求項6或7所記載之基板處理裝置,其中控制上述第一惰性氣體供給部和上述波紋管側氣體排氣部,以使上述傳動軸和上述開口孔之側壁之間的傳導性高於上述波紋管側排氣孔之傳導性。
  10. 如請求項6或7所記載之基板處理裝置,其中上述波紋管側排氣孔被設置在較配置於上述傳動軸之外周的磁性流體密封件高的位置。
  11. 一種半導體裝置之製造方法,具有將基板載置於在基板處理裝置之處理容器內被傳動軸 支撐之基板載置台的工程,該基板處理裝置具有:處理容器,其係用以處理基板;基板載置台,其係被設置在上述處理容器內;處理容器側排氣部,其係被連接於上述處理容器;傳動軸,其係用以支撐上述基板載置台;傳動軸支撐部,其係用以支撐上述傳動軸;開口孔,其係被設置在貫通上述傳動軸之上述處理容器的底壁;波紋管,其具有被設置在上述開口孔和上述傳動軸支撐部之間的能伸縮的波紋管壁,上述波紋管壁之內側空間與上述處理容器之空間連通;及波紋管側氣體供排氣部,其具有第一惰性氣體供給部,和波紋管側氣體排氣部,該第一惰性氣體供給部係連接於被設置在上述波紋管壁之上端和上述處理容器之底壁之間的惰性氣體供給孔,對上述波紋管壁之內側空間供給惰性氣體;該波紋管側氣體排氣部係被設置在較上述惰性氣體供給孔下方,經由使上述內側空間之氛圍排氣之波紋管側排氣孔而與上述波紋管壁之內側空間連通,該波紋管側氣體供排氣部同時進行對上述波紋管壁之內側空間供給惰性氣體,和上述內側空間之氛圍的排氣;及處理氣體供給部,其設置有供給原料氣體之原料氣體供給部,和供給惰性氣體之第二惰性氣體供給部;上述原料氣體供給部對上述處理容器內供給原料體之原料氣體供給工程;及上述第二惰性氣體供給部對上述處理容器供給惰性氣體之淨化工程,在上述原料氣體供給工程之期間,以第一供給量從上 述第一惰性氣體供給部對上述內側空間供給惰性氣體,同時從上述波紋管側排氣孔排出被供給至上述內側空間之惰性氣體,並且在淨化工程之期間,以較上述第一供給量少之供給量從上述第一惰性氣體供給部對上述內側空間供給惰性氣體,同時從上述波紋管側排氣孔排出被供給至上述內側空間的惰性氣體。
  12. 一種程式,具有:將基板載置於在基板處理裝置之處理容器內被傳動軸支撐之基板載置台的步驟,該基板處理裝置具有:處理容器,其係用以處理基板;基板載置台,其係被設置在上述處理容器內;處理容器側排氣部,其係被連接於上述處理容器;傳動軸,其係用以支撐上述基板載置台;傳動軸支撐部,其係用以支撐上述傳動軸;開口孔,其係被設置在貫通上述傳動軸之上述處理容器的底壁;波紋管,其具有被設置在上述開口孔和上述傳動軸支撐部之間的能伸縮的波紋管壁,上述波紋管壁之內側空間與上述處理容器之空間連通;及波紋管側氣體供排氣部,其具有第一惰性氣體供給部,和波紋管側氣體排氣部,該第一惰性氣體供給部係連接於被設置在上述波紋管壁之上端和上述處理容器之底壁之間的惰性氣體供給孔,對上述波紋管壁之內側空間供給惰性氣體;該波紋管側氣體排氣部係被設置在較上述惰性氣體供給孔下方,經由使上述內側空間之氛圍排氣之波紋管側排氣孔而與上述波紋管壁之內側空間連通,該波紋管側氣體供排氣部同時進行對上述波紋管壁之內側空間供給惰性氣體,和上述內側空間之氛圍的排氣;及處理氣 體供給部,其設置有供給原料氣體之原料氣體供給部,和供給惰性氣體之第二惰性氣體供給部;實行上述原料氣體供給部對上述處理容器內供給原料體之原料氣體供給工程的步驟;及實行上述第二惰性氣體供給部對上述處理容器供給惰性氣體之淨化工程的步驟,該程式使電腦實行:在上述原料氣體供給工程之期間,以第一供給量從上述第一惰性氣體供給部對上述內側空間供給惰性氣體,同時從上述波紋管側排氣孔排出被供給至上述內側空間之惰性氣體,並且在淨化工程之期間,以較上述第一供給量少之供給量從上述第一惰性氣體供給部對上述內側空間供給惰性氣體,同時從上述波紋管側排氣孔排出被供給至上述內側空間的惰性氣體。
TW105105660A 2015-04-28 2016-02-25 Substrate processing apparatus, manufacturing method of semiconductor device, and program TWI643282B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015091585A JP6001131B1 (ja) 2015-04-28 2015-04-28 基板処理装置、半導体装置の製造方法、プログラム
JP2015-091585 2015-04-28

Publications (2)

Publication Number Publication Date
TW201642372A TW201642372A (zh) 2016-12-01
TWI643282B true TWI643282B (zh) 2018-12-01

Family

ID=57048630

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105105660A TWI643282B (zh) 2015-04-28 2016-02-25 Substrate processing apparatus, manufacturing method of semiconductor device, and program

Country Status (5)

Country Link
US (2) US20160319424A1 (zh)
JP (1) JP6001131B1 (zh)
KR (1) KR101847575B1 (zh)
CN (1) CN106098591B (zh)
TW (1) TWI643282B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014178160A1 (ja) * 2013-04-30 2014-11-06 東京エレクトロン株式会社 成膜装置
JP6927993B2 (ja) 2016-10-18 2021-09-01 生化学工業株式会社 リムルス属由来の組換え蛋白質及びこれをコードするdna
JP6484601B2 (ja) * 2016-11-24 2019-03-13 株式会社Kokusai Electric 処理装置及び半導体装置の製造方法
KR102481410B1 (ko) * 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109424761B (zh) * 2017-08-31 2019-11-19 长鑫存储技术有限公司 隔离阀、半导体生产设备及其清洗方法
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
JP6691152B2 (ja) * 2018-02-07 2020-04-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6770988B2 (ja) * 2018-03-14 2020-10-21 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
KR102401331B1 (ko) * 2018-09-21 2022-05-25 주식회사 원익아이피에스 기판 처리 장치
JP2020053469A (ja) * 2018-09-25 2020-04-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2020091239A1 (ko) * 2018-10-30 2020-05-07 주식회사 테스 기판처리장치
WO2020243288A1 (en) 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping
KR102638600B1 (ko) * 2019-07-09 2024-02-21 주식회사 원익아이피에스 기판 처리 장치
JP7209598B2 (ja) * 2019-07-26 2023-01-20 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102607844B1 (ko) * 2020-07-10 2023-11-30 세메스 주식회사 기판 처리 장치 및 기판 지지 유닛
CN112647062B (zh) * 2020-12-11 2021-07-27 无锡邑文电子科技有限公司 一种碳化硅cvd工艺腔体装置及使用方法
CN117230433B (zh) * 2023-11-15 2024-03-01 无锡尚积半导体科技有限公司 Cvd晶圆承载机构

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11302829A (ja) * 1998-04-16 1999-11-02 Ebara Corp 真空装置の真空室汚染防止装置
CN101356630A (zh) * 2006-04-05 2009-01-28 东京毅力科创株式会社 处理装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1055968A (ja) * 1996-08-08 1998-02-24 Nippon Asm Kk 半導体処理装置
JPH10237657A (ja) * 1997-02-26 1998-09-08 Furontetsuku:Kk プラズマ処理装置
JP2002324764A (ja) * 2001-04-24 2002-11-08 Dainippon Screen Mfg Co Ltd 基板の熱処理装置
JP2003129240A (ja) * 2001-10-26 2003-05-08 Hitachi Kokusai Electric Inc 基板処理装置
JP2006049489A (ja) * 2004-08-03 2006-02-16 Hitachi Kokusai Electric Inc 基板処理装置
KR101568748B1 (ko) * 2011-11-01 2015-11-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
WO2014178160A1 (ja) * 2013-04-30 2014-11-06 東京エレクトロン株式会社 成膜装置
JP5793170B2 (ja) * 2013-09-30 2015-10-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11302829A (ja) * 1998-04-16 1999-11-02 Ebara Corp 真空装置の真空室汚染防止装置
CN101356630A (zh) * 2006-04-05 2009-01-28 东京毅力科创株式会社 处理装置

Also Published As

Publication number Publication date
US20180305816A1 (en) 2018-10-25
KR101847575B1 (ko) 2018-04-10
CN106098591A (zh) 2016-11-09
KR20160128211A (ko) 2016-11-07
JP6001131B1 (ja) 2016-10-05
TW201642372A (zh) 2016-12-01
JP2016204729A (ja) 2016-12-08
US20160319424A1 (en) 2016-11-03
CN106098591B (zh) 2018-12-25

Similar Documents

Publication Publication Date Title
TWI643282B (zh) Substrate processing apparatus, manufacturing method of semiconductor device, and program
TWI554640B (zh) A substrate processing apparatus, a manufacturing method and a program for a semiconductor device
JP5944429B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP5764228B1 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
TWI524388B (zh) A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
TWI643972B (zh) Substrate processing apparatus, manufacturing method of semiconductor device, and program
TWI559369B (zh) A substrate processing apparatus, a manufacturing method and a program for a semiconductor device
JP5800969B1 (ja) 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
JP5793241B1 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
TWI564955B (zh) A substrate processing apparatus, and a method of manufacturing the semiconductor device
KR101669752B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6839672B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP5808472B1 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP2016003364A (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
CN113130293B (zh) 半导体装置的制造方法、基板处理装置和记录介质
JP5885870B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
TW202240018A (zh) 基板處理裝置、半導體裝置的製造方法及電腦可讀取的記錄媒體