TWI638063B - 蒸氣輸送設備 - Google Patents

蒸氣輸送設備 Download PDF

Info

Publication number
TWI638063B
TWI638063B TW102118089A TW102118089A TWI638063B TW I638063 B TWI638063 B TW I638063B TW 102118089 A TW102118089 A TW 102118089A TW 102118089 A TW102118089 A TW 102118089A TW I638063 B TWI638063 B TW I638063B
Authority
TW
Taiwan
Prior art keywords
precursor
temperature
vapor
valve
expansion chamber
Prior art date
Application number
TW102118089A
Other languages
English (en)
Other versions
TW201410911A (zh
Inventor
慕可 寇斯拉
麥可 格林姆斯
彼特 克羅多夫
傑尼 艾普斯坦
Original Assignee
Spts科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Spts科技公司 filed Critical Spts科技公司
Publication of TW201410911A publication Critical patent/TW201410911A/zh
Application granted granted Critical
Publication of TWI638063B publication Critical patent/TWI638063B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0379By fluid pressure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/6416With heating or cooling of the system

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種提供氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備,該設備包括一容納液相或固相前驅物之前驅物容器。第一溫度控制組件將前驅物容器維持在第一溫度,以自液相或固相前驅物產生氣相前驅物。隔離閥係耦合至前驅物容器,並且使一特定量之氣相前驅物累積在膨脹容積中。注入閥(其係耦合至隔離閥及膨脹容積之每一者)控制從前驅物容器進入膨脹容積之氣相前驅物的流量。第二溫度控制組件將隔離閥維持在大於第一溫度之第二溫度。

Description

蒸氣輸送設備
本發明之實施例涉及用於分子氣相沉積(MVD)、原子層沉積(ALD)、及化學氣相沉積(CVD)應用之蒸氣輸送設備。
在電子裝置、微機電系統(MEMS)、生物MEMS裝置、微流體裝置、及半導體裝置的製作中,用於將層及塗層塗佈在基板上之氣相沉積方法及設備是很有用的。如此一塗層形成方法採用在一塗層形成製程中所消耗的所有反應物之批次添加及混合。塗層形成製程可在單一步驟之後完成、或可包括一些個別步驟,其中在每一個別步驟中實施不同的或重覆的反應程序。用來實施該方法之設備提供了在塗層形成製程的單一反應步驟中所消耗之各個反應物的精確添加量。於單一步驟期間、或當塗層形成製程中有一些不同的個別步驟時,該設備可提供反應物的不同組合之精確添加量。各反應物的精確添加量係基於一計量系統,其中在個別步驟中所添加的反應物量受到仔細控制。尤其,蒸氣形式的反應物係在一特定溫度下計量進入一具有預定的設定容積之膨脹容積內而達到一特定壓力,以提供一高精確度的反應物量。所量測之各反應物量全部以批次方式輸送至其中形成塗層之處理腔室內。對於一特定反應步驟而言,各反應物添加至腔室的順序是可選擇的,並且可取決於反應物的相對反應性(當有多於一反應物時)、先使一反應物或催化劑接觸基材表面之需求、或這些考量之平衡。
本發明之實施例正是在此情況下產生。
本發明之實施例提供用於分子氣相沉積(MVD)、原子層沉 積(ALD)、及化學氣相沉積(CVD)應用之改良蒸氣輸送設備及方法。以下描述本發明的數個實施例。
在一實施例中,提供一種供給氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備。該蒸氣輸送設備包含一容納液相或固相前驅物之前驅物容器。第一溫度控制組件將前驅物容器維持在第一溫度,以自液相或固相前驅物產生氣相前驅物。隔離閥係耦合至前驅物容器,並且使一特定量之氣相前驅物累積在膨脹容積中。注入閥(其係耦合至隔離閥及膨脹容積之每一者)控制從前驅物容器進入膨脹容積之氣相前驅物的流量。第二溫度控制組件將隔離閥維持在大於第一溫度之第二溫度。
在一實施例中,第一溫度控制組件包括:第一加熱裝置,對前驅物容器加熱;第一溫度偵測器,偵測前驅物容器的溫度;以及第一控制器,配置成基於所偵測之前驅物容器的溫度來施加電力至第一加熱裝置,以維持前驅物容器在第一溫度。在此實施例中,第二溫度控制組件包括:第二加熱裝置,對隔離閥加熱;第二溫度偵測器,偵測隔離閥的溫度;以及第二控制器,配置成基於所偵測之隔離閥的溫度來施加電力至第二加熱裝置,以維持隔離閥在第二溫度。
在一實施例中,第一加熱裝置包括耦合至前驅物容器之第一加熱器套,並且第二加熱裝置包括耦合至隔離閥之第二加熱器套。
在一實施例中,第一溫度偵測器及第二溫度偵測器各包括一熱偶器或一電阻溫度偵測器。
在一實施例中,第一控制器及第二控制器各包括一固態繼電器。
在一實施例中,前驅物容器定義一約50 cc至約5000 cc的容積。
在一實施例中,該蒸氣輸送設備更包括:第三溫度控制組件,將該膨脹容積維持在大於該第二溫度之第三溫度。
在一實施例中,第三溫度控制組件包括:第三加熱裝置,對膨脹容積加熱;第三溫度偵測器,偵測膨脹容積的溫度;以及第三控制器,配置成基於所偵測之膨脹容積的溫度來施加電力至第三加熱裝置,以維持膨脹容積在第三溫度。
在一實施例中,該蒸氣輸送設備更包含用以偵測膨脹容積中的壓力之壓力感測器。閥控制器係配置成基於所偵測之膨脹容積中的壓力來操作注入閥,以累積特定量之氣相前驅物在膨脹容積中。
在一實施例中,該蒸氣輸送設備更包括:一耦合至膨脹容積之輸送閥,並且該輸送閥控制從膨脹容積進入處理腔室之特定量之氣相前驅物的流量。
在另一實施例中,提供一種製備沉積製程用之前驅物蒸氣的方法。在此方法中,將一前驅物容器維持在第一溫度,以自液相或固相前驅物產生氣相前驅物。將隔離閥(其係耦合至前驅物容器)維持在大於第一溫度之第二溫度。偵測膨脹容積中的壓力;以及基於所偵測之膨脹容積中的壓力來操作注入閥,以控制從前驅物容器進入膨脹容積之氣相前驅物的流量,從而累積一特定量之氣相前驅物。注入閥係耦合至隔離閥及膨脹容積。
在一實施例中,藉由偵測前驅物容器的溫度、並基於所偵測之前驅物容器的溫度來施加電力至第一加熱裝置,而將前驅物容器維持在第一溫度。藉由偵測隔離閥的溫度、並基於所偵測之隔離閥的溫度來施加電力至第二加熱裝置,而將隔離閥維持在第二溫度。
在一實施例中,該方法更包含將膨脹容積維持在大於第二溫度之第三溫度。
在一實施例中,藉由偵測膨脹容積的溫度、並基於所偵測之膨脹容積的溫度來施加電力至第三加熱裝置,而將膨脹容積維持在第三溫度。
在一實施例中,該方法更包括:操作一輸送閥以控制從膨脹容積進入處理腔室之特定量之氣相前驅物的流量。
在另一實施例中,提供一種原子層沉積系統。該原子層沉積系統包括一容納液相或固相前驅物之前驅物容器。第一溫度控制組件將前驅物容器維持在第一溫度,以自液相或固相前驅物產生氣相前驅物。使一特定量之氣相前驅物累積在一膨脹容積中。第一控制閥係設置在前驅物容器與膨脹容積之間,並且該第一控制閥控制從前驅物容器進入膨脹容積之氣相前驅物的流量。第二溫度控制組件將第一控制閥維持在大於第一溫度 之第二溫度,以及第三溫度控制組件將膨脹容積維持在大於第二溫度之第三溫度。壓力感測器偵測膨脹容積中的壓力,以及一閥控制器係配置成基於所偵測之膨脹容積中的壓力來操作第一控制閥,以累積特定量之氣相前驅物在膨脹容積中。該原子層沉積系統亦包括處理腔室、以及設置在膨脹容積與處理腔室之間的第二控制閥。第二控制閥控制從膨脹容積進入處理腔室之特定量之氣相前驅物的流量。
在一實施例中,第一溫度控制組件包括:第一加熱裝置,對前驅物容器加熱;第一溫度偵測器,偵測前驅物容器的溫度;以及第一控制器,配置成基於所偵測之前驅物容器的溫度來施加電力至第一加熱裝置,以維持前驅物容器在第一溫度。在此實施例中,第二溫度控制組件包括:第二加熱裝置,對第一控制閥加熱;第二溫度偵測器,偵測第一控制閥的溫度;以及一第二控制器,配置成基於所偵測之第一控制閥的溫度來施加電力至第二加熱裝置,以維持第一控制閥在第二溫度。在此實施例中,第三溫度控制組件包括:第三加熱裝置,對膨脹容積加熱;第三溫度偵測器,偵測膨脹容積的溫度;以及第三控制器,配置成基於所偵測之膨脹容積的溫度來施加電力至第三加熱裝置,以維持膨脹容積在第三溫度。
在一實施例中,第一加熱裝置包括耦合至前驅物容器之第一加熱器套,並且第二加熱裝置包括耦合至第一控制閥之第二加熱器套。
在一實施例中,該第一、第二、及第三溫度偵測器各包括一熱偶器或一電阻溫度偵測器。
在一實施例中,前驅物容器定義一約50 cc至約5000 cc的容積。
本發明的其他實施態樣從以下配合經由範例繪示本發明原理之附圖的詳細說明將變得顯而易見。
100‧‧‧氣相沉積系統
102‧‧‧處理腔室
104‧‧‧基板夾持器
105‧‧‧表面
106‧‧‧基板
107‧‧‧凹部
108‧‧‧閥
110‧‧‧電漿源
112‧‧‧排出埠
114‧‧‧控制閥
115‧‧‧真空源
116、128、140、160‧‧‧儲存容器
117、127、143、164‧‧‧隔離閥
118、130、142、162‧‧‧加熱器
119、129、141、161‧‧‧傳送管線
120、132、144、166‧‧‧注入閥
121、133、145、168‧‧‧真空清除閥
122、134、146、170‧‧‧膨脹容積
124、136、148、172‧‧‧壓力指示器
126‧‧‧輸送閥
138、150‧‧‧閥
154‧‧‧催化劑
156、158、174‧‧‧前驅物
176‧‧‧可編程製程控制器
180、186、192‧‧‧溫度偵測器
182、188、194‧‧‧溫度控制器
184、190‧‧‧加熱器
200、202‧‧‧曲線
220、222、224、226、228‧‧‧方法操作
T1、T2、T3‧‧‧溫度
藉由配合附圖參考以下敘述可最有效地瞭解本發明,其中:圖1顯示依據本發明之實施例之用於氣相沉積薄塗層之氣相沉積系統100的橫剖面示意圖。
圖2係用於將前驅物蒸氣輸送至處理腔室之習知蒸氣輸送 管線的示意圖。
圖3顯示將隔離閥溫度作為前驅物儲存容器注入百分率之函數建立模型之電腦模擬的結果。
圖4係用於供應前驅物蒸氣至處理腔室以供氣相沉積之蒸氣輸送設備的示意圖。
圖5係顯示膨脹容積的注入時間作為隔離閥溫度之函數的圖表。
圖6顯示依據本發明之實施例之製備用於沉積製程之前驅物蒸氣的方法。
本發明提供用於分子氣相沉積(MVD)、原子層沉積(ALD)、及化學氣相沉積(CVD)應用之蒸氣輸送設備及方法。以下描述數個發明實施例。
圖1顯示依據本發明之實施例之用於氣相沉積薄塗層之氣相沉積系統100的橫剖面示意圖。系統100包括處理腔室102,薄(通常厚度為5埃至1000埃)塗層係氣相沉積在處理腔室102中。將待塗層之基板106設置在基板夾持器104上(通常置於基板夾持器104中的凹部107內)。依腔室設計而定,可將基板106設置在腔室底部上(圖1未顯示此位置)。遠端電漿源110係經由閥108接附至處理腔室102。遠端電漿源110可用於提供電漿,該電漿係用以清理基板表面、及/或在塗佈一塗層之前將基板表面轉換至一特定化學狀態(其能使塗層物種及/或催化劑與該表面反應,從而改善塗層的黏著性及/或形成);或者遠端電漿源110可用於提供對於在塗層形成期間、或在沉積之後的塗層修改期間有幫助之物種。可利用微波、DC、或感應式RF電力源、或其組合來產生電漿。處理腔室102利用排出埠112來移除反應副產物,並且在抽氣/清除腔室102時開啟。利用關閉閥或控制閥114來隔離腔室或控制從真空源115施加至排出埠之真空量。
圖1所示之系統100說明一採用三前驅物材料及一催化劑之氣相沉積塗層。本領域中具有通常技術者將暸解,可於塗層的氣相沉積期間使用一或更多前驅物以及零至多數催化劑。催化劑儲存容器116容納催 化劑154,視需要可利用加熱器118將催化劑154加熱以提供蒸氣。應暸解到視需要而將前驅物和催化劑儲存容器壁、以及進入處理腔室102的傳送管線加熱,以使前驅物或催化劑保持在蒸氣狀態,從而使凝結降到最少或避免凝結。同理關於加熱處理腔室102的內表面以及塗層(未顯示)所塗佈之基板106的表面亦為真。
隔離閥117及注入閥120存在介於催化劑儲存容器116與催化劑膨脹容積122之間的傳送管線119上,催化劑膨脹容積122允許催化劑蒸氣累積直到壓力指示器124上量測出一標稱特定壓力為止。催化劑膨脹容積122的注入係由注入閥120所控制,注入閥120係處於常閉位置並且一旦催化劑膨脹容積122中達到特定壓力時便返回該位置。在膨脹容積122中的催化劑蒸氣要釋放時,將傳送管線119上的輸送閥126開啟以允許出現在膨脹容積122中的催化劑進入處於較低壓力的處理腔室102中。注入閥120及輸送閥126係由可編程製程控制器176所控制。真空清除閥121係分接在介於注入閥120與膨脹容積122之間的傳送管線119之部份。真空清除閥121控制對真空源115的曝露程度,並且例如在沉積操作之後可開啟,以便清除任何來自膨脹容積122的餘留氣體。
隔離閥117係人工控制並防止儲存容器116的內容物於儲存容器搬運期間曝露至大氣。概括而言,當催化劑儲存容器116及隔離閥117連接至系統100(經由管線119)時,可將隔離閥117保持在開啟位置以允許來自催化劑儲存容器116的催化劑154可供系統100使用。催化劑蒸氣導入膨脹容積122係由注入閥120直接控制。然而,在搬運儲存容器116的情況下(如可能必需的儲存容器116初次使用、或維修、或再填充之情況),則可將接附至儲存容器116的隔離閥117人工關閉以防止曝露至大氣。
隔離閥117使儲存容器116能在儲存容器的內部始終不曝露至大氣的情況下被搬運及連接至系統,這防止由於如此曝露之可能污染發生。在連接後的初次使用之前,於隔離閥117保持在關閉位置的情況下,可藉由開啟真空清除閥121而將隔離閥117與注入閥120之間的區域真空清除(這也同樣將清除膨脹容積122)。在真空清除之後,可接著將注入閥120關閉、以及將隔離閥117開啟,從而在氣相沉積操作前將這些閥設定在 其預設配置。
前驅物1儲存容器128容納塗層反應物前驅物1(156),視需要可利用加熱器130將前驅物1加熱以提供蒸氣。如先前所述,視需要而將前驅物1傳送管線129及膨脹容積134的內表面加熱,以使前驅物1保持在蒸氣狀態,從而避免凝結。注入閥132及隔離閥127存在介於前驅物1儲存容器128與前驅物1膨脹容積134之間的傳送管線129上,前驅物1膨脹容積134允許前驅物1蒸氣累積直到壓力指示器136上量測出一標稱特定壓力為止。注入閥132係處於常閉位置並且一旦前驅物1膨脹容積134中達到特定壓力時便返回該位置。在膨脹容積134中的前驅物1蒸氣要釋放時,將傳送管線129上的閥138開啟以允許出現在膨脹容積134中的前驅物1蒸氣進入處於較低壓力的處理腔室102中。閥132及138係由可編程製程控制器176所控制。真空清除閥133係分接在介於注入閥132與膨脹容積134之間,並且控制對真空源115的曝露程度,以便能清除膨脹容積。
前驅物2儲存容器140容納塗層反應物前驅物2(158),視需要可利用加熱器142將前驅物2加熱以提供蒸氣。如先前所述,視需要而將前驅物2傳送管線141及膨脹容積146的內表面加熱,以使前驅物2保持在蒸氣狀態,從而避免凝結。注入閥144及隔離閥143存在介於前驅物2儲存容器140與前驅物2膨脹容積146之間的傳送管線141上,前驅物2膨脹容積146允許前驅物2蒸氣累積直到壓力指示器148上量測出一標稱特定壓力為止。注入閥144係處於常閉位置並且一旦前驅物2膨脹容積146中達到特定壓力時便返回該位置。在膨脹容積146中的前驅物2蒸氣要釋放時,將傳送管線141上的閥150開啟以允許出現在膨脹容積146中的前驅物2蒸氣進入處於較低壓力的處理腔室102中。閥144及150係由可編程製程控制器176所控制。真空清除閥145係分接在介於注入閥144與膨脹容積146之間,並且控制對真空源115的曝露程度,以便能清除膨脹容積。
前驅物3儲存容器160容納塗層反應物前驅物3(174),視需要可利用加熱器162將前驅物3加熱以提供蒸氣。視需要而將前驅物3傳送管線161及膨脹容積170的內表面加熱,以使前驅物3保持在蒸氣狀 態,從而避免凝結。注入閥166及隔離閥164存在介於前驅物3儲存容器160與前驅物3膨脹容積170之間的傳送管線161上,前驅物3膨脹容積170允許前驅物3蒸氣累積直到壓力指示器172上量測出一標稱特定壓力為止。注入閥166係處於常閉位置並且一旦前驅物3膨脹容積170中達到特定壓力時便返回該位置。在膨脹容積170中的前驅物3蒸氣要釋放時,將傳送管線141上的閥150開啟以允許出現在膨脹容積170中的前驅物3蒸氣進入處於較低壓力的處理腔室102中。閥166及150係由可編程製程控制器176所控制。真空清除閥168係分接在介於注入閥166與膨脹容積170之間,並且控制對真空源115的曝露程度,以便能清除膨脹容積。
於基板106的表面105上形成塗層(未顯示)期間,可將等於催化劑154的膨脹容積122、或前驅物1的膨脹容積134、或前驅物2的膨脹容積146、或前驅物3的膨脹容積170之至少一額外增加的蒸氣添加至處理腔室102。所添加蒸氣的總量係由各膨脹腔室的可調整容積大小(通常為50 cc至1000 cc)、以及進入反應腔室的蒸氣注入數量(劑量)兩者所控制。此外,製程控制器176可調整催化劑膨脹容積122的設定壓力、或前驅物1膨脹容積134的設定壓力、或前驅物2膨脹容積146的設定壓力、或前驅物3膨脹容積170的設定壓力,以便在塗層形成製程期間調整添加至任何特定步驟之催化劑或前驅物的數量。在塗層形成期間的任何時間校準施用(填充)至處理腔室102之催化劑及塗層反應物前驅物之精確量的這個能力,能以精確的時間間隔來精準地添加前驅物及催化劑的數量,這不僅提供反應物及催化劑的準確劑量,而且在添加時間方面提供可重複性。
儘管事實上許多前驅物及催化劑通常為相對較不揮發的材料,但本系統提供了一種很廉價卻又準確的將氣相前驅物反應物及催化劑添加至塗層形成製程之方法。以往,使用流量控制器來控制各種反應物的添加;然而,由於前驅物材料的低蒸氣壓及化學本質,使得這些流量控制器可能無法處理塗層氣相沉積所用的一些前驅物。自一些前驅物產生蒸氣的速率通常太慢,而無法用為氣相沉積製程適時提供材料的可取得性之方式來和流量控制器一起運作。
本系統允許蒸氣累積成一可填充(施用)至反應的適當量。在塗層沉積進行期間欲完成數個劑量的情況下,可將系統編程以執行如上 述之步驟。此外,將反應物蒸氣以受控的可分量(而非連續流量)添加至反應腔室內,大幅降低了反應物的用量以及塗佈製程的成本。
關於氣相沉積系統的額外細節可見於2004年1月17日所申請、名稱為「Apparatus and Method for Controlled Application of Reactive Vapors to Produce Thin Films and Coatings」之美國專利申請案第10/759857號,其揭露內容於此全部併入作為所有目的之參考。可採用於此所描述之方法及設備的系統範例包括加州聖荷西應用顯微結構公司(Applied Microstructures,Inc.)所售之MVD300及MVD4500分子氣相沉積系統。
前述之系統100的元件(其提供催化劑、前驅物1、前驅物2、或前驅物3至處理腔室102之製備與輸送)為各個前驅物定義了蒸氣輸送管線(VDL)。例如,前驅物1的VDL包括儲存容器128、傳送管線129、加熱器130、隔離閥127、注入閥132、膨脹容積134、壓力指示器136、及控制閥138。為方便敘述,這些稱為前驅物1的VDL元件。然而,應瞭解於此所述之概念可同樣交互應用在催化劑、前驅物1、前驅物2、前驅物3、以及其他未顯示之每一者的VDL。
圖2係用於將前驅物蒸氣輸送至處理腔室之習知蒸氣輸送管線的示意圖。在圖示之蒸氣輸送管線中,前驅物容器128、隔離閥127、及膨脹容積134係顯示成具有各自的溫度T1、T2、及T3。如已提及般,通常在前驅物容器128中以液體形式提供前驅物材料,將前驅物容器128加熱以增加前驅物蒸氣的產生速率。如先前所述,進入膨脹容積134之前驅物蒸氣的流量係由注入閥132所控制。
通常,期望隔離閥的溫度T2大於前驅物容器的溫度T1,以防止當前驅物蒸氣流過隔離閥127時在隔離閥127中發生凝結。當前驅物材料不直接沉積至膨脹容積134內,而是在隔離閥內凝結並隨後再蒸發,則隔離閥127中的凝結可能導致將膨脹容積134注入至標稱期望壓力所需時間量的增加。
由於類似的原因,故通常期望將膨脹容積134維持在溫度T3,而溫度T3大於控制閥132的溫度T2以防止當前驅物蒸氣進入膨脹容積134時發生凝結。因此,期望前驅物容器128、隔離閥127、及膨脹容積134的溫度具有如下的關係:T1<T2<T3。
注意到隔離閥的溫度T2越高,則膨脹容積的溫度T3必須更高,以便維持適當的溫度關係。此外,因為較高的T2將導致前驅物蒸氣流進膨脹容積的速率增加,故若T2太高,則此可能對注入膨脹容積的準度產生負面影響。如此情況使得將適量的前驅物蒸氣準確地計量進入膨脹容積更為困難,且通常由於前驅物蒸氣流進膨脹容積的速度而使過度注入膨脹容積超過期望之前驅物蒸氣莫耳量的可能性增加。
產生前驅物容器、控制閥、與膨脹容積之間的適當溫度關係之一可能對策為僅對前驅物容器和膨脹容積加熱,而使位於它們之間的控制閥藉由其各自接到前驅物容器和膨脹容積之同軸連接而被動式加熱。然而,為了在如此設置中達到T1<T2<T3的期望關係,將必須考量到蒸氣輸送設備的複雜且特殊設計,並考量到任何影響前驅物容器、控制閥、與膨脹容積之間熱量傳遞的機制。一旦完成後,如此設置將不可變更,而無法提供對控制閥溫度的直接控制。
另一達到如圖2所示之T1<T2<T3的期望溫度關係之可能對策包括:提供對前驅物儲存容器128加熱之加熱器130、以及提供對隔離閥127加熱之額外加熱器184。可將加熱器184配置成加熱器130的從屬加熱器,用串聯連接以使加熱器184接收到輸送至加熱器130之電力的一預設部份。溫度控制器182(顯示在圖4)經由溫度偵測器180(例如電阻溫度偵測器(RTD))讀取前驅物儲存容器128的溫度,並控制輸送至加熱器130的電力,以達到前驅物儲存容器128的預定溫度。加熱器130(其對前驅物儲存容器128加熱)係串聯連接至加熱器184(其對隔離閥127加熱)。因此,當前驅物儲存容器128被加熱時,隔離閥127亦被加熱。
當前驅物儲存容器128相當小(例如在大約50立方公分(50 cc)的程度)時,已發現到上述配置提供隔離閥127相當穩定的溫度。然而,因為前驅物儲存容器128的熱容量隨著化學前驅物1耗盡而降低,所以將前驅物儲存容器128維持在溫度T1所需的電量亦將隨時間而降低。這表示在上述設置的情況下,供應至隔離閥之加熱器184的電量亦將隨時間而降低。然而,因為隔離閥127的熱容量不改變,所以其結果為隔離閥127的溫度隨著前驅物儲存容器128中的前驅物1消耗而降低。
圖3顯示如圖2所示之設計中的串聯連接的結果,其說明起 因於試圖以串聯連接方式增加供應圓筒容器大小的問題。更具體而言,圖3顯示將隔離閥溫度作為前驅物儲存容器注入百分率之函數建立模型之電腦模擬的結果。顯示結果指示具有對前驅物儲存容器及隔離閥加熱之串聯連接的二加熱器之系統。前驅物材料為水,並將前驅物儲存容器加熱且維持在攝氏35度。曲線200顯示當前驅物儲存容器為50 cc圓筒容器時之隔離閥的溫度變化。曲線202顯示當前驅物儲存容器為300 cc圓筒容器時之隔離閥的溫度變化。如所見般,取決於使用50 cc圓筒容器或是300 cc圓筒容器而在隔離閥的溫度變化中有巨大差異。對於50 cc圓筒容器,介於80%與10%注入之間的隔離閥之溫度變化大約為7度。而對於300 cc圓筒容器,介於80%與10%注入之間的隔離閥之溫度變化大約為40度。
如所見般,當使用300 cc圓筒容器時如此大的隔離閥之溫度變化,且即使當使用50 cc圓筒容器時所見之較小變化,也可能由於幾個原因而造成問題。隨著前驅物用盡而下降之隔離閥溫度最終可能導致隔離閥溫度變得接近或小於圓筒容器溫度,使得隔離閥中發生凝結。此外,隔離閥可能遭受到的高溫及溫度波動可額外對隔離閥施壓並且最後減短其使用壽命。因為注入時間通常隨隔離閥溫度增高而縮短,所以隔離閥溫度的大變化也可能對膨脹容積的注入時間一致性產生影響。再者,隔離閥處的高溫可能需要膨脹容積處的額外更高溫度來維持,以防止膨脹容積中的凝結。膨脹容積中的凝結將對基於膨脹容積內所偵測壓力之前驅物所累積的莫耳量之判斷準度產生不利的影響,且由於必須要等待凝結的前驅物再蒸發,因而將進一步阻礙蒸氣輸送。由於前驅物蒸氣流入膨脹容積太快而無法控制,故隔離閥處所增高之溫度亦可能導致注入膨脹容積的不準確性。
然而,通常期望使用一較大的前驅物儲存容器,以便在需要再填充前驅物儲存容器或更換前驅物儲存容器之前,有更多前驅物可供使用。由於系統必須離線、更換前驅物儲存容器、以及系統準備再次生產,因此再填充或更換前驅物儲存容器導致蒸氣輸送系統停機。其結果為減少生產時間、並增加擁有者的成本。此外,當採用較小的前驅物儲存容器時,為達到相較於較大的前驅物儲存容器之同樣前驅物數量,因而購買更多前驅物儲存容器及隔離閥,這也增加了營運成本。
圖4繪示用於供應前驅物蒸氣至處理腔室以供氣相沉積之 蒸氣輸送設備的示意圖。在圖示之實施例中,前驅物儲存容器128、隔離閥127、及膨脹容積134係顯示成各自具有溫度T1、T2、及T3。溫度偵測器180(例如RTD)偵測前驅物儲存容器128的溫度。基於此偵測溫度,溫度控制器182控制加熱器130以將前驅物儲存容器維持在預定溫度T1。例如,溫度控制器182可包括固態繼電器、或能將前驅物儲存容器維持在一固定溫度之其他類型的溫度控制機構。
將獨立溫度偵測器186(例如熱偶器(TC)或RTD)耦合至隔離閥127以偵測隔離閥127的溫度。溫度控制器188自溫度偵測器186讀取隔離閥127的溫度並控制加熱器184,以便將隔離閥加熱至一固定預定溫度T2。
膨脹容積134亦具有相關的加熱器190及溫度偵測器192(例如RTD)。溫度控制器194經由溫度偵測器192監控膨脹容積134的溫度,並且控制加熱器190以便將膨脹容積(以及注入閥132和輸送閥138)維持在預定溫度T3。
隔離閥127係人工控制,並且在處理操作期間通常處於開啟狀態。注入閥132、輸送閥138、及真空清除閥133係由製程控制器176所控制。在一些實施例中,注入閥132、輸送閥138、及真空清除閥133為氣動式。
圖4所示之蒸氣輸送設備的配置提供了前驅物儲存容器128、隔離閥127、及膨脹容積134的獨立溫度控制。尤其,儘管當前驅物儲存容器128內的前驅物材料隨時間用盡而發生前驅物儲存容器128的熱容量變化,隔離閥127的獨立溫度控制仍提供將隔離閥溫度維持在一固定預定溫度T2。這在前驅物儲存容器128的使用期間自始至終提供了膨脹容積的注入時間一致性,並且在不造成起因於隔離閥的溫度波動之不利影響的情況下,實現了更大尺寸之前驅物儲存容器的使用。
前述之前驅物儲存容器可為圓筒、安瓿、或任何能容納前驅物材料並可連接至隔離閥之其他類型的容器。概括而言,前驅物儲存容器的容積範圍從約50 cc至約5000 cc(5公升)(雖然大於5000 cc或小於50 cc的容積也可考慮)。同樣地,膨脹容積的容積可依應用所需而改變。在一些實施例中,膨脹容積的容積大約為600 cc。在其他實施例中,膨脹容積 的容積可介於約100 cc與10000 cc(10公升)之間。
一600 cc膨脹容積的注入時間通常範圍從約2至20秒。在一些實施例中,注入時間範圍介於5至15秒之間。對300 cc前驅物儲存容器加熱所施加之電量通常在約40至120 W的範圍中。在任何特定時刻對前驅物儲存容器加熱所施加之特定電量當然將取決於容器的熱容量,其因此部份基於前驅物餘留量。對隔離閥加熱所施加之電量通常在約10至40 W的範圍中。
本領域中具有通常技術者應瞭解到用於溫度偵測、加熱、及加熱控制之各種元件可依據本發明之各種實施例而加以變化。例如,用以對任何前驅物儲存容器、隔離閥、或膨脹容積加熱之加熱裝置可包括加熱套、插裝加熱器、燈加熱器等等。溫度偵測器可為RTD、熱偶器、或其他能整合在自動化系統中的溫度偵測裝置。溫度控制器可包括幫助供應適量電力至加熱裝置以便維持固定溫度之各種類型的溫度控制及回饋機制,並且可包括固態繼電器、比例積分微分控制器(PID控制器)、DC電壓控制器/調節器等等。
範例性加熱及控制系統僅為提供參考,而非限制。例如,在一實施例中,加熱及控制配置可包括使用AC電力之加熱套與利用RTD/TC之PID/SSR做為溫度量測。在另一實施例中,使用AC電力之插裝加熱器與利用RTD/TC之PID/SSR控制結合使用做為溫度量測。在另一實施例中,使用DC電力之插裝加熱器與利用RTD/TC之DC電壓控制器/調節器結合使用做為溫度量測。在又另一實施例中,燈加熱器與RTD/TC結合使用作為溫度量測。前述之加熱及控制系統的範例僅提供參考,因為依據於此所述之原理、方法、及設備之任何適合的元件皆可用以提供加熱、溫度量測、及回應溫度量測之加熱控制。
此外,雖然已提到經由如於此所述之此類溫度控制系統將沉積系統的各種元件維持在「固定」溫度,惟本領域中具有通常技術者應瞭解由於所採用之溫度控制設置的特性,在絕對嚴格的意義上,溫度實際上可在一小範圍內波動。這是因為如此之溫度控制系統回應所感測之偏離期望預設溫度的溫度變化,並據以作出反應。若偵測之溫度降到預設溫度以下,則控制加熱器以升高所施加之熱量;反之,若偵測之溫度升到預設溫 度以上,則控制加熱器以降低所施加之熱量。以此方式,將溫度控制並維持在如由用於溫度量測及控制之元件的敏感度和解析度能力所決定之一特定程度準度的「固定」位準。
如此所述之設備包括隔離閥及注入閥兩者。在一替代實施例中,可用單一混合控制閥來取代隔離閥及注入閥,該混合控制控制閥提供隔離閥及注入閥兩者的功能。換言之,混合控制閥可由製程控制器自動控制(例如經由氣動方式),但也可人工關閉或鎖住以允許在不使前驅物儲存容器的內容物曝露至大氣的情況下搬運前驅物儲存容器。在採用此類混合控制閥的實施例中,前述溫度偵測及控制機制可應用在混合控制閥以維持混合控制閥在固定溫度T2。
圖5係顯示膨脹容積之注入時間作為隔離閥溫度之函數的圖表。將前驅物儲存容器加熱在攝氏35度,並且將膨脹容積加熱在攝氏100度。前驅物材料為水。如曲線210所示,當隔離閥的溫度升高時,膨脹容積的注入時間降低。如已注意到,若注入時間降低到太大的範圍,則以期望數量的前驅物蒸氣準確地注入膨脹容積逐漸變得困難。另一方面,若注入時間增加到太大的範圍,則吞吐量降低。目前所述之實施例有助於隔離閥溫度的獨立控制,使得注入時間維持在一致的位準,從而提供蒸氣輸送系統的可重複執行。
圖6說明依據本發明之實施例之製備用於沉積製程之前驅物蒸氣的方法。於方法操作220,將前驅物容器維持在第一溫度以自液相或固相前驅物產生氣相前驅物。將前驅物容器維持在第一溫度通常包括偵測前驅物容器的溫度、以及基於所偵測之前驅物容器的溫度而施加電力至第一加熱裝置。於方法操作222,將隔離閥維持在大於第一溫度之第二溫度,隔離閥係耦合至前驅物容器。將隔離閥維持在第二溫度通常包括偵測隔離閥的溫度、以及基於所偵測之隔離閥的溫度而施加電力至第二加熱裝置。於方法操作224,將膨脹容積維持在大於第二溫度之第三溫度。將膨脹容積維持在第三溫度通常包括偵測膨脹容積的溫度、以及基於所偵測之膨脹容積的溫度而施加電力至第三加熱裝置。於方法操作226,偵測膨脹容積中的壓力。於方法操作228,基於所偵測之膨脹容積中的壓力來操作注入閥,以控制自前驅物容器進入膨脹容積之氣相前驅物流量,以便累積一特定量之 氣相前驅物。注入閥係耦合至隔離閥及膨脹容積。
本發明之實施例提供隔離閥之獨立溫度控制結合各個前驅物儲存容器和膨脹容積之獨立溫度控制的方法及設備。目前所述之方法及設備使前驅物儲存容器、隔離閥、與膨脹容積之間能維持適當的溫度關係,以避免蒸氣輸送設備中的凝結。避免了隔離閥溫度的大波動,這幫助維護隔離閥的使用壽命,同時也提供更一致的膨脹容積注入時間。這些益處亦簡化了重複地將前驅物蒸氣注入膨脹容積並隨後輸送至處理腔室的自動化程序,例如不再需要對於隔離閥的溫度波動之補償量測。再者,因為注入時間係以一致的方式來維持,所以能用可重複的方式達到更高準度之膨脹容積注入。
此外,目前所述之實施例使不同尺寸的前驅物儲存容器能與氣相沉積系統一起使用,而不需要為容納不同尺寸的容器之大規模重新配置。最適合一特定應用之前驅物儲存容器的具體尺寸將取決於數個因素,如化學前驅物的使用壽命、各沉積操作中所消耗的前驅物量、沉積系統之操作者於每單位時間所需之沉積操作的數目(沉積操作的速率)等等。例如,一研究機構對於一特定前驅物材料可能僅需要相對有限的沉積操作數目,且因此採用較小尺寸的前驅物儲存容器。另一方面,一生產晶圓廠在一進行中的基礎上可能需要非常大的沉積操作數目,且因此採用一非常大尺寸的前驅物儲存容器,以便使前驅物儲存容器的更換保持在最低限度。本實施例提供可用在同一沉積系統之前驅物儲存容器尺寸上的靈活性,而不需為容納不同的前驅物儲存容器尺寸而大規模更改或重新配置沉積系統。
本發明之實施例提供改善極大之用於蒸氣輸送及氣相沉積的方法及設備。應瞭解以上描述本意在於說明而非限制。在檢視本揭露內容之後,對於本領域中具有通常技術者而言,本發明的許多實施例及變化將變得顯而易見。僅經由舉例的方式,可採用各種製程時間、製程溫度、和其他製程條件、以及某些處理步驟的不同順序。因此,本發明的範圍不應參照以上描述來決定,而是應參照隨附之申請專利範圍與如此申請專利範圍所賦予之均等者的全部範圍來決定。
於此所提出之說明及圖例之意圖為使其他本領域中具有通 常技術者熟悉本發明、本發明原理、及其實際應用。本領域中具有通常技術者可以許多形式(例如可最適合一特定用途之需求)來修改及應用本發明。因此,所提出之本發明的具體實施例並非要詳盡無遺或限制本發明。
雖然為清楚瞭解之目的前文已就一些細節加以描述,但應瞭解到在隨附的專利申請範圍之範圍內仍可實施一些變化及修改。因此,這些實施例應視為示例性而非限制性,並且本發明不應限制在於此所提供之細節,而可在隨附專利申請範圍的範圍及均等者之內加以修改。在專利申請範圍中,除非在專利申請範圍中有明確聲明,否則元件及/或步驟不意謂著任何特定的操作順序。

Claims (15)

  1. 一種提供氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備,該設備包含:一前驅物容器,容納液相或固相前驅物;一第一溫度控制組件,將該前驅物容器維持在第一溫度,以自該液相或固相前驅物產生氣相前驅物;一隔離閥,耦合至該前驅物容器;一膨脹容室;一注入閥,耦合至該隔離閥及該膨脹容室之每一者,該注入閥控制從該前驅物容器進入該膨脹容室之該氣相前驅物的流量;一輸送閥,耦合至該膨脹容室,該輸送閥控制從該膨脹容室進入一處理腔室的特定量之該氣相前驅物的流量;一第二溫度控制組件,將該隔離閥維持在大於該第一溫度之第二溫度;以及一製程控制器,用以控制該注入閥及該輸送閥,使得該膨脹容室累積該特定量之該氣相前驅物,其中該特定量之該氣相前驅物定義該氣相前驅物的一受控可分量,該製程控制器更用以於該膨脹容室中累積該特定量之該氣相前驅物之後控制該注入閥及該輸送閥將該氣相前驅物的該受控可分量做為一單一劑量填充至該處理腔室。
  2. 如申請專利範圍第1項之提供氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備,其中該第一溫度控制組件包括:一第一加熱裝置,對該前驅物容器加熱;一第一溫度偵測器,偵測該前驅物容器的溫度;以及一第一控制器,配置成基於所偵測之該前驅物容器的溫度來施加電力至該第一加熱裝置,以維持該前驅物容器在該第一溫度;且該第二溫度控制組件包括:一第二加熱裝置,對該隔離閥加熱;一第二溫度偵測器,偵測該隔離閥的溫度;以及一第二控制器,配置成基於所偵測之該隔離閥的溫度來施加電力至 該第二加熱裝置,以維持該隔離閥在該第二溫度。
  3. 如申請專利範圍第2項之提供氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備,其中該第一加熱裝置包括耦合至該前驅物容器之第一加熱器套;且該第二加熱裝置包括耦合至該隔離閥之第二加熱器套。
  4. 如申請專利範圍第2項之提供氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備,其中該第一溫度偵測器及該第二溫度偵測器各包括一熱偶器或一電阻溫度偵測器。
  5. 如申請專利範圍第2項之提供氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備,其中該第一控制器及該第二控制器各包括一固態繼電器。
  6. 如申請專利範圍第1項之提供氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備,其中該前驅物容器定義一約50cc至約5000cc的容積。
  7. 如申請專利範圍第1項之提供氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備,更包含:一第三溫度控制組件,將該膨脹容室維持在大於該第二溫度之第三溫度。
  8. 如申請專利範圍第7項之提供氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備,其中該第三溫度控制組件包括:一第三加熱裝置,對該膨脹容室加熱;一第三溫度偵測器,偵測該膨脹容室的溫度;以及一第三控制器,配置成基於所偵測之該膨脹容室的溫度來施加電力至該第三加熱裝置,以維持該膨脹容室在該第三溫度。
  9. 如申請專利範圍第1項之提供氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備,更包含:一壓力感測器,偵測該膨脹容室中的壓力;以及一閥控制器,配置成基於所偵測之該膨脹容室中的壓力來操作該注入閥,以累積該特定量之該氣相前驅物在該膨脹容室中。
  10. 如申請專利範圍第1項之提供氣相沉積製程用之前驅物蒸氣的蒸氣輸送設備,更包含:一輸送閥,耦合至該膨脹容室,該輸送閥控制從該膨脹容室進入處理腔室之該特定量之該氣相前驅物的流量。
  11. 一種原子層沉積系統,該系統包含:一前驅物容器,容納液相或固相前驅物;一第一溫度控制組件,將該前驅物容器維持在第一溫度,以自該液相或固相前驅物產生氣相前驅物;一膨脹容室;一第一控制閥,設置在該前驅物容器與該膨脹容室之間,該第一控制閥控制從該前驅物容器進入該膨脹容室之該氣相前驅物的流量;一第二溫度控制組件,將該第一控制閥維持在大於該第一溫度之第二溫度;一第三溫度控制組件,將該膨脹容室維持在大於該第二溫度之第三溫度;一壓力感測器,偵測該膨脹容室中的壓力;一閥控制器,配置成基於所偵測之該膨脹容室中的壓力來操作該第一控制閥,以累積一特定量之該氣相前驅物在該膨脹容室中,該特定量之該氣相前驅物定義該氣相前驅物的一受控可分量;一處理腔室;以及一第二控制閥,設置在該膨脹容室與該處理腔室之間,該第二控制閥控制從該膨脹容室進入該處理腔室之該特定量之該氣相前驅物的流量, 其中該閥控制器係用以於該膨脹容室中累積該特定量之該氣相前驅物之後操作該第二控制閥將該氣相前驅物的該受控可分量做為一單一劑量填充至該處理腔室。
  12. 如申請專利範圍第11項之原子層沉積系統,其中該第一溫度控制組件包括:一第一加熱裝置,對該前驅物容器加熱;一第一溫度偵測器,偵測該前驅物容器的溫度;以及一第一控制器,配置成基於所偵測之該前驅物容器的溫度來施加電力至該第一加熱裝置,以維持該前驅物容器在該第一溫度;且該第二溫度控制組件包括:一第二加熱裝置,對該第一控制閥加熱;一第二溫度偵測器,偵測該第一控制閥的溫度;以及一第二控制器,配置成基於所偵測之該第一控制閥的溫度來施加電力至該第二加熱裝置,以維持該第一控制閥在該第二溫度;且該第三溫度控制組件包括:一第三加熱裝置,對該膨脹容室加熱;一第三溫度偵測器,偵測該膨脹容室的溫度;以及一第三控制器,配置成基於所偵測之該膨脹容室的溫度來施加電力至該第三加熱裝置,以維持該膨脹容室在該第三溫度。
  13. 如申請專利範圍第12項之原子層沉積系統,其中該第一加熱裝置包括耦合至該前驅物容器之第一加熱器套;且該第二加熱裝置包括耦合至該第一控制閥之第二加熱器套。
  14. 如申請專利範圍第12項之原子層沉積系統,其中該第一、第二、及第三溫度偵測器各包括一熱偶器或一電阻溫度偵測器。
  15. 如申請專利範圍第11項之原子層沉積系統,其中該前驅物容器定義一約50cc至約5000cc的容積。
TW102118089A 2012-05-22 2013-05-22 蒸氣輸送設備 TWI638063B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/477,928 US20130312663A1 (en) 2012-05-22 2012-05-22 Vapor Delivery Apparatus
US13/477,928 2012-05-22

Publications (2)

Publication Number Publication Date
TW201410911A TW201410911A (zh) 2014-03-16
TWI638063B true TWI638063B (zh) 2018-10-11

Family

ID=49620583

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102118089A TWI638063B (zh) 2012-05-22 2013-05-22 蒸氣輸送設備

Country Status (3)

Country Link
US (1) US20130312663A1 (zh)
TW (1) TWI638063B (zh)
WO (1) WO2013176986A2 (zh)

Families Citing this family (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9631276B2 (en) 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9920844B2 (en) * 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) * 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
TWI615504B (zh) * 2016-09-13 2018-02-21 Zhang yu shun 遠端電漿增強化學氣相沈積系統之電漿產生裝置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102410571B1 (ko) 2016-12-09 2022-06-22 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI130416B (en) * 2019-06-28 2023-08-21 Beneq Oy Precursor source arrangement and atomic layer growth apparatus
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) * 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) * 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
WO2022133432A1 (en) * 2020-12-19 2022-06-23 Lam Research Corporation Atomic layer deposition with multiple uniformly heated charge volumes
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1171678A (ja) * 1997-03-14 1999-03-16 Toshiba Corp 液体原料の蒸発装置とその蒸発方法
TW200540293A (en) * 2004-06-04 2005-12-16 Applied Microstructures Inc Controlled deposition of silicon-containing coatings adhered by an oxide layer
US20070039549A1 (en) * 2004-04-12 2007-02-22 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US20090211525A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
US20100236480A1 (en) * 2007-09-28 2010-09-23 Tokyo Electron Limited Raw material gas supply system and film forming apparatus

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5865205A (en) * 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
EP1073777A2 (en) * 1998-04-14 2001-02-07 CVD Systems, Inc. Film deposition system
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US7109113B2 (en) * 2004-01-30 2006-09-19 Micron Technology, Inc. Solid source precursor delivery system
US20060207503A1 (en) * 2005-03-18 2006-09-21 Paul Meneghini Vaporizer and method of vaporizing a liquid for thin film delivery
US8202575B2 (en) * 2004-06-28 2012-06-19 Cambridge Nanotech, Inc. Vapor deposition systems and methods
US7680399B2 (en) * 2006-02-07 2010-03-16 Brooks Instrument, Llc System and method for producing and delivering vapor
KR101562180B1 (ko) * 2008-03-17 2015-10-21 어플라이드 머티어리얼스, 인코포레이티드 앰풀용 가열형 밸브 매니폴드
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1171678A (ja) * 1997-03-14 1999-03-16 Toshiba Corp 液体原料の蒸発装置とその蒸発方法
US20070039549A1 (en) * 2004-04-12 2007-02-22 Mks Instruments, Inc. Pulsed mass flow delivery system and method
TW200540293A (en) * 2004-06-04 2005-12-16 Applied Microstructures Inc Controlled deposition of silicon-containing coatings adhered by an oxide layer
US20100236480A1 (en) * 2007-09-28 2010-09-23 Tokyo Electron Limited Raw material gas supply system and film forming apparatus
US20090211525A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems

Also Published As

Publication number Publication date
WO2013176986A2 (en) 2013-11-28
TW201410911A (zh) 2014-03-16
WO2013176986A3 (en) 2015-06-25
US20130312663A1 (en) 2013-11-28

Similar Documents

Publication Publication Date Title
TWI638063B (zh) 蒸氣輸送設備
KR102239901B1 (ko) 격리 밸브에 의해 펄스 가스 전달을 위한 방법 및 장치
KR102143678B1 (ko) 기판 처리 장치, 가스의 공급 방법, 기판 처리 방법 및 성막 방법
KR100541050B1 (ko) 가스공급장치 및 이를 이용한 반도체소자 제조설비
US10385457B2 (en) Raw material gas supply apparatus, raw material gas supply method and storage medium
JP6698153B2 (ja) 前駆体の供給システムおよび前駆体の供給方法
US8790464B2 (en) Control for and method of pulsed gas delivery
US20100305884A1 (en) Methods for determining the quantity of precursor in an ampoule
KR101949546B1 (ko) 액체의 질량을 측정하고 유체를 수송하는 장치
TWI702694B (zh) 半導體裝置的製造方法,零件的管理方法,基板處理裝置及基板處理程式
US10752995B2 (en) Material delivery system and method
JP5570471B2 (ja) モノマー蒸発量制御装置及び蒸着重合装置並びにモノマー蒸発量の制御方法
JP6696864B2 (ja) 分注装置及び分注方法
JP2024044760A (ja) 液体原料供給方法及びガス供給装置
CN117321245A (zh) 用于分配固体前体的气相的设备和方法
KR20230017145A (ko) 공정 챔버로의 전구체 전달을 모니터링하는 시스템 및 방법
CN117516652A (zh) 用于前体水平测量的基于压力的传感器系统及其方法