TWI629493B - 積體電路晶片測試裝置,方法及系統 - Google Patents
積體電路晶片測試裝置,方法及系統 Download PDFInfo
- Publication number
- TWI629493B TWI629493B TW106138333A TW106138333A TWI629493B TW I629493 B TWI629493 B TW I629493B TW 106138333 A TW106138333 A TW 106138333A TW 106138333 A TW106138333 A TW 106138333A TW I629493 B TWI629493 B TW I629493B
- Authority
- TW
- Taiwan
- Prior art keywords
- scan
- test
- displacement frequency
- pattern
- scanning
- Prior art date
Links
- 238000012360 testing method Methods 0.000 title claims abstract description 971
- 238000000034 method Methods 0.000 title claims description 148
- 238000006073 displacement reaction Methods 0.000 claims abstract description 973
- 230000007547 defect Effects 0.000 claims abstract description 11
- 230000003247 decreasing effect Effects 0.000 claims description 47
- 230000008569 process Effects 0.000 claims description 39
- 230000007423 decrease Effects 0.000 claims description 35
- 238000010998 test method Methods 0.000 claims description 28
- 238000010845 search algorithm Methods 0.000 claims description 8
- 238000004891 communication Methods 0.000 claims description 3
- 235000012431 wafers Nutrition 0.000 description 186
- 230000032683 aging Effects 0.000 description 61
- 238000010586 diagram Methods 0.000 description 52
- 230000002829 reductive effect Effects 0.000 description 17
- 238000013461 design Methods 0.000 description 14
- 230000000694 effects Effects 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 12
- 230000002950 deficient Effects 0.000 description 11
- 239000000523 sample Substances 0.000 description 11
- 230000008859 change Effects 0.000 description 10
- 238000012545 processing Methods 0.000 description 9
- 238000003860 storage Methods 0.000 description 9
- 230000009471 action Effects 0.000 description 8
- 230000000873 masking effect Effects 0.000 description 8
- 230000009467 reduction Effects 0.000 description 7
- 230000011218 segmentation Effects 0.000 description 7
- 230000000630 rising effect Effects 0.000 description 6
- 238000004590 computer program Methods 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 238000006243 chemical reaction Methods 0.000 description 4
- 238000011990 functional testing Methods 0.000 description 4
- 238000003908 quality control method Methods 0.000 description 4
- 230000002159 abnormal effect Effects 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 238000005457 optimization Methods 0.000 description 3
- 230000036961 partial effect Effects 0.000 description 3
- 238000000275 quality assurance Methods 0.000 description 3
- 230000017750 cell morphogenesis involved in conjugation with cellular fusion Effects 0.000 description 2
- 238000013500 data storage Methods 0.000 description 2
- 230000007613 environmental effect Effects 0.000 description 2
- 238000000605 extraction Methods 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 230000000877 morphologic effect Effects 0.000 description 2
- 238000012216 screening Methods 0.000 description 2
- 238000004904 shortening Methods 0.000 description 2
- 230000035882 stress Effects 0.000 description 2
- 238000009662 stress testing Methods 0.000 description 2
- 230000001133 acceleration Effects 0.000 description 1
- 238000012152 algorithmic method Methods 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000010923 batch production Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 238000012790 confirmation Methods 0.000 description 1
- 238000007405 data analysis Methods 0.000 description 1
- 235000013399 edible fruits Nutrition 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 230000002431 foraging effect Effects 0.000 description 1
- 230000020169 heat generation Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000007726 management method Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000003825 pressing Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 230000001550 time effect Effects 0.000 description 1
- 230000001960 triggered effect Effects 0.000 description 1
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/2851—Testing of integrated circuits [IC]
- G01R31/2886—Features relating to contacting the IC under test, e.g. probe heads; chucks
- G01R31/2891—Features relating to contacting the IC under test, e.g. probe heads; chucks related to sensing or controlling of force, position, temperature
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318594—Timing aspects
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/2801—Testing of printed circuits, backplanes, motherboards, hybrid circuits or carriers for multichip packages [MCP]
- G01R31/281—Specific types of tests or tests for a specific type of fault, e.g. thermal mapping, shorts testing
- G01R31/2815—Functional tests, e.g. boundary scans, using the normal I/O contacts
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/2851—Testing of integrated circuits [IC]
- G01R31/2886—Features relating to contacting the IC under test, e.g. probe heads; chucks
- G01R31/2889—Interfaces, e.g. between probe and tester
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318522—Test of Sequential circuits
- G01R31/318525—Test of flip-flops or latches
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318536—Scan chain arrangements, e.g. connections, test bus, analog signals
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318555—Control logic
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318566—Comparators; Diagnosing the device under test
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318502—Test of Combinational circuits
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318544—Scanning methods, algorithms and patterns
Landscapes
- Engineering & Computer Science (AREA)
- General Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Tests Of Electronic Circuits (AREA)
- Testing Or Measuring Of Semiconductors Or The Like (AREA)
Abstract
一種積體電路(IC)晶片裝置,該積體電路晶片包含一測試標的電路,一掃描輸入埠,及一掃描輸出埠,該測試裝置藉由經該掃描輸入埠輸入一掃描樣式至一掃描路徑,並與一預定期望值比較該掃描輸出埠輸出之輸出值,並執行用以檢查該積體電路是否存在缺陷的掃描測試。該測試裝置包含一位移頻率搜尋單元,用以搜尋掃描測試結果為通過之一位移頻率,及掃描測試結果為失敗之一位移頻率,藉由移入該掃描路徑,從包含於一掃描樣式組之兩個或多個掃描區段間搜尋一標的掃描區段之一可用位移頻率。當搜尋該標的掃描區段之位移頻率時,該位移頻率搜尋單元用以搜尋掃描測試結果為通過之一位移頻率,及掃描測試結果為失敗之一位移頻率,藉由改變該標的掃描區段之位移頻率使其和移至該掃路徑其他掃描區段間至少一掃描區段之位移頻率不相同,或設定該標的掃描區段之位移頻率使其和移至該掃路徑其他掃描區段間至少一掃描區段之位移頻率不相同。
Description
本揭示內容係有關一種積體電路(IC)晶片測試裝置,方法及系統。
一種最普遍用以測試一積體電路(IC)晶片之方法包含施予測試資料至該積體電路(IC)晶片之輸入端,及將一預定之預測值或預測結果與該積體電路(IC)晶片之一輸出值進行比較(例如,韓國專利公開公報第10-2012-0102876號)。然而,如果一積體電路(IC)晶片具有如正反器(flip-flop,F/Fs)儲存元件之循序邏輯電路時,其則為不容易施予一預期值至該積體電路(IC)晶片內之正反器,或不容易從外部偵測該正反器之值。
掃描設計方法是可測性設計(design for testability,DFT)方法之一,其用以增加積體電路(IC)晶片之電路的可控制性及觀察性。該掃描設計方法使用一自動測試樣式產生器(ATPG)以獲得具有小尺寸及高錯誤含蓋率之測試資料,該自動測試樣式產生器(ATPG)係根據受測電路之結構資訊,自動產生測試樣式之軟體。
換言之,掃描設計使具有循序邏輯電路之積體電路(IC)晶片可從外部被更容易控制及觀察,其藉由將該循序邏輯電路視為組合邏輯電路,以執行該掃描測試,且藉由自動測試樣式產生器(ATPG)將測試資料大小減至最少化。從掃描設計及ATPG軟體所獲的測試資料包含至少一掃描樣式。掃描測試過程中掃描樣式可獲得序號。
一般之掃描測試程序如下步驟:
步驟1:將主要輸入測試資料送至一積體電路(IC)晶片之主要輸入埠。
步驟2:將一掃描致能訊號輸入至一掃描致能埠,使該積體電路(IC)晶片設定為一掃描模式。
步驟3:將一掃描樣式位移入一掃描輸入埠,使該掃描樣式載入一掃描路徑之正反器。於此之後,一致能訊號輸入至一掃描致能埠,移入(shift in)一掃描輸入埠或移出(shift out)一掃描輸出入埠皆簡單稱為位移(shift)。位移該掃描樣式之位移週期是送至一計時器輸入埠之計時器位移頻率之倒數。載入掃描路徑之掃描樣式被送至組合電路。掃描樣式被送至組合電路之後,從一主要輸出埠輸出一輸出結果,該輸出結果與一期望輸出值比較。當比較結果顯示為不相符時,該對應積體電路(IC)晶片判定為具有缺陷。
步驟4:將一掃描失能訊號輸入至該掃描致能埠,使該積體電路(IC)晶片轉換為一功能模式。於該功能模式中,當一計時器訊號輸入該計時器輸入埠時,正反器取得該組合電路之一輸出值。此操作被稱為「掃描擷取(scan capture)」,及該掃描擷取所執行的模式被稱為「掃描擷取模式(scan capture mode)」。
步驟5:將一掃描致能訊號輸入至一掃描致能埠,使該積體電路(IC)晶片從該功能模式切換為該掃描模式。
步驟6:將掃描路徑上之正反器取得之值經由掃描輸出埠移出,及一輸出樣式從該掃描輸出入埠被下載。
步驟7:將下載的輸出樣式與一預定期望樣式比較,以判斷積體電路(IC)晶片是否是正常。於測試前,該期望樣式是一已知值,或是
一預期輸出樣式,其係當該積體電路(IC)晶片正常運作時,施予主要輸入測試資料及掃描樣式,和執行掃描擷取操作後,經由掃描輸出埠輸出的掃描樣式。當步驟3之比較結果顯示相合及當步驟7之比較結果顯示相合時,該測試結果為通過(PASS),其意味該積體電路(IC)晶片為良品。不然,該測試結果為失敗(FAIL),其意味該積體電路(IC)晶片為不良品。測試結果通過(PASS)意味該積體電路(IC)晶片是無瑕疵,測試結果失敗(FAIL)意味該積體電路(IC)晶片是有瑕疵。
掃描測試約略分為固定值錯誤測試(stuck-at-fault test)及延遲錯誤測試(delay-fault test)。固定值錯誤測試係指該積體電路(IC)晶片之一訊號線藉由被非故意固定於邏輯0(logical 0)或邏輯1(logical 1)之一狀態。延遲錯誤測試係指,當從該積體電路(IC)晶片之訊號線或訊號路徑傳送一訊號值時,該積體電路(IC)晶片之規格因一延誤時間而無法滿足之一狀態。
延遲錯誤測試包含一轉換延時測試及一路徑延時測試,亦稱為「加速測試(at-speed test)」。轉換延時測試係用以找出在積體電路(IC)晶片上一特定訊號線或一節點,其訊號值從1變0或從0變1之轉換延時的延遲時間問題。路徑延時測試係用以找出在積體電路(IC)晶片上一特定訊號路徑,其訊號值從1變0或從0變1之轉換延時的延遲時間問題。
一般之延遲錯誤測試方法包含一擷取開啟(launch-on-capture)方法及一位移開啟(launch-on-shift)方法,其方法亦包含將延遲錯誤測試之一掃描樣式移入至一掃描路徑的上傳步驟,及從該掃描路徑之一正反器擷取之一延遲錯誤測試結果移出的下載步驟。
對於此種掃描測試,需要與該掃描路徑上之正反器數目一樣多之計時脈衝,以供位移操作(shifting operation)。此將導致需要耗費可觀的時間,以執行移入及移出操作。然而,更不用說只要簡單增加用於位移該
掃描樣式至該掃描路徑上之計時訊號頻率(即位移頻率(shift frequency)),即可減少測試時間。
例如,簡單增加位移頻率可導致過度錯誤(over kill),其因耗電或臨界路徑(critical path)時間延遲之問題,將一良品判斷成不良品。
再者,因低耗電設計及深次微米(deep sub-micron,DSM)製程與低耗電製程,積體電路(IC)晶片變得更低耗電,該積體電路(IC)晶片操作頻率之電源雜訊影響將變得更加嚴厲。特別而言,因為積體電路(IC)晶片於掃描模式時比於操作模式時產生更多之切換動作,因切換動作所產生之電源雜訊會導致訊號線上的額外延遲,因而於延時測試時,會產生過度錯誤(over kill)。因此,簡單增加位移頻率有其技術上之限制。
更者,隨著深次微米(DSM)製程變成佔優勢,有關積體電路(IC)晶片訊號線間之訊號干擾之訊號整合問題比先前變得更加重要。因位於掃描模式時的可觀切換動作,訊號線間之訊號干擾可能變得更加嚴重。因此,於延時測試間,訊號線間之訊號干擾所產生之訊號線上額外延遲可能產生一延時測試過度錯誤(over kill)。
再者,當根據掃描樣式之耗電狀況搜尋位移頻率時,甚至當耗電沒有超過積體電路(IC)晶片規格時,因為掃描測試特徵及程序差異而使積體電路(IC)晶片之過度電路切換動作而產生壓降(IR-drop)或接地反彈(ground bounce),而可能產生掃描測試錯誤。
例如,當以掃描樣式執行一延時測試時,因一壓降,即電壓降,可能導致一特定訊號線上之額外延時,此將可能會導致一延時測試過度錯誤(over kill)。相反地,即使掃描樣式之耗電超過積體電路(IC)晶片規格,亦因為積體電路之製程及設計特性,壓降(IR-drop)或接地反彈(ground bounce)可能不會發生。因此,僅根據耗電值搜尋該積體電路之最佳位移頻
率,其會有技術上的限制。再者,當只根據掃描樣式之耗電值搜尋最大位移頻率時,即使耗電值沒有超過積體電路(IC)晶片規格,亦因為一增加的位移頻率可能導致掃描路徑上之臨界路徑時序問題。
此外,當增加位移頻率時,可能於掃描路徑上發生臨界路徑時序問題,但因為掃描樣式而沒有發生邏輯問題。也就是,根據掃描路徑上之臨界路徑的位元值,於一特定掃描位移週期可能發生一錯誤(false)臨界路徑。
例如,兩個連續邏輯-0位元被位移並儲存於組成一掃描路徑上之臨界路徑的兩個別正反器後,當以一高位移頻率執行一位移操作時,一臨界路徑時間延遲問題可能發生,其中位於臨界路徑開始處並儲存於正反器的邏輯-0位元訊號,可能在一個正常時間內無法到達下一個正反器。然而,於此情況下,可能發生儲存在兩正反器之位元值雖沒有發生邏輯問題,該兩正反器組成了位移操作之臨界路徑,但發生誤臨界路徑(false critical path)的情況。
此外,對於使用多重電壓島(multiple voltage islands)或多重電壓區域(multiple voltage domains or regions)技術之低電源積體電路(IC)晶片,每一個電壓區域都具有不同之容許耗電量,因為一高電壓會施與一需要高速效率的設計區域,及一相對低的電壓會施與其他設計區域。
本發明之一目的係提供一積體電路晶片測試裝置,方法及系統,其能減少測試時間,藉由最佳化測試資料之位移頻率,加強測試品質及良率。
根據本發明之一些實施例,一種積體電路(IC)晶片之測試裝置,該積體電路(IC)晶片包含一測試標的電路,一掃描輸入埠,及一掃描輸出埠,該測試裝置藉由經該掃描輸入埠輸入一掃描樣式至一掃描路徑,並與一預定期望值比較該掃描輸出埠輸出之輸出值,並執行用以檢查該積體電路是否存在缺陷的掃描測試,該掃描測試積體電路(IC)晶片之裝置包含一位移頻率搜尋單元用以搜尋一位移頻率,經由該位移頻率讓掃描測試結果顯示通測試過或測試失敗,其中藉由位移至該掃描路徑,在一標的掃描區段中,於包含在一掃描樣式組的兩個或多個掃描區段中,一可用的位移頻率被搜尋出來。當搜尋標的掃描區段之位移頻率時,藉由改變(增加或減少)該標的掃描區段之位移頻率俾以和從位移入該掃描路徑之其他標的掃描區段之至少一位移頻率不同,或藉由設定該標的掃描區段之位移頻率俾以和從位移入該掃描路徑之其他標的掃描區段之至少一位移頻率不同,該位移頻率搜尋單元用以搜尋一位移頻率,經由該位移頻率讓掃描測試結果顯示通測試過或測試失敗。
再者,根據本發明之一些實施例,一種積體電路(IC)晶片之測試裝置,該積體電路(IC)晶片包含一測試標的電路,一掃描輸入埠,及一掃描輸出埠,該測試裝置藉由經該掃描輸入埠輸入一掃描樣式至一掃描路徑,並與一預定期望值比較該掃描輸出埠輸出之輸出值,並執行用以檢查該積體電路是否存在缺陷的掃描測試,該裝置包含一位移頻率搜尋單元,用以執行一第一掃描測試及一第二掃描測試,其中將包含一第一掃描區段之第一掃描樣式移入該掃描路徑以執行該第一掃描測試;於第一掃描區段移入該掃描路徑後,將包含一第二掃描區段之第二掃描樣式移入該掃描路徑以執行該第二掃描測試,以搜尋第二掃描區段之一可用的位移頻率。於第一掃描測試時,該位移頻率搜尋單元用以將具有第一位移頻率之
第一掃描區段移入該掃描路徑;於第二掃描測試時,該位移頻率搜尋單元用以將具有與第一位移頻率不同之第二位移頻率之第二掃描區段移入該掃描路徑。於搜尋第二掃描區段之可用位移頻率時,當第一掃描測試及第二掃描測試皆顯示測試通過時,決定該第二位移頻率為第二掃描區段之可用位移頻率。
根據本發明之一些實施例,一種積體電路(IC)晶片之測試方法,該測試方法用於一積體電路(IC)晶片之測試裝置,該積體電路(IC)晶片包含一測試標的電路,一掃描輸入埠,及一掃描輸出埠,該測試裝置藉由經該掃描輸入埠輸入一掃描樣式至一掃描路徑,並與一預定期望值比較該掃描輸出埠輸出之輸出值,並執行用以檢查該積體電路是否存在缺陷的掃描測試,該測試方法包含一搜尋位移頻率的步驟,經由該位移頻率讓掃描測試結果顯示通測試過或測試失敗,其中藉由位移至該掃描路徑,在一標的掃描區段中,於包含在一掃描樣式組的兩個或多個掃描區段中,一可用的位移頻率被搜尋出來。當搜尋標的掃描區段之位移頻率時,藉由改變(增加或減少)該標的掃描區段之位移頻率俾以和從位移入該掃描路徑之其他標的掃描區段之至少一位移頻率不同,或藉由設定該標的掃描區段之位移頻率俾以和從位移入該掃描路徑之其他標的掃描區段之至少一位移頻率不同,該位移頻率搜尋單元用以搜尋一位移頻率,經由該位移頻率讓掃描測試結果顯示通測試過或測試失敗。
再者,根據本發明之一些實施例,一種積體電路(IC)晶片之測試方法,該測試方法用於一積體電路(IC)晶片之測試裝置,該積體電路(IC)晶片包含一測試標的電路,一掃描輸入埠,及一掃描輸出埠,該測試裝置藉由經該掃描輸入埠輸入一掃描樣式至一掃描路徑,並與一預定期望值比較該掃描輸出埠輸出之輸出值,並執行用以檢查該積體電路是否存
在缺陷的掃描測試,該測試方法包含搜尋一位移頻率的步驟,用以執行一第一掃描測試及一第二掃描測試,其中將包含一第一掃描區段之第一掃描樣式移入該掃描路徑以執行該第一掃描測試於第一掃描區段移入該掃描路徑後;將包含一第二掃描區段之第二掃描樣式移入該掃描路徑以執行該第二掃描測試,以搜尋第二掃描區段之一可用的位移頻率。該搜尋一位移頻率的步驟包括於第一掃描測試時,該搜尋將具有第一位移頻率之第一掃描區段移入該掃描路徑;於第二掃描測試時,該搜尋將具有與第一位移頻率不同之第二位移頻率之第二掃描區段移入該掃描路徑的步驟,以及於搜尋第二掃描區段之可用位移頻率時,當第一掃描測試及第二掃描測試皆顯示測試通過時,決定該第二位移頻率為第二掃描區段之可用位移頻率的步驟。
再者,根據本發明之一些實施例,一種積體電路(IC)晶片之測試系統包含一測試機主單元,用以控制一積體電路(IC)晶片之掃描測試;一電腦主機,內建於該測試機主單元或分離,該電腦主機包含一處理器;一測試頭,電連接至該測試機主單元,及用以輸入一測試資料至該積體電路(IC)晶片;及根據本發明實施例之至少一掃描測試裝置。
再者,根據本發明之一些實施例,一種電腦可讀記憶媒體,於其中儲存包含用以執行根據本發明至少一實施例之方法之程式。
再者,根據本發明之一些實施例,一種電腦可讀記憶媒體,於其中儲存一位移頻率資訊,藉由執行根據本發明至少一實施例之方法時,該位移頻率資訊被視為每一標的掃描區段之可用位移頻率。
再者,根據本發明之一些實施例,一種電腦可讀記憶媒體,於其中儲存包含標的掃描區段之測試資料,藉由執行根據本發明至少一實施例之方法時,該測試資料用以搜尋每一該標的掃描區段之可用位移頻率。
根據本發明之一些實施例,本發明提供減少測試時間之最佳化位移頻率,並解決過度錯誤(over kill)問題,其為當只考慮耗電量,或測試積體電路(IC)晶片時,每一掃描樣式,每一掃描區段,或每一掃描群組之臨界路徑延遲時間,增加之位移頻率時,將一正常晶片被判斷成瑕疵。
再者,根據本發明之一些實施例,藉由考慮測試時之電源雜訊或訊號干擾,本發明提供一最佳化位移頻率。
再者,根據本發明之一些實施例,藉由考慮測試時之過度的電路切換動作,製程變化,深次微米製程,低耗電製程或設計而產生之壓降(IR-drop)或接地反彈(ground bounce),本發明提供一最佳化位移頻率。
再者,根據本發明之一些實施例,藉由考慮測試時之掃描路徑上臨界路徑時序,而增加位移頻率,本發明提供一最佳化位移頻率。
再者,根據本發明之一些實施例,當掃描路徑上臨界路徑因掃描路上位元值變成一測試時之故障臨界路徑,藉由忽略臨界時序限制與增加位移頻率,而使積體電路晶片能正常運作之最大頻率範圍,本發明提供一最佳化位移頻率。
再者,根據本發明之一些實施例,測試積體電路晶片時,於掃描樣式組上之無關位元允許使用較高頻之位移頻率。該無關位元係指無影響測試結果之位元。
再者,根據本發明之一些實施例,在使用複數電壓島(multiple voltage islands)或複數電壓範圍或區域(multiple voltage domains or regions)技術之低電源積體電路(IC)晶片情況時,本發明提供一最佳化位移頻率以反應每一電壓島,或電壓範圍或區域之耗電量。
再者,根據本發明之一些實施例,在測試積體電路晶片時,於搜尋掃描樣式或掃描區段之最佳化位移頻率時,需要該積體電路晶片之
電路設計資訊,因此每一掃描樣式或掃描區段之位移頻率能僅由該掃描樣式組提供,而無須晶片之電路設計資訊。
再者,根據本發明之一些實施例,在測試積體電路晶片時,於搜尋最佳掃描樣式或掃描區段之位移頻率時,與搜尋所有掃描樣式或每一掃描區段之位移頻率之方法相比較時,允許處理時間被減少,其中在找出一預定固定位移頻率,如正常位移頻率,給所有掃描區段後,該掃描樣式或掃描區段具有等於或高於一預定值之電源耗量或電流耗量。
再者,根據本發明之一些實施例,可抑制增加測試時間,其可解決失敗洞問題,其發生於以一原本可讓積體電路晶片測試通過之頻率範圍測試,卻發生不正常測試失敗。此外,減少晶片錯誤涵蓋或場逃脫問題(field escape problem)可被抑制,其發生於解決失敗洞問題。
再者,根據本發明之一些實施例,於加速一晶片老化之加壓或老化測試中,本發明能減少測試時間及改善測試品質。此外,晶片之加壓或老化測試時間可被精確預估,及晶片之加壓或老化測試品質也可被精確預估。
再者,改善良率之資訊可被取得,或積體電路晶片測試良率可被改善。
100‧‧‧積體電路晶片
110‧‧‧組合電路
120‧‧‧正反器
130‧‧‧正反器
140‧‧‧正反器
150‧‧‧主輸入埠
152‧‧‧主輸出埠
160‧‧‧掃描致能埠
162‧‧‧掃描輸入埠
164‧‧‧一計時器輸入埠
166‧‧‧掃描輸出埠
200/300‧‧‧電腦主機
210/310‧‧‧測試主單元
220/320‧‧‧測試頭
230/330‧‧‧介面板
240/340‧‧‧待測裝置
250/360‧‧‧測試裝置
350‧‧‧探針
500‧‧‧測試資料
510/512/514/516/518‧‧‧掃描區段
600/610/620/630/640/650‧‧‧掃描區段
700‧‧‧測試資料
702/704/706/708/710‧‧‧掃描區段
810//820‧‧‧掃描區段
812/814‧‧‧次掃描區段
900/910‧‧‧測試資料
1200/1202/1204/1206‧‧‧輸入樣式
1210‧‧‧掃描路徑
1220‧‧‧測試結果樣式
1230‧‧‧預期樣式
1810/1820/1830‧‧‧搜尋資料
2010/2020/2030‧‧‧搜尋資料
2300/2400/2500/2600/2700‧‧‧搜尋資料
2310/2410/2420/2430/2510/2610/2710‧‧‧掃描區段
3400‧‧‧條件設定單元
3402‧‧‧頻率增減單元
3404‧‧‧電壓增減單元
3405‧‧‧樣式分割單元
3406‧‧‧溫度增減單元
3410‧‧‧樣式輸入單元
3420‧‧‧樣式比較單元
3430‧‧‧頻率搜尋單元
3700/3800‧‧‧主電腦
3710/3810‧‧‧測試主單元
3720/3820‧‧‧測試頭
3730/3830‧‧‧介面板
3740/3840‧‧‧被測裝置
3760/3870‧‧‧溫度控制單元
3750/3860‧‧‧腔室
4500‧‧‧腔室控制單元
4510‧‧‧位移單元
4520‧‧‧位移頻率搜尋單元
5000‧‧‧失敗洞
圖1是一應用掃描設計方法之積體電路晶片之方塊圖。
圖2及3是根據本發明之一些實施例晶片測試系統之示意圖。
圖4是根據本發明之一些實施例之掃描樣式之示意圖。
圖5-9是根據本發明之一些實施例之顯示測試資料分割方法之示意圖。
圖10是根據本發明之一些實施例之顯示掃描區段數目與掃描測試時間減少率間關係之示圖。
圖11是根據本發明之一些實施例,顯示於每一測試區段分配位移頻率以最小化晶片測試時間之示意圖。
圖12是根據本發明之一些實施例,顯示搜尋一位移頻率方法,以最小化晶片測試時間之示意圖。
圖13-15是根據本發明之一些實施例之用以決定一位移頻率之輸入至一掃描路徑之輸出樣示之示意圖。
圖16是根據本發明之一些實施例之顯示位一掃描樣式搜尋一可用位移頻率方法之示圖。
圖17是根據本發明之一些實施例之示圖,其顯示其他測試樣式之測試結果失敗時,增加或減少一測試樣式之位移頻率,以搜尋最佳位移頻率。
圖18-20是根據本發明之一些實施例之示圖,其顯示需要用以搜尋一最佳位移頻率之掃描樣式,掃描區段及位移頻率資訊之組成。
圖21-28是根據本發明至少之一些實施例之示圖,其顯示產生搜尋資訊之各種方法。
圖29是根據本發明之一些實施例,其顯示最小化晶片測試時間之處理流程圖。
圖30是根據本發明之一些實施例,其顯示決定每一掃描區段之最佳位移頻率,以最小化晶片測試時間之處理流程圖。
圖31是根據本發明之一些實施例,其顯示最小化晶片測試時間之詳細處理流程圖。
圖32是根據本發明之一些實施例,其顯示最小化晶片測試時間時決定測試通過或失敗之詳細處理流程圖。
圖33是根據本發明之一些實施例,其顯示最小化晶片測試時間之另一處理流程圖。
圖34是根據本發明之一些實施例,其顯示最小化晶片測試時間之一裝置方塊圖。
圖35是根據本發明之一些實施例之示圖,其顯示為複數個並聯掃描區段搜尋或決定最佳位移頻率之方法。
圖36是根據本發明之一些實施例,其顯示紀錄掃描樣式以最小化晶片測試時間之示意圖。
圖37及38是根據本發明之一些實施例之老化測試系統之示意圖。
圖39是根據本發明之一些實施例,其顯示使用一固定位移頻率之老化測試時,溫度對該積體電路(IC)晶片之影響示意圖。
圖40是根據本發明之一些實施例,其顯示使用一最佳化位移頻率之老化測試時,溫度對該積體電路(IC)晶片之影響示意圖。
圖41是根據本發明之一些實施例,其顯示在每一掃描區段未使用一最佳化位移頻率,及使用一最佳化位移頻率時,該積體電路(IC)晶片產生熱之熱影像。
圖42是根據本發明之一些實施例,其顯示於測試資料之耗電量被調整前,老化測試時產生之耗電量示圖。
圖43是根據本發明之一些實施例,其顯示於測試資料之耗電量被調整後,老化測試時產生之耗電量示圖。
圖44是根據本發明之一些實施例,其顯示為最小化老化測試時間之搜尋最佳位移頻率之處理流程圖。
圖45是根據本發明之一些實施例,其顯示為最小化老化測試時間之裝置方塊圖。
圖46為一實驗結果表格,顯示每一掃描區段以電源限制之方法決定一位移頻率,及以增加/減少位移頻率方法以最佳化位移頻率。
圖47顯示於積體電路晶片測試時,可能產生之測試失敗洞。
圖48是根據本發明之一些實施例,其顯示解決該測試失敗洞問題方法之示圖。
圖49是根據本發明之一些實施例,其顯示解決該測試失敗洞問題方法之處理流程圖。
圖50是根據本發明之一些實施例,其顯示解決該測試失敗洞問題方法之另一處理示圖。
圖51是根據本發明之一些實施例,其顯搜尋一位移頻率以減少測試時間及改善良率之方法示圖。
本發明之一些例示實施例將配合所附圖示加以詳細說明。
圖1是一應用施與掃描設計方法之積體電路晶片方塊圖。
如圖1所示,一積體電路晶片100包含一組合電路110及一循序邏輯電路。循序邏輯電路包含複數個正反器(F/Fs)120、130及140。每一個正反器(F/Fs)120、130及140可被實施為包含一多工器(MUX)形式之掃描正反器不同架構。
該積體電路晶片100包含一主輸入(PI)埠150,一主輸出(PO)埠152,一掃描致能(SE)埠160,一掃描輸入(SI)埠162,一計時器輸入(CI)埠164,及一掃描輸出(SO)埠166。掃描致能埠160與計時器輸入埠164連接至正反器120、130及140。每一正反器120、130及140連接至該組合電路110,使每一正反器之儲存值可輸出至該組合電路,並該組合電路之一輸出值可被輸入至每一正反器。
每一主輸入埠150及主輸出埠152於該積體電路晶片正常運作時,可用於輸入及輸出資料。
掃描致能埠160係用以輸入一掃描致能訊號或一掃描失能訊號。該掃描致能訊號及掃描失能訊用以將該積體電路晶片在可執行正常功能之正常模式(工作模式)及測試該積體電路晶片之掃描模式間切換。
掃描輸入埠162係用以輸入一掃描樣式以測試該積體電路晶片100。掃描輸出埠166用以輸出執行該掃描樣式之掃描測試結果。從掃描輸出埠輸出之位元樣式被稱為一「輸出掃描樣式」,「輸出樣式」或「掃描測試結果樣式」。
計時器輸入埠164用以輸入一計時器訊號以觸發一經由掃描輸入埠162輸入之掃描樣式位移至正反器120、130及140並承載,或擷取組合電路110之一輸出以儲存至該正反器120、130及140。例如,正反器120、130及140,係由一經由該計時器輸入埠164輸入之計時器訊號的上升或下降端緣所觸發。
一從該掃描輸入埠162經由正反器120、130及140連接至掃描輸出埠166之路徑(虛線標示)稱為一「掃描鍊」或「掃描路徑」。雖然圖1僅顯示單一掃描路徑,但複數條掃描路徑是可以適當地被使用。
於功能模式時,組合電路110執行經由主輸入埠150接收資料及主輸出埠152輸出結果之運作。此外,於功能模式時,每一正反器120、130及140根據計時器訊號接收從組合電路110之一輸出值,此動作稱為掃描測試之「掃描擷取操作」。
於掃描模式時,根據計時器訊號,掃描樣式位元依序被移入正反器120、130及140,及經由掃描輸出埠166依序移出。掃描樣式移入該正反器120、130及140之運作稱為「載入(load)」,而正反器120、130及140儲存值可從該該掃描輸出埠166移出之運作稱為「載出(unload)」。
例如,當在積體電路晶片之掃描路徑上之正反器120、130及140數目為三時,每一掃描樣式之長度為三位元,其與正反器數目相同。根據計時器訊號,三位元之掃描樣式依序被移入位在掃描路徑之正反器120、130及140。
也就是,如果一值是在計時器訊號上升端緣時儲存於正反器,掃描樣式之第一位元是在第一計時器訊號上升端緣時儲存於第一正反器140,在第二計時器訊號上升端緣時第一正反器140之輸出值儲存於第二正反器130,而掃描樣式之第二位元儲存於第一正反器140。在第三計時器訊號上升端緣時第二正反器130之輸出值儲存於第三正反器120,第一正反器140之輸出值儲存於第二正反器130,掃描樣式之第三位元儲存於第一正反器140。因此,一掃描樣式經由三個計時器訊號載入掃描路徑上之正反器120、130及140。同樣方式,掃描路徑上之正反器120、130及140之值經由三個計時器訊號由掃描輸出埠166載出。
根據本發明之一些實施例之掃描測試程序詳細如下步驟:
步驟1:將主要輸入測試資料送至一積體電路晶片100之主要輸入埠150。
步驟2:將一掃描致能訊號輸入至一掃描致能埠160,使積體電路晶片100設定為一掃描模式。
步驟3:將一掃描樣式位移入一掃描輸入埠162,使該掃描樣式載入一掃描路徑之正反器120,130,140。載入一掃描路徑之掃描樣式送至組合電路110。響應該送至組合電路110之掃描樣式的從主要輸出埠152輸出之一輸出結果與一預期主要輸出值相比較。當比較結果顯示不相符合時,積體電路晶片被認定為是不良。
步驟4:將一掃描失能訊號輸入至該掃描致能埠160,使積體電路晶片100轉換為一功能模式。於該功能模式中,當一計時器訊號輸入該計時器輸入埠164時,正反器120、130及140擷取組合電路110之一輸出值。此操作被稱為「掃描擷取(scan capture)」,及該掃描擷取所執行的模式被稱為「掃描擷取模式(scan capture mode)」。
步驟5:將一掃描致能訊號輸入至一掃描致能埠160,使積體電路晶片100從功能模式切換為掃描模式。
步驟6:將掃描路徑上之正反器120、130及140上擷取之值經由掃描輸出入埠166移出並載出。
步驟7:將載出的輸出樣式與一預定期望輸出樣式比較,以判斷積體電路晶片100是否正常運作。於測試前,該期望樣式是一已知值,或是一期望輸出樣式,其係當積體電路晶片100正常運作時,施予主要輸入測試資料及掃描樣式,和執行掃描操作後由掃描輸出入埠166輸出的輸出樣式。當步驟3之比較結果顯示相合及當步驟7之比較結果顯示相合時,則該測試結果為通過(PASS),其意味該積體電路晶片為良品。相反的,該測試結果為失敗(FAIL),其意味該積體電路晶片為不
良。測試結果通過(PASS)意味該積體電路(IC)晶片是無瑕疵,測試結果失敗(FAIL)意味該積體電路(IC)晶片是有瑕疵。
圖2及3是分別是應用本發明且稱之為ATE(Automatic Test Equipment)之積體電路晶片測設系統之一例的構成方塊圖。
如圖2及3所示,晶片測試系統包含一電腦主機200/300,一測試主單元210/310,一測試頭220/320,及一介面板230/330。一置放在介面板230/330上供測試之待測裝置(DUT,Device Under Test)240/340是一晶圓上之積體電路或一封裝積體電路等。當待測裝置(DUT)240/340是一晶圓上之積體電路,該晶片測試系統另包含一探針350。此後,晶片,晶圓上之積體電路晶片及封裝積體電路皆統稱為「積體電路晶片」或「晶片」。
測試主單元210/310執行掃描測試之整體控制。例如,測試主單元210/310控制用於待測裝置(DUT)測試設定,產生一電子訊號供待測裝置(DUT)測試,及觀察和量測待測裝置(DUT)測試結果訊號等整體程序。測試主單元210/310可由一電腦構成,該電腦具有一中央處理器,一記憶體,一硬碟,一使用者介面,及相似物。於一些實施例中,測試主單元210/310另包含一裝置電源供給裝置以供電給待測裝置(DUT)240/340。
測試主單元210/310另控制一數位訊號處理(DSP,Digital Signa1 Processor,未圖示)以處理各種數位訊號及該測試頭220/320。測試主單元210/310包含專用硬體,如一控制器,一訊號產生器供給待測裝置(DUT)240/340訊號,軟體,韌體,及相似物。測試主單元210/310亦稱為「主架構」或「伺服器」。
電腦主機200/300是一電腦,如一個人電腦,一工作站,或相似物,其可允許使用者執行一測試程式,控制測試流程,及分析測試結
果。一般而言,電腦主機200/300具有一中央處理器,一儲存單元,如記憶體及一硬碟,一使用者介面,及相似物。電腦主機200/300可經由有線或無線連接至該測試主單元210/310。電腦主機200/300可包含專用硬體,軟體,韌體,及相似物,以控制測試。雖然本實施例中,電腦主機與測試主單元係分別顯示於圖示中,但電腦主機200/300與測試主單元210/310可整合為一裝置。
測試主單元210/310或電腦主機200/300之記憶體包含動態隨機存取記憶體(DRAM),靜態隨機存取記憶體(SRAM),快閃記憶體,及相似物。記憶體可儲存程式與資料,以執行待測裝置(DUT)測試。
測試主單元210/310或電腦主機200/300之軟體或韌體包含裝置驅動軟體,一系統作業(OS)軟體,及一程式以執行待測裝置(DUT)測試,以執行掃描測試。這些程式以指令碼形式儲存於記憶體中,用以執行設定待測裝置(DUT)測試,產生待測裝置(DUT)測試之訊號,觀察和量測待測裝置(DUT)測試結果訊號等,可被中央處理器執行。因此,掃描樣式可由此些程式送至待測裝置(DUT)測試。再者,報告及分析待測裝置(DUT)測試,及測試結果可自動從這些程式取得。這些程式使用之語言包含C,C++,java等各種語言,及相似物。這些程式儲存於儲存裝置,如硬碟,磁帶,快閃記憶體,及相似物。
測試主單元210/310或電腦主機200/300之中央處理器係一處理器,其執行儲存於記憶體之軟體或程式的指令碼。例如,當一使用者指令經由如鍵盤,滑鼠或相似物之使用者介面輸入時,該中央處理器分析使用者指令,及於執行軟體或程式的使用者指令操作後,該中
央處理器經由一使用者介面,如喇叭,印表機,監視器或相似物,提供一操作結果給該使用者向使用者提供。
測試主單元210/310或電腦主機200/300之使用者介面允許一使用者及一裝置互相傳送及接收資訊。例如,使用者介面包含一如鍵盤,觸控螢幕,滑鼠或相似物之輸入介面單元,及喇叭,印表機,監視器或相似物之輸出介面單元。
測試頭220/320包含一通道或相似物,用以於測試主單元210/310與待測裝置(DUT)240/340間傳送電子訊號。介面板230/330置放於測試頭220/320上方。通常,用於測試封裝積體電路晶片之介面板被稱為「載板(load bord)」,用於測試晶圓上之積體電路晶片之介面板被稱為「探針卡(probe card)」。
於本發明之一些實施例中,電腦主機200/300包含一積體電路晶片測試裝置250/360以最小化掃描測試時間。
積體電路晶片測試裝置250/360包含一位移搜尋單元251/361用以搜尋一位移頻率,經由該位移頻率讓掃描測試結果顯示通測試過或測試失敗,其中藉由位移至該掃描路徑,在一標的掃描區段中,於包含在一掃描樣式組的兩個或多個掃描區段中,一可用的位移頻率被搜尋出來。
當搜尋標的掃描區段之位移頻率時,藉由改變(增加或減少)該標的掃描區段之位移頻率俾以和從位移入該掃描路徑之其他標的掃描區段之至少一位移頻率不同,或藉由設定該標的掃描區段之位移頻率俾以和從位移入該掃描路徑之其他標的掃描區段之至少一位移頻率不同,該位移
頻率搜尋單元251/361用以搜尋一位移頻率,經由該位移頻率讓掃描測試結果顯示通過測試或測試失敗。
當搜尋標的掃描區段之可用位移頻率時,藉由增加或者減少該標的掃描區段之位移頻率,位移頻率搜尋單元251/361於一頻率區域搜尋一位移頻率,使測試結果由通過變成失敗,或於一頻率區域搜尋一位移頻率,使測試結果由失敗變成通過。
當搜尋標的掃描區段之可用位移頻率時,當標的掃描區段之第一位移頻率獲得之第一掃描測試結果,及使用標的掃描區段之與第一位移頻率不同之第二位移頻率而獲得之第二掃描測試結果皆顯示測試通過時,位移頻率搜尋單元251/361決定第一位移頻率為標的掃描區段之可用位移頻率。
於本發明之一些實施例中,位移頻率搜尋單元251/361執行將一第一掃描區段之第一掃描樣式移入該掃描路徑以執行第一掃描測試;及於第一掃描區段移入該掃描路徑後,將包含一第二掃描區段之第二掃描樣式移入該掃描路徑以執行第二掃描測試。
該位移頻率搜尋單元251/361於第一掃描測試時,將第一掃描區段利用第一位移頻率移入該掃描路徑;於第二掃描測試時,將第二掃描區段利用與第一位移頻率不同之第二位移頻率移入該掃描路徑。於當搜尋第二掃描區段之可用位移頻率時,當第一掃描測試及第二掃描測試皆顯示測試通過時,決定該第二位移頻率為第二掃描區段之可用位移頻率。
於本發明之一些實施例中,第一掃描區段包含整個或部分的第一掃描樣式,第二掃描區段包含整個或部分的第二掃描樣式。
當搜尋第二掃描區段之可用位移頻率時,位移頻率搜尋單元251/361增加或減少第二位移頻率,俾以和從位移入該掃描路徑之其他標的掃描區段之至少一位移頻率不同,或將該第二位移頻率設成和從位移入該掃描路徑之其他標的掃描區段之至少一位移頻率不同。
雖然圖2及3之積體電路晶片測試裝置250/360是包含在電腦主機200/300中,但此構型僅為例示用。該積體電路晶片測試裝置250/360亦可實施為一具有處理器之個別電腦,和該電腦主機200/300或測試主單元210/310相連接以執行上述功能。
圖2及3之測試系統及裝置僅為例示用,以加強對本發明之了解。該系統可藉由適當整合一些元件或分割一元件為許多元件等實施例,被設計或修改成各種不同形式。
掃描樣式係一位元樣式,輸入至該掃描路徑以執行該掃描測試,或一位元樣式,從該掃描路徑輸出。
掃描樣式之位元長度係需要執行一單一掃描測試操作之位元樣式長度。例如,掃描樣式之位元長度可與執行掃描擷取動作之前之位移入掃描路徑之位元樣式之位元長度相同。另一例子,掃描樣式之位元長度可等於如掃描路徑上之正反器等的位元儲存元件(storage element)之數目。掃描樣式之位元長度並不限於上述例示,可依據掃描測試電路設計成各種形式。
本發明之實施例可運用於將一位元樣式位移入一掃描路徑,及位移出該掃描路徑之各種形態晶片,及如圖1所示之晶片。
例如,本發明之實施例可運用於各種形態晶片,其具有可執行將一掃描樣式位移入一掃描路徑,一掃描擷取操作,及從該掃描路徑位移出該擷取的位元樣式之電路。
圖4是根據本發明之一些實施例之可用於晶片測試之掃描樣式之示意圖。
如圖4所示,當移入操作與移出操作是分別於掃描模式執行時,移入操作與移出操作可同時執行以減少所需時間。也就是,載入操作與載出操作是同時執行。
例如,當一輸入樣式K 430經由掃描輸入埠位移載入掃描路徑時,一輸入樣式K-1 400之測試結果由掃描輸出埠位移同時載出。此時,載出之輸出樣式與輸入樣式K-1 400之一預期樣式K-1 440比較。通常,對輸入樣式K-1 400之預期樣式K-1 440與輸入樣式K 430可於一測試資料或檔案中配對管理。
於本發明之一些實施例中,為藉由重疊移入操作與移出操作以執行掃描測試,經由掃描輸入埠位移載入掃描路徑之輸入樣式K 430與輸入樣式K-1 400之預期樣式K-1 440配對管理。於此方式,掃描樣式可具有一預定順序。於一些實施例中,掃描樣式以不同方式重新配置。
於本發明之一些實施例中,當第一掃描樣式位移入掃描路徑時,同時位移出之輸出樣式是一無關係(don’t care)樣式,或是重設測試標的晶片取得之掃描路徑狀態值。
為最小化掃描測試時間之另一方法,可減少掃描測試之掃描樣式總數目,或增加掃描位移速度。
增加掃描位移速度是增加移入與移出掃描樣式之位移頻率,或減少位移頻率之週期(計時器週期)。減少掃描位移速度是減少移入與移出掃描樣式之位移頻率,或增加位移頻率之週期。再者,最佳化掃描位移速度是最佳化位移頻率,或最佳化位移頻率之週期。
增加或減少位移頻率實質和減少或增加位移頻率之週期相同,因此,於此後為方便說明,最小化掃描測試時間之方法將從增加或減少位移頻率之觀點加以說明,因此,如無明確描述,增加或減少位移頻率可被解釋為減少或增加位移頻率之週期,相反亦相同。位移頻率之週期可簡稱為「週期」,或輸入計時器之「計時器週期」。
圖5-9是根據本發明之一些實施例之顯示測試資料分割方法之示意圖,其將測試資料分割成一個或多個掃描區段,以最小化掃描測試時間。
如圖5所示,經由分割位移入測試積體電路晶片掃描路徑之測試資料500的位元樣式成複數個掃描區段510,512,514,516,518,搜尋每一掃描區段510,512,514,516,518之最佳位移頻率,及將所搜尋之最佳位移頻率用於掃描測試,可節省掃描測試時間。
於本發明之一些實施例中,圖6揭示分割測試資料500的位元樣式成複數個掃描樣式。
如圖6所示,複數個掃描樣式可用於測試積體電路晶片。一掃描區段可包含一個或多個掃描樣式,或一掃描樣式之一部分。藉由搜尋
之每一掃描區段之最佳位移頻率,並用於該掃描測試,可進一步節省掃描測試時間。
於一些實施例中,一掃描區段600包含一單一掃描樣式,其具有和該掃描樣式一對一之對應。也就是,該掃描樣式和該掃描區段相同。
於一些實施例中,一掃描區段610包含二個掃描樣式。一掃描區段之掃描樣式的數目可視情況而改變。
於一些實施例中,一掃描區段620包含第一掃描樣式之部分,及第二掃描樣式之部分。
於一些實施例中,一掃描區段630包含一掃描樣式之部分。
於一些實施例中,一掃描樣式分成二個掃描區段640及650。包含在一掃描樣式之掃描區段數目可視情況而改變。
測試資料可依據對應上述掃描區段610,620,630,640及650中架構任何之一方法分割成複數個掃描區段,或其任何組合。例如,如圖6所示之包含N個掃描樣式之測試資料可被分割成具有一掃描樣式之第一掃描區段600,具有二個掃描樣式之第二掃描區段610,及具有部分掃描樣式之第三及四掃描區段640及650。
如圖7所示,測試資料700之位元樣式根據相同位元值以連續方式重複之一區段,可分為掃描區段702,704,706,708及710。以連續方式位移相同位元值移入掃描路徑,減少在掃描路徑之位元值之
切換動作,因而減少耗電,及因此一高位移頻率可被分配給一具有相同值之連續位元的掃描區段。
例如,依據在測試資料700之位元樣式的位元值從1變0或從0變1之邊界,測試資料700可分為掃描區段702,704,706,708及710。再者,於一位元樣式之一區段710中位元值0或1是重複時,M(M是整數)個位元可成一群將該位元樣式分為掃描區段720及722。
另一例子,當測試資料的位元樣式中具有相同且連續的位元值的區段長度短於一預定長度時,該區段不分割為掃描區段,而是至少兩掃描區段702及704可組合構成一單一掃描區段703。
如圖8所示,一掃描區段810可分為複數個次掃描區段812及814。例如,當掃描區段810具有於搜尋給掃描區段810及820最佳位移頻率中之相對低的最佳位移頻率時,該掃描區段810可分為複數個次掃描區段812及814,且再一次搜尋最佳位移頻率給每一個次掃描區段812及814。
如圖9所示,分割測試資料之掃描區段之數目,可根據考慮搜尋給測試資料900及910之每一掃描區段之最佳位移頻率的預估時間決定。如掃描區段之數目增加,搜尋給所有掃描區段之最佳位移頻率的預估時間也會增加。該預估時間可根據有關掃描區段數目和預估時間之預定公式計算。
如圖9所示之例子,當有最多時間A可用於搜尋最佳位移頻率的時間限制時,決定掃描區段N以分割測試資料900,使得預估時間不會超過時間A。當有最多時間B(A>B)可用於搜尋最佳位移頻率的時間
限制時,決定M(N>M)個掃描區段以分割測試資料910,使得預估時間不會超過時間B。
當分割測試資料900之掃描區段數目被確定為N時,分割測試資料900為N個掃描區段。於此,可使用不同方法,包含一方法其分割測試資料900為具有相同位元長度之N個掃描區段,及一方法其根據相同位元值以連續方式重複之一區段,如圖9所示,分割測試資料900為數個掃描區段直到掃描區段數目為N。
下列資訊可用於計算預估時間:- 搜尋最佳位移頻率之起始頻率;- 搜尋最佳位移頻率之結束頻率;- 搜尋最佳位移頻率之頻率增加量或減少單位;- 搜尋最佳位移頻率之頻率增加或減少的方法(以連續方式,二元搜尋方式,或相似方式,增加或減少頻率);- 測試資料之掃描樣式數目(SPN);- 掃描樣式之位元長度(SBL);- 分割測試資料為掃描區段之方法或基準(預定位元長度之單位,預定數目,位元值改變之邊界等);- 掃描區段數目(SSN);- 執行搜尋最佳位移頻率方法之裝置效率(如,處理器速度效率(CPU速度等),記憶體或硬碟等之容量及速度等);- 基於考慮執行搜尋最佳位移頻率方法之裝置之資料輸入/輸出時間等之其他餘量時間
於本發明之一些實施例中,當該頻率是最佳位移頻率時,則預估時間可依據[公式1]計算。
[公式1]預估時間( T )=SSN*SPN*SBL*SFP*FN
於公式1中,SSN是掃描區段數目,SPN是掃描樣式數目,SBL是-掃描樣式之位元長度,SFP是位移頻率週期,FN是增加位移頻率之次數,以搜尋每一掃描區段之最佳位移頻率。
當預估時間給定時,掃描區段數目可使用公式1決定。
圖10是根據本發明之一些實施例之顯示掃描區段數目與掃描測試時間減少率關係之示圖。
如圖10所示,測試資料之掃描區段數目可使用掃描區段數目及掃描測試時間減少率關係的資訊決定。隨著具有最佳位移頻率之掃描區段數目增加,使用該測試資料之掃描測試時間減少率可能增加。
於圖10之示圖中,垂直軸代表一掃描測試時間減少率,其為當使用每一掃描區段之最佳位移頻率的掃描測試時間相對於整個資料使用一常數位移頻率的掃描測試時間之減少率。水平軸代表具有最佳位移頻率之掃描區段數目。
隨著分割測試資料之掃描區段數目增加,掃描區段之平均位元長度減少。隨著掃描區段之平均位元長度減少,最佳位移頻率增加,進而減少掃描測試時間。
上述分割測試資料之掃描區段之方法僅為例示說明,以加強對本發明的了解,因此本發明並不限於圖5至10所示之方法。
圖11是根據本發明之一些實施例,顯示於每一測試區段分配位移頻率以最小化晶片測試時間之示意圖。
如圖11所示,每一位移頻率分配至每一掃描區段。習用掃描測試使用一常數位移頻率,所有測試資料之掃描樣式經由此常數位移頻率移入一積體電路晶片之掃描路徑,此常數位移頻率被稱為一「名義位移頻率」。
通常,名義位移頻率是當ATPG軟體產生一掃描樣式之位移頻率,或是從其調整之一位移頻率。名義位移頻率是常數位移頻率,其允許測試積體電路晶片之所有掃描樣式被正常位移入積體電路晶片之掃描路徑,其是一相對低的頻率(例如約5Mhz)。
因此,當此名義位移頻率用於構成測試資料之數千個掃描樣式中使用時,其需要一可觀時間以執行掃描測試,特別對大量生產之積體電路晶片進行測試時,成本與上市時間受到極大影響。例如,當需花2秒測試一積體電路晶片,則依序測試一千萬個積體電路晶片則需約5,556小時,即約231天。既使使用昂貴的測試設備同時測試複數個晶片,還是需要可觀的測試時間。典型地,一積體電路晶片測試服務公司是根據所使用的測試裝置數目及測試時間開帳單,因此,晶片測試時間是決定晶片成本之一重要因素。
當增加此名義位移頻率以節省設時間,則移入或移出掃描樣式時所產生之耗電量可能超出該積體電路晶片耗電量之容許值,從而無法執行正常掃描測試。再者,一過大位移頻率可能造成過度錯誤(over kill),使一正常晶片被認定為暇疵,因為臨界路徑延遲時間問題,電源雜訊影響
加重,訊號線間干擾影響加重等問題。此可影響大量生產之積體電路晶片之良率及成本。
為解決此問題,於本發明之一些實施例中,將掃描區段正常移入一掃描路徑之最佳位移頻率分配至每一掃描區段,而不是將一如名義位移頻率之常數位移頻率給整個掃描樣式。搜尋最佳位移頻率給每一掃描區段之方法將參考圖12及後續圖示詳細說明如下。最佳位移頻率是可使用於對應掃描區段之最大位移頻率或小於最大位移頻率之位移頻率。
如圖11所示之例子,一位移頻率A分配至一掃描區段1,及一位移頻率B分配至一掃描區段2。另外,與掃描區段1相同之該位移頻率A分配至一掃描區段3。以此方式,每一掃描區段可被分配和其他掃描區段相同或不同之位移頻率。
例如,當一單一掃描樣式分成複數個掃描區段時,複數個位移頻率可被分配至該單一掃描樣式。參考圖6,包含在單一掃描樣式之掃描區段640及650被分配予相互不同之位移頻率。也就是,於此例子中,兩個位移頻率被分配予一單一掃描樣式。
於一些實施例中,個別分配予位移頻率之一些掃描區段被分組在一起。例如,第二及第三掃描區段被分組成一區段組,及一等於或小於位移頻率A及B之較小者之位移頻率被分配予此對應區段組。
在掃描測試程序中,於施予一主要測試資料至該主输入埠及輸入一測試樣式至掃描路徑後,主輸出埠之測試果觀察可應用或不應有於下列實施例之晶片測試程序中。
圖12是根據本發明之一些實施例之顯示搜尋一位移頻率方法,以最小化晶片測試時間之示意圖。
首先,輸入樣式,掃描區段,掃描樣式及輸出樣式間之關係說明如後。
輸入樣式1202,1204及1206是輸入至一掃描路徑1210之位元樣式。在圖12,以決定一位移頻率之一目前標的掃描區段K對應至輸入樣式K 1204。一輸入樣式K 1204包含用以搜尋或決定該最佳位移頻率的掃描區段K(此後成為標的掃描區段K),在輸入樣式K 1204前或後之一位元樣式可被稱為一輔助掃描區段,或標的掃描區段之輔助掃描樣式。
如圖14所示,當標的掃描區段K 1204與一掃描樣式M有一對一相對應時,輸入樣式K-1 1202,輸入樣式K 1204及輸入樣式K+1 1206可和掃描樣式M-1,掃描樣式M及掃描樣式M+1分別一對一相對應。
當標的掃描區段K 1204與一掃描樣式M有一對一相對應時,標的掃描區段K 1204之掃描路徑1210的輸出樣式對應掃描樣式M之掃描路徑1210的輸出樣式K 1224。輸出樣式K 1224是標的掃描區段K 1204之掃描擷取結果樣式,或是從掃描路徑輸出掃描樣式M取得之一樣式。
當標的掃描區段K 1204與一掃描樣式M有一對一相對應時,輸入樣式K-1 1202之掃描路徑的輸出樣式對應掃描樣式M-1之掃描路
徑的一輸出樣式K-1 1222。輸出樣式K-1 1222是掃描樣式M-1之掃描擷取結果樣式,或是從該掃描路徑輸出掃描樣式M-1取得之一樣式。
當標的掃描區段K 1204與一掃描樣式M有一對一相對應時,輸入樣式K+1 1206之掃描路徑的輸出樣式對應掃描樣式M+1之掃描路徑的一輸出樣式K+1。輸出樣式K+1是掃描樣式M+1之掃描擷取結果樣式,或從該掃描路徑輸出掃描樣式M+1取得之一樣式。
例如,如圖14所示,當標的掃描區段K 1204是掃描樣式M之一部分時,輸入樣式K-1 1202可包含掃描樣式M-1及掃描區段K 1204以外之掃描樣式M之一部分。同樣地,該輸入樣式K+1 1206可包含該掃描樣式M+1及掃描區段K 1204以外之掃描樣式M之一部分。
如圖14所示,當標的掃描區段K 1204是掃描樣式M之一部分時,標的掃描區段K 1204掃描路徑之輸出樣式K 1224是標的掃描區段K 1204之一掃描擷取結果樣式,或包含掃描區段K之掃描樣式M的一掃描擷取結果樣式。另一方式,輸出樣式K 1224是從該掃描路徑上輸出的掃描區段K 1204所取得的一樣式,或從該掃描路徑上包含該掃描區段K 1204之掃描樣式M輸出所取得的一樣式。
如圖14所示,當標的掃描區段K 1204是掃描樣式M之一部分時,輸入樣式K-1 1202掃描路徑之輸出樣式K-1 1222是掃描樣式M-1
之輸出樣式,或是掃描樣式M-1及掃描樣式M之一部分之輸出樣式。輸入樣式K+1 1206掃描路徑之輸出樣式K+1是掃描樣式M+1之輸出樣式,或是掃描樣式M+1及掃描樣式M之一部分之輸出樣式。另一例子,包含在輸入樣式K-1 1202或輸入樣式K+1 1206之掃描樣式M之部分的掃描路徑之輸出樣式可被反射在包含標的掃描區段K 1204之掃描樣式M路徑之輸出樣式。另一例子,輸入樣式K-1 1202或輸入樣式K+1 1206之輸出樣式是從掃描路徑輸出之輸入樣式K-1 1202或K+0 1206取得之一樣式。
例如,如圖15所示,標的掃描區段K 1204可延伸過複數個掃描樣式。在此情況,輸入樣式K-1 1202可包含除標的掃描區段K 1204之外的掃描樣式M-1之一部分,輸入樣式K+1 1206可包含除標的掃描區段K 1204之外的掃描樣式M+1之一部分。在此情況,分別找出最佳位移頻率給延伸過複數個掃描樣式之標的掃描區段K 1204的每一部分,以決定可分配給標的掃描區段K 1204的最佳位移頻率。
上述描述僅是一些例子加強對本發明的了解,因此本發明並不限於上述描述之例子。再者,掃描樣式可分成如圖5至10所示之各種不同型態的掃描區段,且輸入樣式K,K-1及K+1的形式可依據掃描區段分割的形式變化。也就是,輸入樣式K 1202或輸入樣式K+1 1206可包含至少一掃描區段。
圖12是當移入操作與移出操作是以如圖4所示之重疊方式執行,以最小化晶片測試時間之一例示方法。圖12所示之例子是用以舉例說明本發明,因此本發明並不限於如圖4所示之同時執行移入操作與移出操作之情況。
於掃描測試一積體電路晶片時,決定測試是否正常與否係比較一輸入樣式1200之測試結果樣式1220與一預期樣式1230。也就是,決定測試是否常與否係將一輸入樣式1200載入掃描路徑1210後,載出由擷取操作取得之測試結果樣式1220,或載出無擷取操作之該輸入樣式,並比較一預期樣式1230及該載出測試結果樣式1220。
於本發明之一些實施例中,為最佳化掃描樣式或掃描區段之位移頻率,當一標的掃描樣式或標的掃描區段位移入該掃描路徑時,亦須確認從該掃描路徑同時(或依序)移出之輸出樣式是否正常與否。例如,即使該標的掃描樣式或標的掃描區段正常地以一增加之位移頻率移入該掃描路徑,該增加之位移頻率也可能使從前一個輸入樣式移出之測試結果樣式造成錯誤。
於圖12所示之例子,輸入樣式K-1 1202及輸入樣式K+1 1206可被用於確認當前位移頻率之確定標的掃描區段K 1204是否正常地以一特定位移頻率移入該掃描路徑。也就是,於每次將標的掃描區段K 1204反覆輸入掃描路徑1210前,可使用輸入樣式K-1 1202,以一預定位元樣式初始化掃描路徑。再者,當每次第K個掃描區段K 1204之掃描路徑輸出樣式被反覆從掃描路徑移出時,可使用輸入樣式K+1 1206,以一預定位元樣式移入掃描路徑。
當該標的掃描區段K 1204與該掃描樣式M有一對一對應時,輸入樣式K-1 1202是用於實際掃描測試之掃描樣式M-1,其位於標的掃描區段K 1204之前,或是於載入掃描樣式M-1至該掃描路徑後,由擷取操作取得之測試結果樣式之預期樣式。
另一例子,當該標的掃描區段K 1204是如圖14所示之掃描樣式M的一部分時,輸入樣式K-1 1202包含用於實際掃描測試之掃描樣式M-1,其位於標的掃描區段K 1204之前,或是於載入掃描樣式M-1至掃描路徑後,由擷取操作取得之測試結果樣式之預期樣式。再者,輸入樣式K-1 1202包含除標的掃描區段K 1204以外之掃描樣式M的部分。例如,除標的掃描區段K以外之掃描樣式M的部分是用於實際掃描測試之一位元樣式之一部份。
再另一例子,輸入樣式K-1 1202也可以是一主要包含位元“0”或“1”之預定樣式,或一主要包含連續“0”或“1”位元之預定樣式,以減少在掃描路徑或相似之切換動作。
再另一例子,輸入樣式K-1 1202可包含至少一如圖13所示之掃描區段。
當標的掃描區段K 1204與該掃描樣式M有一對一對應時,第K+1個輸入樣式1206是用於實際掃描測試之掃描樣式M+1,其位於標的掃描區段K 1204之前,或是於載入掃描樣式M+1至該掃描路徑後,由擷取操作取得之測試結果樣式之預期樣式。
另一例子,當標的掃描區段K 1204是如圖14所示之用於實際掃描測試之掃描樣式M的一部分時,輸入樣式K+1 1206可包括用於實際掃描測試之掃描樣式M+1等,其位於標的掃描區段K 1204之後。再者,輸入樣式K+1 1206可包含除標的掃描區段K 1204以外之掃描樣式M的一部分。例如,除標的掃描區段K 1204以外之一部分是用於實際掃描測試之一位元樣式之一部份。
再另一例子,輸入樣式K+1 1206是一主要包含位元“0”或“1”之預定樣式,或一主要包含連續“0”或“1”位元之預定樣式,以減少在掃描路徑或相似之切換動作。
再另一例子,輸入樣式K+1 1206可包含至少一如圖13所示之掃描區段。
於掃描測試中,分別位於第一個掃描區段之前和最後一個掃描區段之後的輸入樣式可包含一主要包含位元“0”或“1”之預定樣式,或一主要包含連續“0”或“1”位元之預定樣式,以減少在掃描路徑或相似之切換動作。再者,位於第一個掃描區段之前的輸入樣式可以是測試標的晶片處於重設狀態(reset state)時之在掃描路徑上之一值。
於本發明之一些實施例中,輸入樣式K-1 1202及輸入樣式K+1 1206可分別包含至少一個掃描區段,及該掃描區段之位移頻率可以不限制搜尋標的掃描區段K 1204之最大位移頻率,標的掃描區段K 1204是當前位移頻率尋找標的。
例如,假設輸入樣式K-1 1202係以最大至30MHz的位移頻率正常為移入該掃描路徑,而標的掃描區段K 1204係以最大至50MHz的位移頻率正常為移入該掃描路徑。當增加位移頻率的同時,輸入樣式K-1 1202與標的掃描區段K 1204係以相同位移頻率依序移入掃描路徑時,則標的掃描區段K 1204可搜尋之最大位移頻率限制在30MHz。也就是,當位移頻率超出30MHz時,輸入樣式K-1 1202之輸出樣式與預期樣式可能不相互符合。再者,即使輸入樣式K+1 1206以一最大至30MHz之位移頻率正常地移入該掃描路徑,標的掃描區段K 1204可搜尋之最大位移頻率也限制在30MHz。
因此,為避免此限制,於本發明之一些實施例中,輸入樣式K-1 1202及輸入樣式K+1 1206之位移頻率被設成不超出一預定位移頻率(如上述例子,30MHz)。
例如,藉由固定該輸入樣式K-1 1202及輸入樣式K+1 1206之位移頻率於一預定位移頻率(如上述例子,30MHz或更少),並只增加用於標的掃描區段K 1204之位移頻率,便可搜尋可用於標的掃描區段K 1204之最大位移頻率。
另一例子,該輸入樣式K-1 1202,標的掃描區段K 1204及輸入樣式K+1 1206之位移頻率係同時增減至一預定位移頻率(如上述例子,30MHz),及當該位移頻率增加至該預定位移頻率,只有標的掃描區段K 1204之位移頻率可被增加。
換言之,標的掃描區段K 1204與輸入樣式K-1 1202及輸入樣式K+1 1206之位移頻率可被控制成不同。當輸入樣式K-1 1202及輸入樣式K+1 1206之最大容許位移頻率高於該標的掃描區段K 1204之最大位移頻率時,該標的掃描區段K 1204與其他掃描樣式1202及1206之位移頻率可增減至相同。預定位移頻率可適當地改變,包含名義位移頻率,調整名義位移頻率所取得的位移頻率,由軟體預設之測試裝置之一預設值,一使用者設定之預設值,或相似值,但非用以限制本發明。
於本發明之一些實施例中,當根據本發明之一些實施例決並用於該輸入樣式K-1 1202及輸入樣式K+1 1206之最佳位移頻率時,輸入樣式K-1 1202及輸入樣式K+1 1206可以用等於或小於該最佳位移頻率之位移頻率位移入掃描路徑。
例如,根據本發明實施例之方法依序用於該等掃描樣式,於決並標的掃描區段K 1204的位移頻率前,構成輸入樣式K-1的至少一掃描區段之最佳位移頻率可事先決定。因此,用以最小化測試時間之裝置使用最佳位移頻率於每一輸入樣式K-1 1202之掃描區段,並將名義位移頻率,或調整名義位移頻率所取得的位移頻率用於該輸入樣式K+1 1206。
因此,當增減標的掃描區段K的位移頻率,並依序輸入該輸入樣式1202,1204及1206至該掃描路徑1210,並判斷實際輸出樣式1220與預期樣式1230是否相符合。於此時,如有必要,可對該輸入樣式1202,1204及1206中至少一個執行掃描擷取操作。
例如,該裝置使用名義位移頻率為其起始位移頻率,以事先設定之預定頻率增加段(frequency increment)值增減位移頻率。也就是,以一預定位移頻率,如名義位移頻率,載入輸入樣式K-1 1202至掃描路徑後,接著以該起始位移頻率與該頻率增加段之總和將該標的掃描區段K 1204位移入掃描路徑,同時移出輸入樣式K-1 1202之測試結果(即,輸出樣式K-1 1222),並將該測試結果與一已知預期樣式K-1 1232相比較。
於此時,輸入樣式K-1 1202或包含於輸入樣式K-1 1202之至少一個掃描區段的預定位移頻率可和標的掃描區段K 1204之起始位移頻率不同。重新位移入輸入樣式K+1 1206的同時,位移出標的掃描區段K 1204之測試結果並獲得輸出樣式K 1224,並將該輸出樣式K 1224與一已知預期樣式K 1234相比較。於此時,當標的掃描區段K 1204是如圖14所示之掃描樣式M的一部分時,輸入樣式K-1 1202,標的掃描區段K 1204及輸入樣式K+1 1206與它們的輸出樣式與上述者相似。
於本發明之一些實施例中,上述之預定位移頻率被設成並不限制搜尋標的掃描區段K 1204之最大位移頻率。於本發明之一些實施例中,輸入樣式K-1 1202或輸入樣式K+1 1206之位移頻率被設成並不和標的掃描區段K 1204之位移頻率一同增減,或被設成和標的掃描區段K 1204之位移頻率不同,此時,可使用能夠將輸入樣式K-1 1202或輸入樣式K+1 1206正常輸入掃描路徑之位移頻率。
於本發明之一些實施例中,預定位移頻率可根據實施例具有各種變形,包含名義位移頻率,調整名義位移頻率所取得的位移頻率,由軟體預設之測試裝置之一預設值,一使用者設定之預設值等,但不受限於上述例子。
當輸出樣式K-1 1222與預期樣式K-1 1232相同,及輸出樣式K 1224與預期樣式K 1234相同時,該目前之位移頻率是標的掃描區段K 1204之一可用位移頻率。掃描測試時間最小化之裝置以一定量再一次增加標的掃描區段K 1204之位移頻率,重新執行如上所述之從輸入樣式K-1 1202輸入式至掃描路徑之步驟,及再一次將輸出樣式1220與該預期樣式1230相比較。
以此方式,該裝置以重複增加標的掃描區段K 1204之位移頻率,直到輸出樣式1220與該預期樣式1230不同之時間點,等於或小於該時間點之前的位移頻率被確定是標的掃描區段K 1204之最佳位移頻率。
雖然於上述一些實施例是以增加位移頻率以搜尋該標的掃描區段之最佳位移頻率,於另一些實施例,將位移頻率從標的掃描區段K 1204之輸出樣式1220與預期樣式1230相互不同之一高位移頻率,以重複方式減少位移頻率直到輸出樣式1220與預期樣式1230相同。當輸出樣式
1220與預期樣式1230變成相同之時間點時,等於或小於該時間點之位移頻率被確定為標的掃描區段K 1204之最佳位移頻率。
此外,一增減位移頻率範圍之實施例,其於增減位移頻率之過程中,反覆比較掃描區段或掃描樣式之輸出樣式和預期樣式,其在掃描測試時間最小化之裝置設定之範圍內增減,或當找到輸出樣式1220與該預期樣式1230之比較結果從相符合變成不相符合,或從不相符合變成相符合之時間點時,可停止增減位移頻率。於此情況下,用以搜尋每一掃描區段之可用最大位移頻率之所需時間可被節省。
根據於一些實施例,用於搜尋標的掃描區段K 1204之最佳位移頻率之起始位移頻率可採用各種不同值,包含該名義位移頻率。再者,不是從一低位移頻率增加而是從輸出樣式與預期樣式相互不同之一高位移頻率開始,在減少的過程中,搜尋輸出樣式與該預期樣式相同之時間點的位移頻率。此外,該標的掃描區段K 1204之位移頻率可以用各種演算法方法改變而非以依序增加或減少,以縮短搜尋最佳位移頻率之時間。
於本發明之一些實施例中,可使用一二元搜尋演算法。例如,位移頻率為10MHz時測試通過,而位移頻率為20MHz時測試失敗時,則下一個位移頻率設為15MHz。當位移頻率為15MHz時測試通過,則下一個位移頻率設為15MHz及20MHz的中間值;及當測試結果是失敗時,下一個位移頻率設為10MHz及15MHz的中間值。當測試結果為是通過時,則測試標的晶片被認定為良品,當測試結果為是失敗時,測試標的晶片被認定為不良品。
與線性搜尋法相比較,二元搜尋演算法具有能夠縮短搜尋為測試通過與失敗之邊界的一位移頻率的時間,或搜尋測試通過之一可用頻
率範圍的時間之效果。例如,線性搜尋法如果以N次增加或減少位移頻率可以搜尋測試結果為通過之一最大位移頻率,二元搜尋演算法則可用log2(N)次增加或減少位移頻率以搜尋最大位移頻率。使用二元搜尋演算法縮短搜尋測試通過之最大頻率之時間效果,隨著因掃描區段之總數目和測試設備而增減的頻率單位之減少,比該線性搜尋法更有效率。
於另一些實施例中,搜尋最佳位移頻率或最佳週期需考慮供給測試標的晶片之電壓變化餘量。例如,最佳位移頻率或最佳週期之較短時間可以下列步驟搜尋。
步驟1:
用以最小化掃描測試時間之裝置,於一預定電壓範圍內以一預定單位改變供給測試標的晶片之電壓,並為每一電壓搜尋測試結果是通過”PASS”之最大位移頻率或位移頻率之一範圍。也就是,搜尋可用於整個測試資料之最大位移頻率或位移頻率之一範圍,而非搜尋分割測試資料所取得之每一掃描區段之一位移頻率。
步驟2:
該裝置從步驟1之結果選取一供給該測試標的晶片之一特定電壓。於此處,供給該測試標的晶片之一特定電壓是對應至從步驟1搜尋每一電壓之最大位移頻率中的最小最大位移頻率之相同或接近電壓。或者,供給該測試標的晶片之一特定電壓可考慮測試安排,製造程序,測試流程等進行選取。
步驟3:
用於最小化掃描測試時間之裝置從步驟2之結果選取一供給該測試標的晶片之一特定電壓。於供給該測試標的晶片之一特定電壓時,該裝置在增加或減少每一掃描區段之位移頻率的同時,接著決定每一掃描區段之每一位移頻率之測試結果是通過”PASS”或是失敗”FAIL”。
步驟4:
用於最小化掃描測試時間之裝置使用從步驟3取得之每一掃描區段之通過”PASS”或是失敗”FAIL”測試結果對應之位移頻率資訊,搜尋或決定每一掃描區段之最佳位移頻率。
步驟5:
用於最小化掃描測試時間之裝置在改變供給該測試標的晶片之電壓的同時,使用從步驟4搜尋或決定之每一掃描區段之最佳位移頻率確認測試結果是通過”PASS”或是失敗”FAIL”。
於本發明之一些實施例中,於步驟5中電壓之變更範圍和步驟1中電壓之變更範圍相同。再者,步驟5之電壓變更範圍可以是考慮測試安排,製造程序,測試流程等,並調整步驟1取得之電壓範圍。該裝置在改變供給該測試標的晶片之電壓的同時,使用從步驟4搜尋或決定之每一掃描區段之最佳位移頻率確認測試結果是通過”PASS”或是失敗”FAIL”。當於電壓範圍內,所有掃描區段之測試結果是通過”PASS”時,最佳位移頻率成功地被確認。此外,不同之參數可用以決定該位移頻率對每一掃描區段是否是最佳化,考慮測試安排,製造程序,測試流程等。例如,於一些情況下,在一特定電壓時,還可接受測試失敗。
當藉由考慮供給測試標的晶片之電壓變化餘量,以搜尋最佳位移頻率時,使用上述步驟的方法而非改變所有掃描區段之頻率與電壓的方法,可允許該最佳位移頻率或週期被以較短時間搜尋或決定。
例如,假設SN(掃描區段數目)=1,000,VN(改變電壓次數)=10,FN(改變位移頻率次數)=10。
情況1:
決定測試結果是通過”PASS”或是失敗”FAIL”,同時改變電壓及所有掃描區段之位移頻率,搜尋該位移頻率之次數等於SN x VN x FN=100,000。
情況2:
使用步驟1-5以找出測試結果是通過”PASS”或是失敗”FAIL”之搜尋該位移頻率之次數等於(Step 1)VN x FN+(Step 3)SN x FN+(Step 5)VN=(VN+SN)x FN+VN=10,110。
情況2之搜尋次數相比於情況1之搜尋次數減少10%。
用以搜尋最佳位移頻率之掃描區段K 1204也可以由如圖14所示之掃描樣式M的一部分構成。即,標的掃描區段K 1204之長度可以比掃描路徑短。於此情況下,除標的掃描區段K 1204以外之掃描樣式M的一部分之位移頻率可被設成不限制該標的掃描區段K 1204之最佳位移頻率的搜尋。
例如,於掃描樣式M,非該標的掃描區段K 1204之部分之位移頻率不隨著該標的掃描區段K 1204之位移頻率增加或減少,或使用不同於該標的掃描區段K 1204之位移頻率。於本發明之一些實施例中,掃描
樣式M中之非該標的掃描區段K 1204之部分之位移頻率可使用一位移頻率,其可使非該標的掃描區段K 1204之部分正常地輸入該掃描路徑。
於另一些實施例中,給予該掃描樣式M中之非該標的掃描區段K 1204之部分之位移頻率是一等於或小於名義位移頻率之一位移頻率。當使用根據本發明之一些實施例中之方法,已經決定該最佳位移頻率給該掃描樣式M中之非該標的掃描區段K 1204之部分,一等於或小於該最佳位移頻率之一預定位移頻率可被使用成該掃描樣式M中之非該標的掃描區段K 1204之部分之位移頻率。對於該標的掃描區段K 1204,可由上述方式增減位移頻率以搜尋其最佳位移頻率。該預定位移頻率並不限於上述例子,但可使用各種不同頻率,如調整名義位移頻率所取得的位移頻率,由軟體預設之測試裝置之一預設值,一使用者設定之預設值等,但可根據實施例進行各種變化。
圖12揭示一起使用輸入樣式K-1 1202搜尋該標的掃描區段K 1204之最佳位移頻率之方法,然而,本發明並不限於此種方案。於一些實施例中,將該標的掃描區段K 1204或一包含該標的掃描區段K 1204之掃描樣式之掃描路徑輸出樣式與預期樣式相比較,以搜尋或決定最佳位移頻率。
於本發明至少之一些實施例中,當搜尋或決定該標的掃描區段K 1204之最佳位移頻率時,於該標的掃描區段K 1204前之輸入樣式K-1 1202之輸出樣式,或包含該標的掃描區段K 1204的掃描樣式前的掃描樣式之輸出樣式,亦和相對應的預期樣式相比較。
例如,當該標的掃描區段K 1204之輸出樣式與相對應的預期樣式相符合,及輸入樣式K-1 1202之輸出樣式與相對應的預期樣式相符合時,用以位移入該標的掃描區段K 1204至該掃描路徑之位移頻率被認定為該標的掃描區段K 1204之可用位移頻率。
於另一些實施例中,當該標的掃描區段K 1204可以是如圖14所示之掃描樣式M的一部分之情況下,包含該標的掃描區段K 1204之掃描樣式M的掃描路徑之輸出樣式K 1224與預期樣式K 1234相符合,及於掃描樣式M前之掃描樣式M-1的掃描路徑之輸出樣式K-1 1222與預期樣式K-1 1232相符合時,用以位移入該標的掃描區段K 1204至該掃描路徑之位移頻率被認定為是該標的掃描區段K 1204之可用位移頻率。
將該標的掃描區段K 1204前之輸入樣式K-1 1202之輸出樣式K-1 1222和預期樣式K-1 1232相比較,及將該標的掃描區段K 1204的輸出樣式K 1224和預期樣式K 1234相比較之理由是因為,於該標的掃描區段K 1204前之輸入樣式(或輸入樣式之一部份)之掃描路徑的輸出樣式可受該標的掃描區段K 1204的位移入之頻率影響。此處,輸入樣式之移出之輸出樣式可以是,於輸入該標的掃描區段K 1204前之輸入樣式(或輸入樣式之一部份)至該掃描路徑後,經由掃描擷取操作獲取之樣式,或不經由掃描擷取操作從掃描路徑輸出之一樣式。
圖16是根據本發明之一些實施例之顯示為一掃描樣式搜尋一可用位移頻率方法之示圖。圖17是根據本發明之一些實施例之示圖,其顯示其他測試樣式之測試結果失敗時,增加或減少一測試樣式之位移頻率,以搜尋最佳位移頻率。
於圖6,第一掃描樣式,第二掃描樣式及第三掃描樣式被依序輸入該掃描路徑,以搜尋第二掃描樣式的最佳位移頻率。於本發明之一些實施例中,第一掃描樣式正常輸入該掃描路徑之一位移頻率(如5MHz)被用以位移入該第一掃描樣式。換言之,允許第一掃描樣式之測試結果為通過“PASS”之一位移頻率被用以位移入該第一掃描樣式。
當第二掃描樣式之位移頻率以5MHz的增加量依序從5MHz增加至25MHz時,第一掃描樣式及第二掃描樣式之測試結果皆為通過“PASS”。於此情況,等於或小於25MHz之一位移頻率則是為該第二掃描樣式之可用位移頻率。
如圖17所示,當第二掃描樣式之位移頻率增加至30MHz時,第二掃描樣式之測試結果為通過”PASS”,但第一掃描樣式之測試結果為失敗”FAIL”。那是因為第一掃描樣式位移出之測試結果受第二掃描樣式之位移頻率之影響。因此,於本發明之一些實施例中,當搜尋最佳位移頻率之第二掃描樣式,及第二掃描樣式之前的第一掃描樣式之測試結果皆為通過”PASS”,位移頻率被認定為是第二掃描區段之可用位移頻率。
以搜尋最佳位移頻率之掃描區段可為掃描樣式之一部份。於此情況,如前所述,當包含搜尋最佳位移頻率之標的掃描區段之第二掃描樣式,及第一掃描樣式之測試結果皆為通過”PASS”時,位移頻率被認定為是標的掃描區段之可用位移頻率。對於和標的掃描區段不同之一位元樣式,一位移頻率被使用,其允許該位元樣式被正常輸入至該掃描路徑。
對第三掃描樣式,一位移頻率被使用,其允許該第三掃描樣式被正常輸入至該掃描路徑,及第二掃描樣式之測試結果被正常從該掃描路徑輸出。
當將相鄰第一掃描區段與第二掃描區段依序輸入至一晶片之掃描路徑,以搜該尋掃描區段之最佳位移頻率以減少該晶片大量製造之測試時間時,可將第一掃描區段之位移頻率設定為與第二掃描區段之位移頻率不相同,以執行掃描測試。例如,分別用於兩掃描區段之互不相同的位移頻率可分別等於或小於使用該兩掃描區段之測試結果為通過”PASS”之位移頻率。
對於相鄰第一掃描區段與第二掃描區段,可設定第二掃描區段之位移頻率高於或低於第一掃描區段之位移頻率,以執行該掃描測試。分別等於或小於使用該兩掃描區段之無錯誤晶片(fault-free chip)之測試結果為通過”PASS”之位移頻率,可用以減少該晶片大量製造之測試時間。也就是,於執行掃描測試時,相鄰掃描區段間之相互影響應加以考慮。
例如,當第一掃描區段與第二掃描區段是相鄰之掃描樣式,第一掃描區段之掃描擷取結果位移出時,接續輸入之第二掃描區段的位移頻率影響應加以考慮。其因為,例如,當掃描擷取結果樣式位移出時,結果樣式之一位元值可能根據該位移頻率被改變。
於另一些實施例中,當第一掃描區段與第二掃描區段是相鄰且包含在一單一掃描樣式中,第一掃描區段之掃描擷取結果位移出時,接續輸入之第二掃描區段的位移頻率影響應加以考慮。其因為,例如,位移入該掃描路徑之第一掃描區段之一位元值可能被第二掃描區段的位移頻率改變。
於另一些實施例中,當於包含第一掃描區段和第二掃描區段之第二掃描樣式之前,位移入之第一掃描樣式之掃描擷取結果被位移出時,第二掃描樣式中包含的第一掃描區段及第二掃描區段的影響應加以考
慮。如不考慮,當執行大量製造之測試時,無錯誤晶片(fault-free chip)之掃描測試結果可能變為失敗”FAIL”。
搜尋或決定該標的掃描區段之最佳位移頻率時,不只該標的掃描區段之輸入樣式,且於該標的掃描區段前或後之輸入樣式之輸出樣式,皆須與對應之預期樣式相互比較,以判定一無瑕疵晶體電路晶片描測試結果是否真正是正常。
於本發明之一些實施例中,以上述流程增加或減少位移頻率,搜尋或決定該標的掃描區段之最佳位移頻率。此時,讓測試結果正常之一位移頻率是該標的掃描區段之可用位移頻率。該標的掃描區段之掃描路徑輸出樣式是由將該標的掃描區段載入掃描路徑後執行掃描擷取所獲得之一樣式,或將該標的掃描區段或包含該標的掃描區段之掃描樣式不執行掃描擷取而從掃描路徑取得之一樣式。
標的掃描區段後的掃描樣式或包含標的掃描區段1204之掃描樣式後之掃描樣式之掃描路徑之一輸出樣式可另與對應之預期樣式相互比較,以搜尋或判定該標的掃描區段之最佳位移頻率。
例如,為搜尋或決定該標的掃描區段之最佳位移頻率,從該掃描路徑移出之該標的掃描區段之輸出樣式可影響於該標的掃描區段後移入的輸入樣式之一位元值。另一例子,為搜尋或決定該標的掃描區段之最
佳位移頻率,從該掃描路徑移出之包含該標的掃描區段之掃描樣式之輸出樣式可影響位於包含該標的掃描區段之掃描樣式之後的被移入的掃描樣式之一位元值。
當標的掃描區段或包含該標的掃描區段之掃描樣式之掃描路徑輸出樣式被移出時,位移後面移入的輸入樣式可影響於該標的掃描區段之輸出樣式一位元值。
為減少或除去一輸入樣式(或掃描樣式)之影響,當移出該標的掃描區段掃描路徑之輸出樣式,或移出包含該標的掃描區段之掃描樣式時,該標的掃描區段正常移入掃描路徑後允許該輸入樣式(或掃描樣式)移入之位移頻率,可被使用為於該標的掃描區段或包含該標的掃描區段之掃描樣式後的該輸入樣式(或掃描樣式)之位移頻率。
為搜尋或決定該標的掃描區段之最佳位移頻率,與該標的掃描區段相同位移頻率或不同位移頻率之一位移頻率可被使用為該標的掃描區段其前或後之輸入樣式(或輸入樣式之一部分)的位移頻率。於本發明之一些實施例中,於該標的掃描區段前後,允許該輸入樣式正常移入掃描路徑之位移頻率,可被使用為於該輸入樣式之位移頻率。
如上所述,這是因為於欲搜尋最大位移頻率之標的掃描區段前後之輸入樣式可能限制該標的掃描區之最大可用位移頻率。例如,於該
標的掃描區段前後之輸入樣式之最大可用位移頻率可能小於該標的掃描區之最大可用位移頻率。
圖18-20是根據本發明之一些實施例之示圖,其顯示需要用以搜尋一最佳位移頻率之掃描樣式,掃描區段及位移頻率資訊之組成。
圖18揭示一掃描樣式之情況,其是用以搜尋一可正常測試一晶片之可用位移頻率或一最佳位移頻率之掃描區段。測試資料1800之一掃描樣式N+1,一掃描樣式N+2及一掃描樣式N+3是分別用於搜尋可用位移頻率及最佳位移頻率之一掃描區段。圖18其顯示需要用以搜尋或判定每一掃描樣式N+1,掃描樣式N+2及掃描樣式N+3之可用位移頻率或最佳位移頻率之掃描樣式,掃描區段及位移頻率資訊之組成。
於圖18中,T1,T2,T3及標的_T標示有關掃描位移頻率或週期之資訊,其稱為“時間辨識標(timing identifiers)”,“時間組(timing set)”,或“時間資訊(timing information)”。
於本發明之一些實施例中,時間資訊是有關位移頻率或週期之資訊,其包含或代表位移頻率或週期。時間資訊可用以辨識或控制一掃描樣式,或一掃描區段。例如,測設裝置可增減由時間資訊辨識之掃描樣式或掃描區段之位移頻率或位移頻率之週期。
於圖18中,T1是有關掃描樣式N之位移頻率或週期之資訊,其稱為“掃描樣式N之時間資訊(timing information of the scan pattern N)”。於圖18中,掃描樣式N+1之target_T是欲搜尋可用位移頻率或最佳位移頻率之掃描區域有關掃描樣式N+1之位移頻率或掃描位移頻率週期之資訊,其可搜尋可用位移頻率或最佳位移頻率,其稱為“掃描樣式N+1之時間資訊(timing information of the scan pattern N+1)”。也就是,於圖18中,
T1,T2及T3標示於掃描區段前後,搜尋最佳位移頻率之有關掃描樣式之時間資訊,及標的_T標示已最佳化位移頻率掃描區段之時間資訊。
於圖18中,至少標的_T,T1,T2及T3之兩個可使用相同位移頻率或週期,或使用相互不同之位移頻率。
於圖18中,T1,T2及T3位移頻率或位移頻率之週期之資訊可使用一位移頻率或位移頻率之週期,其能夠使對應T1,T2及T3之一掃描樣式或掃描區段正常輸入於掃描路徑。此時,搜尋一最佳值,可增減對應至標的_T之位移頻率或位移頻率之週期,。並不限於圖18之例示,一個或多個位移頻率,位移頻率之週期或時間資訊可以不同方式被分配給,或被使用於一單一掃描樣式。
於本發明之一些實施例中,用以搜尋一掃描區段之可用位移頻率或最佳位移頻率之搜尋資料1810,1820及1830可包含如圖18所示之至少二個掃描樣式。
用以搜尋掃描樣式N+1之可用位移頻率或最大可用位移頻率的搜尋資料1810包含至少掃描樣式N+1,及掃描樣式N+1前的掃描樣式N。例如,包含於搜尋資料1810,1820及1830之掃描區段或掃描樣式可被重複的輸入至掃描路徑,以搜尋一特定掃描區段之可用位移頻率或最大可用位移頻率。
根據使用包含於搜尋資料1810,1820及1830之兩個或多個掃描樣式之晶片掃描測試輸出樣式,判定每一掃描樣式之測試是通過”PASS”或是失敗”FAIL”。例如,輸出樣式可與對應之預期樣式比較,且預期樣式被包含於搜尋資料1810,1820及1830中管理。換言之,搜尋資料1810,1820及1830可包含預期樣式其對應至掃描樣式之輸出樣式,該
掃描樣式包含標的掃描區段及具有該標的掃描區段掃描樣式之前的掃描樣式。該掃描區段之可用位移頻率或最大可用位移頻率接著根據測試結果被搜尋。例如,對應標的_T之該掃描樣式N+1之可用位移頻率或最大可用位移頻率可被搜尋。
為搜尋該掃描樣式N+1之可用位移頻率或最大可用位移頻率,使用該掃描樣式N+1,及掃描樣式N+1前之掃描樣式N以執行一掃描測試。與此情況,根據掃描樣式N+1及掃描樣式N之晶片掃描測試輸出樣式判定測試是通過”PASS”或是失敗”FAIL”。因此,可搜尋掃描樣式N+1之可用位移頻率或最大可用位移頻率。允許掃描樣式N+1及掃描樣式N+1前之掃描樣式N兩者之掃描測試結果皆正常之一位移頻率是該掃描樣式N+1之一可用位移頻率。
於圖19中,其用以搜尋可用位移頻率或最佳位移頻率之掃描區段是一掃描樣式。為搜尋該掃描區段之可用位移頻率或最佳位移頻率,至少使用三個掃描樣式,包含標的掃描區段,該標的掃描區段前後之掃描樣式。
例如,用以搜尋該掃描區段之可用位移頻率或最佳位移頻率之搜尋資料1810,1820及1830包含如圖19所示之至少三個掃描樣式。用以搜尋掃描區段之可用位移頻率或最佳位移頻率,包含於搜尋資料1810,1820及1830之掃描樣式或掃描區段可重複地被輸入至該掃描路徑。根據包含於搜尋資料1810,1820及1830之掃描樣式之輸出樣式與預期樣式相比較,判定積體電路晶片之測試是否為通過”PASS”或是失敗”FAIL”。根據測試結果,可用位移頻率可用於搜尋該掃描區段之最佳位移頻率。
為搜尋搜尋資料1910中之掃描樣式N+1之可用位移頻率或最佳位移頻率,使用掃描樣式N+1及掃描樣式N+1前之掃描樣式N執行一掃描測試。允許測試結果為正常之一位移頻率,是掃描樣式N+1之可用位移頻率。於此情況,於掃描樣式N+1後之掃描樣式N+2,使用可正常移入掃描樣式N+2之掃描路徑之位移頻率,掃描樣式N+2之晶片測試可被省略。不同地,允許掃描樣式N+2之晶片測試正常之一位移頻率可被設定為掃描樣式N+2之可用位移頻率。
於圖19中,至少標的_T,T1,T2,T3,T4,T5及T6之兩時間資訊可使相同位移頻率或位移頻率之週期,或使用相互不同之位移頻率。位移頻率之週期是以位移頻率移入掃描樣式位移操作的時間間隔,其為位移頻率的倒數。於本發明之一些實施例中,T1,T2,T3,T4,T5或T6之位移頻率或位移頻率之週期時間資訊包含一位移頻率或一位移頻率之週期,其允許對應T1,T2,T3,T4,T5或T6之掃描區段或掃描樣式可被位移入該掃描路徑。此時,為搜尋最佳值,可增減對應至標的_T之位移頻率或週期。
並不限於圖19之例示,一個或多個位移頻率,位移頻率之週期或時間資訊可以不同方式被分配給,或被使用於一單一掃描樣式。
圖20揭示一情況,其用以搜尋可用位移頻率或最佳位移頻率之掃描區段是一掃描樣式之一部分。也就是,包含於一掃描樣式N+1之掃描區段A,A+1及A+2是用以分別搜尋最佳位移頻率之掃描區段。
T1,T2,T3,T4,T5,T6,T7,T8,T9及T10是掃描樣式或掃描區段之時間資訊,該掃描區段前後之掃描區段用於搜尋該掃描區
段之可用位移頻率或最佳位移頻率。標的_T是掃描區段之時間資訊,該掃描區段是位移頻率最佳化之標的。
至少標的_T,T1,T2,T3,T4,T5,T6,T7,T8,T9及T10之兩時間資訊可使相同位移頻率或位移頻率之週期,或使用相互不同之位移頻率。
於本發明之一些實施例中,T1,T2,T3,T4,T5,T6,T7,T8,T9及T10之位移頻率或位移頻率之週期時間資訊包含一位移頻率或一位移頻率之週期,其允許對應T1,T2,T3,T4,T5,T6,T7,T8,T9及T10之掃描區段或掃描樣式可被位移入該掃描路徑。此時,為搜尋最佳值,可增減對應至標的_T之位移頻率或週期。並不限於圖20之例示,一個或多個位移頻率,位移頻率之週期或時間資訊可以不同方式被分配給,或被使用於一單一掃描樣式。
圖20揭示搜尋資料2010,2020及2030以搜尋比一掃描樣式或掃描路徑短之掃描區段的最佳位移頻率。包含於搜尋資料2010,2020及2030之掃描樣式可具有如圖18所示之至少兩個掃描樣式,或如圖19所示之至少三個掃描樣式。當每一搜尋資料2010,2020及2030包含三個掃描樣式,至少該三個掃描樣式掃描路徑之輸出樣式可和對應之預期樣式比較。
參照圖18至20之說明,包含於搜尋資料之掃描樣式或掃描區段可重複輸入至該掃描路徑,以搜尋一掃描區段之可用位移頻率或最佳位移頻率。
並不限於圖18至20之例示,包含於搜尋資料之至少兩掃描樣式或掃描區段之時間資訊可相互相同,或完全不同
用以搜尋一掃描區段最佳位移頻率之搜尋資料可包含如圖18,19或20所示之至少兩個掃描樣式。於本發明之一些實施例中,該搜尋資料可包含如圖18,19或20所示時間資料之有關資料。時間資料可用以控制測試裝置輸入掃描樣式或掃描區段至該掃描路徑之時間。例如,如圖18至20所示,用以搜尋相鄰掃描區段之最佳位移頻率之搜尋資料可包含相互重疊之掃描樣式。
於本發明之一些實施例中,用以搜尋數個掃描區段最佳位移頻率之搜尋資料之產生步驟可使用電腦程式或軟體批次處理執行。
例如,用以搜尋如圖18至20所示每一掃描區段最佳位移頻率之有關掃描樣式,掃描區段及位移頻率的時間資訊或資料構成,分割操作,可使用電腦程式或軟體批次處理執行。再者,包含位移頻率最佳化之掃描區段數目,掃描區段之位元長度,掃描區段的位置等資訊,可使用電腦批次處理。
用以搜尋一特定掃描區段可用位移頻率或最佳位移頻率之搜尋資料可另包含預期樣式。此外,用以搜尋一特定掃描區段可用位移頻率或最佳位移頻率之搜尋資料可另包含該積體電路晶片之主要輸入測試資料,其於執行掃描測試或主要輸出預期資料時一起使用。
圖21至28是根據本發明之一些實施例產生搜尋資料各種不同方法之概要示圖。圖21至23揭示當一掃描區段是一掃描樣式時,產生搜尋資料之方法,及圖24至26揭示當一掃描區段是一掃描樣式一部分時,產生搜尋資料之方法。
圖21揭示包含複數掃描樣式之測試資料一例示之概要示圖。
如圖21所示,一單一位移頻率(如,T1=50ns(如20MHz))被分配於包含於測試資料2100之所有掃描樣式。因此,所有掃描樣式皆以相同之位移頻率相對於該積體電路晶片掃描路徑之移入及移出。
測試資料2100可具有複數個子測試資料,每一子測試資料包含成對之一輸入掃描樣式及一預期樣式。例如,第51輸入掃描樣式與第50輸入掃描樣式之預期樣式形成一對。測試資料可以標準測試介面語言(STIL),波行產生語言(WGL),或相似語言之格式構成。
第一子測試資料之無關(don’t care)預期樣式是指當第一輸入掃描樣式移入該掃描路徑時,從該掃描路徑移出之輸出樣式不與一特定預期樣式相比較。於該正反器設定為或重設為一特定值後,當第一輸入掃描樣式輸入該掃描路徑時,一從該掃描路徑移出之輸出樣式可能不是一無關(don’t care)預期樣式。
圖22是一概要示圖,其揭示當掃描區段是一掃描樣式時,用以搜尋每一掃描區段最佳位移頻率之搜尋資料產生方法之一例子。
如圖22所示,標的_T時間資訊是給予一標的掃描區段2210,其於圖21所示之原測試資料2100中搜尋最佳位移頻率。標的_T時間資訊是用以辨識該標的掃描區段2210或控制標的掃描區段之位移頻率。例如,標的_T可由該測試裝置從起始值50ns增加或減少。
當標的掃描區段2210是一輸入掃描樣式51時,將提供給標的_T的搜尋資料2200重複輸入至該晶片,以搜尋該輸入掃描樣式51之可用位移頻率或最佳位移頻率。對應至標的_T之標的掃描區段2210的位移頻率週期,於每一次重複輸入時被改變。於此時,除標的掃描區段2210外之
其餘輸入樣式的位移頻率週期是允許該掃描樣式正常輸入該掃描路徑之一周期(如,T1=50ns)。
例如,搜尋資料2200重複輸入至該晶片,且減少對應標的_T之週期,直到找到該標的掃描區段2210之最大可用位移頻率。使用輸入掃描樣式50所獲得之輸出樣式與包含於子測試資料51之輸入掃描樣式50之預期樣式相比較。使用輸入掃描樣式51所獲得之輸出樣式與包含於子測試資料52之輸入掃描樣式51之預期樣式相比較。允許輸入掃描樣式50與輸入掃描樣式51兩者測試結果皆正常之一位移頻率是該標的掃描區段2210之可用位移頻率。
隨著用以搜尋該標的掃描區段2210之可用位移頻率或最佳位移頻率之搜尋資料2200之尺寸減少,搜尋最佳位移頻率之所需時間也因而減少。
圖23是圖示用於減少搜尋最佳位移頻率所需時間而生成搜尋用資料方法之一例子的概念圖。
如圖23所示,搜尋當作標的掃描區段2310之輸入掃描樣式51的可用位移頻率或最佳位移頻率之搜尋資料2300包含標的掃描區段2310,及於標的掃描區段2310前後之輸入掃描樣式50及52。包含在於標的掃描區段2310前之子測試資料50之預期樣式是一無關(don’t care)預期樣式。也就是,當輸入掃描樣式50移入該掃描路徑時,該掃描路徑移出之一輸出樣式不和一特定預期樣式比較。
搜尋資料2300重複輸入至晶片之掃描路徑,且改變對應標的_T之位移頻率週期,直到找到該標的掃描區段2210之最大可用位移頻率。使用輸入掃描樣式50所獲得之輸出樣式與包含於子測試資料51之輸入
掃描樣式50之預期樣式相比較。以相同方法,使用輸入掃描樣式51所獲得之輸出樣式與包含於子測試資料52之輸入掃描樣式51之預期樣式相比較。允許輸入掃描樣式50與輸入掃描樣式51兩者測試結果皆正常之一位移頻率是該標的掃描區段2310之可用位移頻率。
搜尋資料2300並不限於圖23所示之例子,但可另包含於該標的掃描區段前後之至少兩個輸入掃描樣式。
圖24是一概要示圖,其揭示包含複數個掃描樣式的測試資料之一例子,及圖25至28是一概要示圖,其揭示當該標的掃描區段是一掃描樣式的一部份時,搜尋最佳位移頻率之搜尋資料產生方法之一例子。
於圖24中,一單一位移頻率(如,T1=50ns(如20MHz))被分配於包含於測試資料2400之所有掃描樣式。因此,所有掃描樣式皆以相同之位移頻率相對於該積體電路晶片掃描路徑之移入及移出。
測試資料2400可具有複數個子測試資料,每一子測試資料包含成對之一輸入掃描樣式及一預期樣式。例如,第51輸入掃描樣式與第50輸入掃描樣式之預期樣式形成一對。
測試資料2400可分為複數個掃描區段。於本實施例中,為方便說明,當輸入掃描區段51分為成三掃描區段2410,2420及2430,搜尋每一掃描區段最佳位移頻率之搜尋資料產生方法將參照圖25至28加以說明。
如圖25至27所示,搜尋資料2500,2600及2700包含具有標的掃描區段2510,2610及2710之輸入掃描樣式51,及分別於該輸入掃描樣式51前後之輸入掃描樣式50及52。包含於一子測試資料50之一預期
樣式是一無關(don’t care)預期樣式。也就是,當輸入掃描樣式50移入該掃描路徑時,該掃描路徑移出之一輸出樣式不和一特定預期樣式比較。標的_T時間資訊是用以辨識該標的掃描區段2510,2610或2710或控制標的掃描區段之位移頻率。例如,標的_T可由該測試裝置從起始值50ns增加或減少。
如圖25所示,搜尋資料2500將標的_T之時序資訊給予該第一標的掃描區段2510,其為該輸入掃描樣式51之一部分,及維持標的_T之時序資訊予其餘之輸入掃描樣式51。搜尋資料2500重複輸入至該晶片之掃描路徑,且改變對應標的_T之週期,直到找到該第一標的掃描區段2510之最大可用位移頻率。使用輸入掃描樣式50所獲得之輸出樣式與包含於子測試資料51之輸入掃描樣式50之預期樣式相比較。使用輸入掃描樣式51所獲得之輸出樣式與包含於子測試資料52之輸入掃描樣式51之預期樣式相比較。允許輸入掃描樣式50與輸入掃描樣式51兩者測試結果皆正常之一位移頻率是該第一標的掃描區段2510之可用位移頻率。
當搜尋第二標的掃描區段2610及第三標的掃描區段2710之最佳位移頻率時,如圖26及27所示之搜尋資料2600及2700重複輸入至該晶片之掃描路徑,以重複相同方式執行如圖25所示之掃描測試。
當一掃描樣式分為複數個掃描區段時,為搜尋每一掃描區段之最佳位移頻率,可產生如圖28所示之一單一組搜尋資料2800,而非如圖25至27所示產生搜尋資料2500,2600及2700給每一掃描區段。
如圖28所示,搜尋資料2800分別包含給第一至三掃描區段2810,2820及2830之標的_T1,標的_T2及標的_T3之時序資訊。換言之,提供和標的掃描區段相同數目之時序辨識器,同時搜尋該標的掃描區段之
可用位移頻率或最佳位移頻率,每一個時序辨識器分配給對應之標的掃描區段2810,2820及2830。例如,當搜尋第一標的掃描區段2810之可用位移頻率或最佳位移頻率時,對應標的_T1之位移頻率可被增加或減少。
當產生如圖28所示複數個標的掃描區段之單一組搜尋資料2800時,允許用以儲存於儲存媒體之儲存容量和每一標的掃描區段之產生之搜尋資料相比較。然而,可用於該測試裝置之時序辨識器數目或位移頻率數目可能需要限制。
例如,當可用於該測試裝置之時序辨識器數目限於三個,及一掃描樣式分為四個標的掃描區段,如圖25至27所示,對每一掃描區段產生之搜尋資料2500,2600及2700可搜尋該最佳位移頻率。
搜尋資料2500,2600,2700,2800及2900不限於圖25至28所示之例子,但可另包含該標的掃描區段前後之至少兩個輸入掃描樣式。
通常,隨著用以搜尋該掃描樣式或掃描區段之可用位移頻率或最佳位移頻率之搜尋資料之尺寸減少,搜尋最佳位移頻率所需之時間也因而減少。例如,隨著該掃描樣式或掃描區段之數目減少,搜尋最佳位移頻率所需之時間也因而減少。
為計算搜尋包含於測試資料所有掃描樣式之最佳位移頻率所需之位移時鐘循環,定義SN,BL及FN如下。
SN:構成設資料之掃描樣式數目。
BL:單一掃描樣式的位元長度。位移一位元使用一位移時鐘循環。
FN:每一掃描樣式,搜尋最佳位移頻率所需增加位移頻率之次數。
位移頻率以一預定增加量從一預定低頻率依序增加。
於本發明之一些實施例中,假設SN=5,000,BL=1,000,及FN=20。以下列方法1及2搜尋包含於測試資料所有掃描樣式之最佳位移頻率所需之位移時鐘循環。
方法1
使用圖22所示包含整體輸入掃描樣式之搜尋資料,搜尋每一掃描樣式之最佳位移頻率所需之位移時鐘循環如下。位移時鐘循環總數=SN x SN x BL x FN=500,000,000,00。
方法2
使用圖23所示包含三個輸入掃描樣式之搜尋資料,搜尋每一掃描樣式之最佳位移頻率所需之位移時鐘循環如下。於此時,當搜尋第一掃描樣式之最佳位移頻率時,使用包含第一及第二輸入掃描樣式之搜尋資料;及當搜尋最後一個輸入掃描樣式之最佳位移頻率時,使用包含最後一個掃描樣式及最後一個掃描樣式前之掃描樣式之搜尋資料。位移時鐘循環總數=(3 x(SN-2)x BL x FN)+(2 x 2x BL x FN)=299,960,000。
於上述方程式中,(3 x(SN-2)x BL x FN)是搜尋所有掃描樣式之最佳位移頻率所需之位移時鐘循環總數目,但除該掃描樣式組之兩掃描樣式(即,第一個被輸入樣式及最後一個被輸入樣式)外。
於上述方程式中,(2 x 2 x BL x FN)是搜尋第一個被輸入樣式及最後一個被輸入樣式之最佳位移頻率所需之位移時鐘循環總數目。
茲發現,使用方法2比使用方法1可減少所需之位移時鐘循環總數目之99.94%。
因此,於一些實施例中,用以搜尋掃描樣式或掃描區段之可用位移頻率或最佳位移頻率的搜尋資料盡可能包含較小之掃描樣式或掃描區段數目。
於本發明之一些實施例中,參照圖18之例示,搜尋資料藉由包含欲搜尋位移頻率或者最佳位移頻率之一掃描區段,及位於該掃描區段前或後之一掃描樣式,可由至少兩個掃描樣式構成。
再者,如圖19至28所示例子之相同方法,搜尋資料藉由包含欲搜尋位移頻率或者最佳位移頻率之一掃描區段,及位於該掃描區段前或後之一掃描樣式,可由至少三個掃描樣式構成。
於本發明之一些實施例中,用以搜尋一掃描區段之可用位移頻率或最佳位移頻率的搜尋資料以資料碼,檔案等格式儲存於電腦可讀之記錄媒體中。
再者,用以搜尋一掃描區段之可用位移頻率或最佳位移頻率的搜尋資料產生步驟可根據一些實施例,於一相同裝置或不同裝置中分別執行,例如在一測試裝置,或一如電腦之裝置。
圖29是根據發明之一些實施例,用以最小化測試時間之程序流程圖。
如圖29所示,用以最小化測試時間之裝置將一位元樣式或至少一掃描樣式分成至少兩個掃描區段(步驟S2900)。各種不同方法可用以將測試資料之該位元樣式或掃描樣式組分成掃描區段,如圖5至10所示之例子。
於分割之步驟中,用於分割測試一積體電路晶片之數千或數方餘掃描樣式掃描區段或區段群之測試資料,或包含該資料的檔案之操作,可使用電腦程式或軟體批次執行,更為有效。
例如,電腦程式或軟體使用掃描區段分割相關資訊,如用以最佳化位移頻率之掃描區段數目,掃描區段之位元長度,掃描區段之位置等,將測試資料分成掃描區段或掃描區段群,及以批次流程產生被分割之掃描區段或掃描區段群的搜尋資料,或包含該搜尋資料之檔案。
掃描區段分割相關資訊可由使用者介面裝置取得,如鍵盤,滑鼠,聲音辨識裝置等,或資訊資料碼或包含該資料之檔案,或一資料通訊網路,其之後可被該電腦程式或軟體使用。
如掃描樣式分割之一例子,圖5至10所示之方法可被使用。用以最小化測試時間之裝置分配一位移頻率給每一掃描區段(步驟S2910)。於此,分配給每一掃描區段之位移頻率等於或小於在該掃描路徑的輸出樣式開始和預期樣式不同之一位移頻率。將掃描樣式分成掃描區段(步驟S2900)及分配一位移頻率給每一掃描區段(步驟S2910)可根據一些實施例,於一相同裝置或分別之裝置中執行,例如在一測試裝置,或一如電腦之裝置。
也就是,用以最小化測試時間之裝置隨著增加一位移頻率,找出在該輸出樣式和預期樣式開始相互不同時刻之前一瞬間之位移頻率,將其作為可分配給對應之掃描區段之最大位移頻率。另一例子,用以最小化測試時間之裝置隨著減少一位移頻率,找出在輸出樣式和預期樣式從不同開始變成相互相同時之位移頻率,將其作為可分配給對應之掃描區段之最大位移頻率。例如,用以最小化測試時間之裝置在掃描測試結果為通過
“PASS”及失敗“FAIL”間增加或減少掃描區段之位移頻率,以搜尋一位移頻率讓測試結果為正常,及判定以此方式搜尋之位移頻率為可分配給對應掃描區段之最大位移頻率。
圖30是根據本發明之一些實施例,判定每一掃描區段之一最佳位移頻率,以最小化測試時間之程序流程圖。
如圖30所示,用以最小化測試時間之裝置將至少一掃描樣式分配給至少兩掃描區段(步驟S3000)。
用以最小化測試時間之裝置在相同輸出樣式和預期樣式開始相互不同時,或不相同之輸出樣式和預期樣式開始變成相互相同時,增加或減少位移頻率以搜尋一位移頻率,將該掃描區段移入該掃描路徑(步驟S3010)。作為一例,用於搜尋最佳位移頻率之晶片可使用預先測試為非瑕疵之晶片。例如,根據本實施例,以一名義位移頻率測試結果為正常之晶片,用其搜尋最佳位移頻率。相同方式也適用於其他實施例。
因此,用以最小化測試時間之裝置在該輸出樣式和預期樣式開始相互不同前測試結果為正常之一位移頻率,判定其為所對應掃描區段之位移頻率(步驟S3020)。於該輸出樣式和預期樣式開始相互不同前之位移頻率包含一頻率小於當該輸出樣式和預期樣式開始相互不同之位移頻率。
例如,當該輸出樣式和預期樣式以一第一位移頻率為相互相同,但以一第二位移頻率為相互不相同時,該第二位移頻率以預定增加量增加第一位移頻率而取得,用以最小化測試時間之裝置將小於第二位移頻率且讓測試結果為正常之一位移頻率判定為該掃描區段之位移頻率,或提供用於判定之資訊。
用以搜尋最佳位移頻率之增加量可於測試裝置預先設定,或改變,或由一使用者設定。
本實施例為便於說明,雖然記述藉由增減用於移入之位移頻率,以搜尋每一掃描區段之最佳位移頻率的方法,但根據實施例可藉由增減用於移出之位移頻率,以搜尋該位移頻率。後序實施例同上。
根據實施,不是圖30之所有步驟都執行於該用以最小化測試時間之裝置中,至少此步驟之一部份可執行於分開之裝置,如一電腦。
圖31是根據發明之一些實施例,最小化晶片測試時間之詳細程序流程圖。
如圖31所示,用以最小化測試時間之裝置將至少一掃描樣式分成複數個掃描區段(步驟S3100)。
用以最小化測試時間之裝置選擇一掃描區段,其位移頻率不是根據本實施例於該掃描區段間判定(步驟S3110)。例如,當一掃描測試用掃描樣式間有一預定順序時,該用以最小化測試時間之裝置依序從第一掃描區段選擇一掃描區段。此外,一使用者選擇一用以最小化之掃描區段,該用以最小化測試時間之裝置執行該選擇掃描區段之位移頻率最佳化。此外,不同方法亦可用以選擇一掃描區段,以最佳化其位移頻率。
該用以最小化測試時間之裝置增加該位移頻率(步驟S3120)。例如,不同頻率可使用成起始頻率,如名義位移頻率等。
該用以最小化測試時間之裝置從允許該掃描測試結果為正常之起始頻率開始,以增加位移頻率判定該掃描區段是否可正常移入該掃
描路徑(步驟S3130)。判定所選的掃描區段是否以該位移頻率正常移入該掃描路徑之判定例子說明於圖32。
當該掃描區段可正常移入(YES步驟S3140),則該用以最小化測試時間之裝置再一次增加位移頻率(步驟S3120),及重複判定該掃描區段是否可正常移入(步驟S3130)。
當隨著位移頻率的增加,該掃描區段不可正常移入(步驟S3140)時,該用以最小化測試時間之裝置判定等於或小於允許一正常移入之最大位移頻率之一位移頻率為對應之掃描區段的位移頻率,或儲存此資訊給電腦可讀紀錄媒體判斷用(步驟S3150)。重複上述步驟直到判定所有掃描區段的位移頻率,或判定所有掃描區段位移頻率的資訊儲存於電腦可讀紀錄媒體(步驟S3160)。於此,儲存於電腦可讀紀錄媒體之資訊的例子包含有關於測試標的積體電路晶片之每一位移頻率之位移,或測試PASS”或“FAIL”之資訊。
該用以最小化測試時間之裝置將掃描區段群組成適當的掃描區段群(步驟S3170)。例如,當執行真正掃描測試之測試裝置具有於掃描測試間可支持的最大位移頻率之改變次數,位移頻率之最大數目,改變位移頻率之延遲時間等制約條件時,該用以最小化測試時間之裝置將一些掃描區段群組以允許掃描區段數目滿足該制約條件,以最小化總掃描測試時間。於此情況,一等於或小於包含於一掃描區段群組至少兩掃描區段之最佳位移頻率中之最小位移頻率可被判定為對應掃描區段群組之位移頻率。掃描區段群組步驟(步驟3170)於一些實施例中可省略。
例如,測試裝置可支持改變最大位移頻率次數是5時,當目前掃描區段數目超出5時,該用以最小化測試時間之裝置將掃描區段群組
成五組或較少群組,及一等於或小於包含於一掃描區段群組至少兩掃描區段之最佳位移頻率中之最小位移頻率可被判定為對應掃描區段群組之位移頻率。不同的方法可用於群組掃描區段成掃描區段群組,只要該掃描測試時間可以最小化,例如將有相同或相似最佳位移頻率之掃描區段群組在一起。
上述實施例主要以考慮增加位移頻率說明搜尋最佳位移頻率之流程。於一些實施例中,對應掃描區段的最佳位移頻率也可以用減少位移頻率方式搜尋。
例如,該用以最小化測試時間之裝置以一測試結果為“FAIL”之一起始位移頻率開始,且減少位移頻率,以判定該掃描區段是否可以減少之位移頻率被正常移入該掃描路徑。當以減少位移頻率,找出一位移頻率可將該掃描區段正常移入該掃描路徑,該用以最小化測試時間之裝置判定等於或小於允許一正常移入之最大位移頻率之一位移頻率為對應之掃描區段的位移頻率,或儲存此資訊給電腦可讀紀錄媒體判斷用。
於一些實施例中,因為積體電路晶片會受供應電壓,環境溫度等影響,此等環境狀況會反映於搜尋最佳位移頻率。也就是,用以最小化測試時間之裝置可於改變供應電壓,環境溫度等環境狀況,執行搜尋最佳位移頻率之流程。
例如,用以最小化測試時間之裝置因考慮晶片規格,如品質確認(QA)之品質相關政策,品質控制(QC)等,可增加或減少一電壓供應(步驟S3120)。用以最小化測試時間之裝置接著根據本發明之一些實施例,以不同供應電壓搜尋每一掃描區段之最佳位移頻率。當對一選取掃描區段於不同供應電壓找出複數個最佳位移頻率時,該用以最小化測試時間之裝
置判定等於或小於複數個位移頻率中之一最小位移頻率為對應之掃描區段的位移頻率(步驟S3150)。此外,當增加或減少溫度或改變其他條件,於重複搜尋最佳位移頻率流程後,該用以最小化測試時間之裝置判定等於或小於由上述方式取得之複數個位移頻率中之一最小位移頻率為對應之掃描區段的位移頻率。
通常稱為“電子測試(electrical testing)”或“測試(shmooing)”是用以確定一積體電路晶片之特徵,如頻率範圍等,同時改變積體電路晶片之供應電壓或環境溫度。“測試繪圖(shmoo plotting)”是指以電子測試(electrical testing)”或“測試(shmooing)”製作特徵資訊之圖表。該圖表稱為“測試圖表(shmoo plot)”。
圖31所示之每一步驟不僅可於該用以最小化測試時間之裝置中執行,而且可於如電腦之其他裝置執行。
圖32是根據本發明之一些實施例,於最小化晶片測試時間方法中判斷一正常移入之詳細程序流程圖。也就是,圖32可對應圖31所示之步驟S3100,但不限於圖31所示之一特定步驟,及可用於包含判定一掃描樣式或掃描區段是否移入一掃描路徑的步驟之其他不同實施例。
如圖12及32所示,用以最小化測試時間之裝置將位於標的掃描區段K前之輸入樣式K-1 1202移入,該標的掃描區段K目前被選用以判定掃描路徑1210的位移頻率(步驟S3200)。例如,位於包含標的掃描區段K的掃描樣式M前之輸入樣式K-1 1202具有(1)或(2)之例子。
(1)當輸入樣式K-1 1202是用以真實測試之一掃描樣式時。
用以最小化測試時間之裝置將掃描樣式M-1移入掃描路徑,及執行一掃描擷取。此優點可反映真實掃描測試操作。該掃描樣式M-1是包含標的掃描區段K的掃描樣式M前之一樣式。
(2)當掃描樣式M-1是一輸出樣式,其被預期為使用於真實掃描測試之掃描樣式M-1掃描測試結果。
用以最小化測試時間之裝置將掃描樣式M-1移入掃描路徑後,不須執行一掃描擷取。因此,對應掃描擷取之時間可節省,及因而搜尋最佳位移頻率之時間可減少。
用以最小化測試時間之裝置將輸入掃描樣式K-1 1202移入掃描路徑後,執行一掃描擷取(步驟S3200)。於一些實施例中,用以最小化測試時間之裝置於此步驟中沒執行此掃描擷取。因此,用以最小化測試時間之裝置以增加或減少之位移頻率移入該標的掃描區段K(步驟S3210)。如圖14所示,當該標的掃描區段K 1204是該掃描樣式M之一部分時,包含該標的掃描區段K之掃描樣式M被移入該掃描路徑。
於此情況,當標的掃描區段K或包含標的掃描區段K之掃描樣式M移入該掃描路徑,儲存於該掃描路徑之一位元樣式被同時移出(步驟S3210)。於此步驟移出之位元樣式並不限於上述例子,但可依該掃描電路態樣變化,該掃描電路對於該掃描路徑可同時執行移入及移出之操作。
例如,當標的掃描區段K 1024是掃描樣式M之一部分,其如圖14所示比該掃描路徑長度短時,將包含標的掃描區段K 1024之掃描樣式M移入該掃描路徑。於此時,非標的掃描區段K 1024之掃描樣式M一部分的位移頻率被設定成不限制該標的掃描區段K 1024之最佳位移頻率搜尋。於此,非標的掃描區段K 1024之掃描樣式M一部分的位移頻率被設定
成不與該標的掃描區段K 1024之位移頻率一同增加或減少,或被設定成和該掃描區段K 1024之位移頻率不同之位移頻率。或者,允許非標的掃描區段K 1024之掃描樣式M一部分的位移頻率正常輸入該掃描路徑,可被用作為非標的掃描區段K 1024之掃描樣式M一部分的位移頻率。
於本發明之一些實施例中,非標的掃描區段K 1024之掃描樣式M一部分的位移頻率被設定一預定位移頻率,如等於或小於名義位移頻率,或當此部分之最佳位移頻率已使用本發明之一些實施例判定,被設定等於或小於對應之最佳位移頻率。該預定位移頻率並不限於上述例子,但可為各種頻率,如調整名義位移頻率所取得的一頻率,該裝置以軟體設定之一頻率,使用者設定之一頻率,和依據實施例而定之頻率。
用以最小化測試時間之裝置將輸入樣式K-1之輸出樣式K-1與測試標的晶片之預期樣式K-1相比較(步驟S3220)。當比較結果指出該輸出樣式K-1與該預期樣式K-1不相符合(於步驟S3220是NO)時,用以最小化測試時間之裝置判定該標的掃描區段K 1204不能以目前之位移頻率正常移入該掃描路徑(步驟S3270)。用以最小化測試時間之裝置接著儲存此測試失敗(FAIL)資訊於一電腦可讀紀錄媒體中。
當比較結果指出該輸出樣式K-1與該預期樣式K-1相互符合(於步驟S3220是YES)時,用以最小化測試時間之裝置執行該標的掃描區段K 1204之掃描擷取(步驟S3230),及接著執行一移出操作(步驟S3240)。於一些實施例中,移出操作(步驟S3240)之執行可無掃描擷取操作(步驟S3230)。於此步驟(步驟S3240)移出之一位元樣式可依該掃描電路態樣變化,該掃描電路可執行對於該掃描路徑同時移入及移出之操作。
一於此步驟不刻意改變且不允許該標的掃描區段K 1024之位元樣式移出之一位移頻率被用於該輸入樣式K+1 1206移入,其和該標的掃描區段K 1024之輸出樣式移出時同時。也就是,正常執行的允許移出操作之一位移頻率(步驟S3240)被用於該輸入樣式K+1 1206。再者,允許該輸入樣式K+1 1206正常移入該掃描路徑之一位移頻率被用於該輸入樣式K+1 1206移入,其和該標的掃描區段K 1024之輸出樣式移出時同時。
用以最小化測試時間之裝置將該標的掃描區段K 1204之輸出樣式K與測試標的晶片之預期樣式K相比較(步驟S3250)。當比較結果指出該標的掃描區段K 1204之輸出樣式K與測試標的晶片之預期樣式K不相符合(於步驟S3250是NO)時,用以最小化測試時間之裝置判定該該標的掃描區段K 1204不能以目前之位移頻率正常移入該掃描路徑(步驟S3270)。用以最小化測試時間之裝置接著儲存此測試失敗(FAIL)資訊於一電腦可讀紀錄媒體中。
當比較結果指出該標的掃描區段K 1204之輸出樣式K與測試標的晶片之預期樣式K相互符合(於步驟S3250是YES)時,用以最小化測試時間之裝置判定該標的掃描區段K 1204可用目前位移頻率正常移入該掃描路徑(步驟S3260)。用以最小化測試時間之裝置接著儲存此測試通過(PASS)資訊於一電腦可讀紀錄媒體中。
於本發明之一些實施例中,該標的掃描區段K 1204之可用位移頻率或最佳位移頻率可由將包位於含該標的掃描區段K 1204的掃描樣式前之掃描樣式的輸出樣式和對應之預期樣式相比較,及將包位於含該標的掃描區段K 1204的掃描樣式的輸出樣式和對應之預期樣式相比較,而加以搜尋。
於本發明之一些實施例中,該測試裝置判斷該標的掃描區段K 1204及於該標的掃描區段K 1204之前的輸入樣式K-1 1202兩個的測試結果是否都是正常。當兩個的測試結果都是正常,該標的掃描區段K 1204之位移頻率被判定為可將該標的掃描區段K 1204正常移入該掃描路徑之一位移頻率。
圖33是根據本發明之一些實施例,最小化晶片測試時間另一程序之流程圖。
於不同晶圓上之積體電路晶片或於相晶圓上之積體電路晶片間,依據積體電路晶片製程之型態及條件,其可能有製程變異,可影響該積體電路晶片之操作頻率及電量消耗。特別地,深次微製程及低耗電製程對操作頻率及耗電量之影響更大。
如圖33所示,用以最小化測試時間之裝置執行一判定複數個晶片之最佳位移頻率的一步驟(步驟S3300)。該複數個晶片可能是於不同晶圓上之積體電路晶片或於相晶圓上之積體電路晶片,其為事先判定為非瑕疵晶片。
從複數個晶片中搜尋一掃描區段的複數個最佳位移頻率之一最小位移頻率,用以最小化測試時間之裝置判定等於或小於前述最小位移頻率之一位移頻率為對應掃描區段的最佳位移頻率,或儲存此資訊以被電腦可讀紀錄媒體使用(步驟S3310),及對每一掃描區段執行此流程。於此處,作為一例,儲存於電腦可讀紀錄媒體之資訊可以是每一位移頻率之位移,或測試通過“PASS”或失敗“FAIL”有關之資訊。
例如,假設第一測試標的晶片的標的掃描區段K之位移頻率是A及第二測試標的晶片的標的掃描區段K之位移頻率是B。如果位移
頻率A小於位移頻率B,則該測試裝置選擇等於或小於位移頻率A之一位移頻率為該標的掃描區段K之位移頻率,或儲存此資訊供電腦可讀紀錄媒體選擇。
圖33之步驟可由使用掃描樣式組及複數晶片的每一掃描區段所搜尋之位移頻率資訊,於不同裝置執行,如一電腦,或用以最小化測試時間之裝置。
圖34是根據本根據發明之一些實施例,用以最小化測試時間之裝置之方塊圖。
圖34所示之用以最小化測試時間之裝置可執行根據本發明前述之一些實施例的一方法,以對每一掃描區段最佳化其位移頻率,其中可運用圖12至33所示之方法的全部或一部分。
如圖34所示,用以最小化測試時間之裝置包含一條件設定單元3400,一樣式分割單元3405,一樣式輸入單元3410,一樣式比較單元3420,一頻率搜尋單元3430。條件設定單元3400包含一頻率增減單元3402,一電壓增減單元3404,及一溫度增減單元3406。
條件設定單元3400設定不同條件以對每一掃描區段搜尋最佳位移頻率。明確地說,頻率增減單元3402增加或減少位移頻率,電壓增減單元3404增加或減少供給該晶片之電壓,及溫度增減單元3406增加或減少測試環境之環境溫度。條件設定單元3400可設定供給電壓及環境溫度等,而增加或減少位移頻率。例如,條件設定單元3400可設於主電腦200/300,測試主單元210/310,測試頭220/320或探針350。
樣式分割單元3405將至少一掃描樣式分割成複數個掃描區段。例如,該樣式分割單元3405可設於主電腦200/300,測試主單元210/310,測試頭220/320或探針350。樣式分割單元3405以圖5至10所示之方法分割測試資料成至少一個掃描區段。
樣式輸入單元3410於條件設定單元3400設定之條件下,允許一掃描區段移入該測試標的晶片之掃描路徑。明確地說,樣式輸入單元3410允許標的掃描區段前後之掃描樣式或掃描區段依序移入該掃描路徑,該標的掃描區段用以搜尋最佳位移頻率。例如,該樣式輸入單元3410可設於主電腦200/300,測試主單元210/310,測試頭220/320或探針350。
樣式比較單元3420將由樣式輸入單元3410移入測試標的晶片之掃描區段測試結果的輸出樣式和預期樣式相比較。例如,樣式比較單元3420可設於主電腦200/300,測試主單元210/310,測試頭220/320或探針350。由條件設定單元3400增加或減少位移頻率,會有一時間或一頻率使得該輸出樣式與該預期樣式由原本相互相同變成相互不相同,或由原本相互不相同變成相互相同。
頻率搜尋單元3430儲存位移頻率資訊以於該輸出樣式與該預期樣式變成相互不相同前搜尋一位移頻率,或根據比較結果搜尋一位移頻率讓該輸出樣式與該預期樣式相互相配,於一電腦可讀紀錄媒體中儲存由該樣式比較單元3420比較結果的資訊。再者,頻率搜尋單元3430使用上述資訊判定一掃描區段之最佳位移頻率。
於本發明之一些實施例中,頻率搜尋單元3430儲存一位移頻率為該標的掃描區段之可用位移頻率之資訊於一電腦可讀紀錄媒體中,該儲存的位移頻率允許至少該標的掃描區段之輸出樣式與位於該標的掃描
區段前一掃描區段之輸出樣式分別與其預期樣式都相同。於圖34中,至少兩單元可整合為一單一模組,或每一單元可分成子單元。例如,頻率搜尋單元3430可設於主電腦200/300,測試主單元210/310,測試頭220/320或探針350。
上述用以最小化測試時間之裝置可使用硬體或軟體等以不同形式實施。再者,用以最小化測試時間之裝置之全部或一部分可實施於如圖2及3所示之測試系統中,或可使用如電腦之其他裝置實施。
圖35是根據本發明之一些實施例,用以同時搜尋或判定複數個掃描區段之最佳位移頻率方法之示意圖。
如圖35所示,用以最小化測試時間之裝置同時並列搜尋或判定複數個積體電路晶片不同掃描區段最佳位移頻率,可減少搜尋或判定最佳位移頻率所需之時間。
例如,位於測試系統之測試界面板3500之複數個積體電路晶片3510,3512,3514及3516之不同掃描區段最佳位移頻率可一起搜尋或判定。於本發明之一些實施例中,不同掃描區段最佳位移頻率可於複數個測試系統或複數個測試界面板同時搜尋或判定。
當以一個依序搜尋或判定所有掃描區段全部之最佳位移頻率需要h小時,以同時搜尋或判定n個掃描區段之最佳位移頻率則需要h/n小時。因此,用以測試積體電路晶片之數以千計的掃描樣式可分割成長度較短之掃描區段以具有於相同時間內最佳化位移頻率之功效。
圖36是根據本發明之一些實施例,其揭示重新排序掃描樣式以最小化測試時間之方法一例子之概略示圖。
如圖36所示,用於掃描測試之掃描樣式組的掃描樣式具有一預定順序。然而,該掃描樣式之順序並非固定,而是由分配一高位移頻率給每一掃描區段,可重新排序以減少總測試時間。例如,於原掃描樣式組的第二掃描樣式與第三掃描樣式可改變。當掃描樣式順序改變時,預期輸出掃描樣式也因而改變。
當移到掃描路徑之掃描樣式被重新排序時,由一掃描位移可改變一積體電路晶片電路之切換部分及切換操作的數目,其耗電量也因而改變,分配給一掃描樣式(或掃描區段)之位移頻率可被增加。基於此特性,於重新排序掃描樣式後,使用上述實施例搜尋或判定每一個掃描區段之最佳位移頻率,可進一步減少掃描測試總時間。
重新排序掃描樣式方法之一例子包含至少一次任意重新排序原掃描樣式組上的掃描樣式,使用上述一些實施例之方法之一判定每一重新排序掃描樣式之最佳化位移頻率,及判定提供最短測試時間之掃描樣式組為該掃描樣式之順序。再另一例子,不同之方法可用以重新排序掃描樣式,如將具有最小位元樣式差異的掃描樣式安排成相互相臨。
另一重新排序掃描樣式之例子包含,當於第k個掃描樣式之後(k是等於或大於1的整數),安排順序為判定之掃描樣式,使用上述說明之最佳位移頻率搜尋方法判定具有最高位移頻率之掃描樣式。
重新排序掃描樣式之全部或一部分操作可由包含於測試系統之如一程序之硬體,韌體,及軟體執行,或如一電腦之其他裝置來執行。
再者,當需要可觀時間以找到掃描樣式的最佳排序時,可限制掃描樣式的重新排序次數,或找到掃描樣式的最佳排序所需的時間。
此外,於本發明之一些實施例中,使用至少兩個測試資料之最佳位移頻率,可能減少積體電路晶片之壓力測試或老化測試的時間,或改善其測試品質。於本發明之一些實施例中,將最佳位移頻率用於至少兩個掃描樣式或掃描區段,可減少積體電路晶片之壓力測試或老化測試的時間,或改善其測試品質。運用本發明一些實施例最小化掃描測試時間之方法,可以找到每一掃描樣式或掃描區段之最佳位移頻率。
於此處,壓力測試或老化測試是藉由以一延長的時間操作該積體電路晶片以施予一壓力予該積體電路晶片,或施予一高電壓及高溫給該積體電路晶片以加速老化,以測試該積體電路晶片的品質,或找出具有早夭階段故障(early-life failure)的積體電路晶片。通常,老化測試是於超過100℃的環境溫度中執行數十小時的測試。此後,壓力測試及老化測試皆一起稱為”老化測試”。再者,可執行此老化測試的測試系統(裝置)則稱為”老化測試系統(裝置)”。
一積體電路晶片的老化很大是受熱的影響,而熱主要由積體電路晶片的電量消耗產生。
例如,下列公式2揭示當一積體電路晶片動作時為電力消耗之動態電量消耗之主要因素。
[公式2]P=α×C×f×V DD 2
α:有效因子
C:平均切換電容(於每一循環)
f電流頻率
VDD:供應電壓
於積體電路晶片之掃描模式中,該積體電路晶片之一有效電路可依據掃描樣式的位元樣式改變。通常,該積體電路晶片之掃描模式比功能模式,電路的更多部分會產生切換動作。因此,其平均切換電容C於掃描模式中增加,及其耗電量P也因而增加,如公式2所定義。
再者,隨著位移頻率增加,耗電量P也隨著該積體電路晶片之操作頻率成比例增加,如公式2所定義。
積體電路晶片增加的切換操作進而增加積體電路晶之耗電量,也因而增加積體電路晶之溫度。因此,可進一步加速積體電路晶片的老化。
於本發明之一些實施例中,老化測試裝置使用可分配給每一掃描區段或測試資料之最大位移頻率,以減少老化測試時間,因而於執行老化測試時,加速積體電路晶片的老化。
例如,於執行積體電路晶片的老化測試時,老化測試裝置可使用掃描區段或掃描樣式以加速老化測試。於此時,掃描測試可一起執行。
再者,於執行掃描位移操作時,如使用名義位移頻率則由掃描樣式活化之電路之一部分可被施予較高的壓力其他部分可被施予較低的壓力。然而,例如,藉由將測試資料之掃描樣式分割掃描區段,及使用分配予每一分割的掃描區段之最大位移頻率以執行老化測試,其可能減少一電路的特定部分之老化加速,或相對減慢該電路特定部分之老化。
例如,圖41揭示於情況4100及情況4110同一積體電路晶片的熱產生差異,其中情況4100是測試資料之掃描樣式的位移頻率未最佳化之情況4100和使用掃描區段分割掃描樣式,並產生均勻的高溫的情況。
也就是,以更平衡方式盡可能施予壓力給由掃描樣式之位元樣式活化之積體電路晶片的不同部分,不僅可以改善老化測試之速度,也可以改善老化測試之品質。使用測試該晶片時之測試資料之每一掃描區段的最大可使用位移頻率,可改善老化測試之速度及老化測試之品質。
圖37及38是根據本發明之一些實施例之老化測試系統之示意圖。
如圖37及38所示,老化測試系統包含一主電腦3700/3800,一測試主單元3710/3810,一測試頭3720/3820,一介面板3730/3830,一溫度控制單元3760/3870,一腔室3750/3860,及一探針3850。
置於該介面板3730/3830供測試之一被測裝置(DUT)3740/3840是一晶圓上的積體電路,一封裝的積體電路等。當被測裝置(DUT)3740/3840是一晶圓上的積體電路時,測試系統另包含一探針3850。
測試主單元3710/3810執行該掃描測試及老化測試之整體控制。例如,測試主單元3710/3810控制被測裝置(DUT)之測試設定,產生被測裝置(DUT)之一電子訊號,觀察及量測被測裝置(DUT)之測試結果訊號,由溫度控制單元控制該腔室的溫度之整體程序。測試主單元可實施於一電腦,其包含一中央處理單元(CPU),一記憶體,一硬碟,一使用者介面,及一相似物。於一些實施例中,測試主單元另包含一供電裝置,以供給被測裝置(DUT)電源。測試主單元另控制處理各種數位訊號之一數位訊號處理器(DSP)及測試頭。測試主單元包含專用硬體,如一控制器,一訊號產生器以施與一訊號給該被測裝置(DUT)3740/3840,軟體,韌體等。測試主單元也被稱為一”主架構”或”伺服器”。
主電腦3700/3800是一電腦,如一個人電腦,一工作站等,其允許使用者執行一測試程式,控制測試程序,及分析測試結果之裝置。通常,該主電腦包含一中央處理器(CPU),如記憶體及影碟之一儲存單元,一使用者介面及相似物。該主電腦經由有線或無線通訊連接至該測試主單元。該主電腦具有專用硬體,軟體,韌體等,以控制該測試。於示圖中,雖然該主電腦及該測試主單元被分開圖示,但該主電腦及該測試主單元亦可以整合於一起的方式提供。
該主電腦或該測試主單元之記憶體包含一DRAM,一SRAM,一快閃記憶體等。記憶體儲存執行被測裝置(DUT)測試之程式及資料。
用以執行該老化測試之該測試主單元或該主電腦之軟體或韌體包含一裝置驅動程式,一作業系統(OS)程式,及一執行被測裝置(DUT)測試之程式,這些程式儲存於一記憶體,以設定被測裝置(DUT)測試,產生被測裝置(DUT)測試之訊號,及由CPU執行之觀察及量測被測裝置(DUT)測試之結果訊號之指示碼形式儲存。因此,掃描樣式可由這些程式被給予該被測裝置(DUT)。再者,被測裝置(DUT)測試之資料分析及報告及其結果可自動由這些程式取得。使用於這些程式的語言包含C,C++,java等。這些程式可儲存於一儲存裝置,如一硬碟,一磁帶,一快閃記憶體,及相似物。
該主電腦及該測試主單元之CPU是一處理器,其執行軟體之指示碼,或儲存於記憶體的程式。例如,當一使用者指令經由鍵盤或滑鼠等使用者介面輸入,CPU分析使用者指令,及於執行對應使用者指令之
軟體或程式操作後,經由如揚聲器,印表機,監視器等之使用者介面,提供一操作結果給使用者。
該主電腦或該測試主單元之使用者介面允許一使用者及一裝置互相傳送及接收資訊。例如,使用者介面包含一如鍵盤,觸控銀幕或滑鼠等之輸入介面,及如揚聲器,印表機,監視器等之輸出介面。
測試頭3720/3820包含一通道或相似物,用以於測試主單元與待測裝置(DUT)間傳送電子訊號。介面板置放於測試頭上方。通常,用於測試封裝積體電路晶片之介面板被稱為「載板(load bord)」,用於測試晶圓上之積體電路晶片之介面板被稱為「探針卡(probe card)」。
腔室3750/3860提供一餘量用於老化該待測裝置(DUT)。腔室經由溫度控制單元控制置於腔室內之該待測裝置(DUT)的溫度。溫度控制單元可包含於該主電腦或該測試主單元內。該主電腦或該測試主單元可控制該待測裝置(DUT)的老化測試時間或其供給電壓。
圖37及38所示之老化測試系統(老化測試裝置)僅為幫助了解本發明之例子。該系統可根據實施例以不同方式加以設計及變更,如整合一些元件,或分割一元件成複數個元件。
再者,圖37及38所示之例子可於同時執行該老化測試及該掃描測試,或一次只執行該老化測試及該掃描測試之一測試。
於本發明一些實施例中,老化測試裝置如前所述,可使用每一個掃描區段之最佳位移頻率進行老化測試。於本發明至少一實施例中,於此時,還可以進行判斷晶片正常與否的測試。
如上述,於本發明之一些實施例中,使用每一掃描區段之最佳位移頻率,該老化測試系統可一起執行該老化測試及該掃描測試。通常,積體電路晶片於掃描模式時比功能模式時,於電路部分產生較多之切換操作,因此加速掃描測試之老化可節省老化測試的時間。再者,使用分配給每一分割掃描區段之最大位移頻率進行老化測試,不僅可更加節省老化測試時間,而且可減少基於一特定掃描樣式,加速電路上之一特定部分之老化的現象。也就是,以一非常平衡之方式施予最多壓力予該積體電路晶片,可以改善老化測試的品質。隨著使用最佳位移頻率之一掃描樣式的長度減少,上述影響隨之增加。
此外,本發明並不限於同時執行該老化測試及該掃描測試之模式。於一些實施例中,於老化測試時,僅包含位移掃描樣式之步驟,但不進行該掃描測試。
圖39是根據本發明至少一實施例之一示意圖,其揭示於老化測試時,使用一常數位移頻率對積體電路晶片上之溫度影響。
如圖39所示,複數個掃描樣式以相同之位移頻率(如,25MHz)位移入一積體電路晶片3900上之一掃描路徑。由每一掃描樣式活化之積體電路晶片之部分可相互不同。例如,由掃描樣式1 3930活化之積體電路晶片之部分3910與由掃描樣式2 3932活化之積體電路晶片之部分3920可相互不同。
再者,根據位移頻率,掃描樣式等,由每一掃描樣式啟動之積體電路晶片之部分,可能具有不同切換操作次數而產生不同的熱。例如,由掃描樣式1啟動之積體電路晶片之部分3910的溫度是a℃,由掃描樣式2啟動之積體電路晶片之部分3920的溫度是b℃。
藉由產生更多的壓力或熱於積體電路晶片,可增加一位移頻率以加速積體電路晶片的老化。然而,過度增加位移頻率可能導致過度錯誤(over kill),其將正常晶片判斷成有瑕疵。相反,降低位移頻率可能不會有效加速積體電路晶片的老化,因為於積體電路晶片上產生不充足的壓力與熱。
圖40是圖示根據本發明至少一實施例之一示意圖,其揭示於老化測試時,使用一最佳位移頻率對積體電路晶片上之溫度影響。圖39及40之例子是使用相同的積體電路晶片和相同的掃描樣式。
如圖40所示,每一掃描樣式使用其最佳位移頻率以移入該掃描樣式可加速積體電路晶片的老化。
通常,老化測試是於超過100℃的環境溫度中執行數十小時的測試,因此老化測試時間與其使用之電會增加晶片測試成本。也就是,一積體電路晶片測試服務公司是根據所使用的測試裝置數目及測試時間開帳單,因此。晶片測試時間是決定晶片測試成本之一重要因素。再者,於老化測試時,腔室內超過100℃的高溫通常是基於電力而形成,其因而需要可觀的成本,及因此其於測試服務公司及晶片測試成本皆有極大影響。
因此,對於節省測試成本,減少老化測試時間和基於老化測試消耗的電力是十分重要的。此外,縮短老化測試時間對於產品進入市場的時間也十分重要。
例如,當如圖39所示之掃描樣式1 3930之最大可用位移頻率是25MHz,及掃描樣式2 3932之位移頻率可增至更多時,則如圖40所示,藉由最佳化掃描樣式24032之位移頻率並增加,如圖39所示,可用高於溫度b℃之溫度c℃加速積體電路晶片的老化。
為方便說明,雖然圖39及40描述了以分配至掃描樣式之位移頻率將掃描樣式移入掃描路徑之一情況,但,如圖5至10所示,該掃描樣式可至少分成兩個掃描區段,該等掃描區段可用互不相同之位移頻率移至該掃描路徑。
此外,例如,測試標的晶片之連接溫度(junction temperature)須維持於一預定溫度範圍,以使該老化測試的時間及品質可預期。例如,測試標的裝置或積體電路晶片之連接溫度(junction temperature)可由公式3決定。
[公式3]Tj=Ta+P+θja
於公式3中,Tj是測試標的裝置或積體電路晶片之連接溫度,Ta是環境溫度,P是測試標的裝置或晶片之耗電量,θja是測試標的裝置或積體電路晶片之熱阻。
從公式3中,Tj的可控制性(controllability)視Ta及P的可控制性而定。例如,使用如腔室或熱夾盤(thermal chuck)之一裝置以控制測試標的裝置或積體電路晶片之外部環境溫度,可使Ta可適當地加以控制。因此,於晶片老化測試時,一控制耗電量P的方法是需要的。例如,於晶片老化測試時之制耗電量變動(fluctuation)對晶片之連接溫度(junction temperature)Tj會有很大影響,同時影響該晶片之可靠性篩選程序(reliability screening process)。
老化測試所需的時間可依公式3之連接溫度Tj平均值(median value)估算。例如,連接溫度可由圖42所示之耗電量Pburn-in的一值
決定。Pburn-in可採測試資料之平均耗電量之平均值(median value),或根據品質確認(QA)或品質控制(QC),執行之老化測試之耗電量預估值。
圖42是圖示於測試資料耗電量調整前,於老化測試期間所產生之一耗電量例子的曲線圖。圖43是圖示於測試資料耗電量調整後,於老化測試期間所產生之一耗電量曲線圖。
如圖42所示,當耗電量高於Pburn-in或反映一餘量之Pmargin-high時,一過度老化(over burn-in)狀態可能發生,其可能影響該晶片良率。
另一方面,當耗電量低於Pburn-in或反映一餘量之Pmargin-high時,一不足老化(under burn-in)狀態可能發生,其可能產生讓有瑕疵晶片通過測試程序之情況。
因此,為達到精確預估老化測試之時間與品質,測試資料之耗電量需要接近如圖43所示之Pburn-in。也就是,藉限制測試資料之耗電量的變動,用以最小化積體電路晶片所產生之熱的變化。
於老化測試,基於最佳化耗電量,以減少老化測試時間,或使老化測試時間可預測,以改善老化測試的品質之例子說明如下。
步驟1
將測試資料分成至少兩件子測試資料。例如,相對於圖43所示之時間軸,測試資料可分成三件子測試資料。
步驟2
搜尋或判定用以輸入每一子資料至該晶片之一位移頻率,其可最小化在步驟1所分割之至少兩子資料間之耗電量的差異。另一方式,搜
尋或判定用以輸入每一子資料至該晶片之一位移頻率,其讓每一子資料間之耗電量接近或等於老化測試的預估耗電量(或預估電流消耗)。例如,藉由調整圖43所示每一子資料之位移頻率,其可能使基於測試資料之電量消耗接近Pburn-in。
步驟3
使用在步驟2搜尋或決定之每一子資料之位移頻率執行老化測試。例如,老化測試以圖43所示,每一資料區段之耗電量變成接近Pburn-in之方式執行。
於步驟1至3之每件子資料是掃描區段或功能測試資料(用以測試晶片功能之資料)。
根據一些實施例,步驟1至3可於相同之裝置中執行,或於相互不同之裝置中執行,例如,於測試裝置,或如一電腦之裝置。
於一些實施例中,於老化測試,基於最佳化耗電量,俾以減少老化測試時間,使老化測試時間可預測,以改善老化測試的品質之例子說明如下。
步驟1
將測試資料分成至少兩件子測試資料。
步驟2
搜尋或判定每一件子資料之最大位移頻率,其讓正常晶片測試之輸出為正常。例如,最大位移頻率是用以最小化測試時間之最佳化位移頻率,或於最佳化位移頻率上反映餘量值所獲得的一位移頻率。
步驟3
使用步驟2搜尋或判定每一件子資料之最大位移頻率,量測或預估耗電量或電流消耗。
步驟4
搜尋一件子資料,其可導致在步驟2量測或預估的耗電量或電流消耗大於在最佳老化測試的耗電量或電流消耗參考值。例如,在最佳老化測試的耗電量或電流消耗參考值是圖42或43之Pburn-in或Pmargin-high。
步驟5
降低用步驟4所搜尋的子資料位移頻率,調整子資料的耗電量成等於或接近老化測試的耗電量或電流消耗。例如,用於最佳老化測試的耗電量或電流消耗參考值可以是圖42或43之Pburn-in,Pmargin-high或Pmargin-low。另一方面,在步驟3所量測或預估之每一個子資料之耗電量或電流消耗存在可能小於在最佳老化測試的耗電量或電流消耗參考值的情况。然而,於此情況,如果增加該對應子資料的位移頻率,使該子資料的耗電量等於或接近老化測試的耗電量或電流消耗,一測試失敗可能發生,此情況須注意。
步驟6
使用在步驟5調整之每一件子資料位移頻率執行一老化測試。
於本發明之一些實施例中,步驟1至6的每件子資料是掃描區段或功能測試資料。
根據一些實施例,步驟1至6可於相同之裝置中執行,或於相互不同之裝置中執行,例如,於測試裝置,或如一電腦之裝置。
於一些實施例中,搜尋或判定所對應想要耗電量之位移頻率的方法如下。用一預定位移頻率量測或預估一件子資料之耗電量值。而且,使用如公式2的耗電量與位移頻率間之關係可計算在α×C×Vdd 2之一常數值。而且將該常數值及該想要耗電量帶入公式2可計算欲搜尋之位移頻率。
於本發明之一些實施例中,藉由增加或減少該位移頻率,量測或預估該件子資料之耗電量,可搜尋或判定該位移頻率。
於本發明之一些實施例中,用一用於量測或預估耗電量或電流消耗之裝置或軟體,可量測或預估該件子資料之耗電量。
圖44是根據本發明之一些實施例,為最小化老化測試時間,搜尋每一區段之一最佳位移頻率的程序流程圖。
如圖44所示,用以最小化老化測試時間之裝置分割至少一掃描樣式成至少兩掃描區段(步驟S4400)。圖5至10之方法可用於分割至少一掃描樣式。用以最小化測試時間之裝置分配複數個位移頻率分別給每一掃描區段(步驟S4410)。於此,分別給每一掃描區段之位移頻率是小於當該掃描路徑之輸出樣式變成與所對應預期樣式不相同之一位移頻率。而且用以最小化老化測試時間之裝置利用分配給每一個掃描區段之位移頻率位移對應掃描區段,同時執行一老化測試(步驟S4420)。
根據一些實施例,分割掃描樣式成掃描區段(步驟S4400),分配位移頻率給掃描區段(步驟S4410),執行該老化測試(步驟S4420)等可於同一裝置或相互不同之裝置中執行。
用以最小化老化測試時間之裝置以增加或減少位移頻率,於該輸出樣式與該預期樣式相互開始變成不同前,搜尋一位移頻率,或判斷
所搜尋的位移頻率為可分配給所對應掃描區段之最大位移頻率。於一些實施例,由改變位移頻率大小,每一掃描區段被分配一小於所搜尋之最大位移頻率的位移頻率。
以上說明之不同實施例可用以搜尋每一掃描區段之最佳位移頻率,以執行根據本發明之一些實施例的老化測試。例如,用以最小化老化測試時間之裝置執行圖12至33所示至少一方法為每一掃描區段搜尋其最佳位移頻率。再者,圖36所示掃描樣式組合之重新排序方法可用於減少老化測試時間與改善老化測試品質。
圖45是根據本發明一些實施例的用以最小化老化測試時間之裝置的方塊圖。
於圖45所示,該用以最小化老化測試時間之裝置包含一腔室控制單元4500,一位移單元4510,及一位移頻率搜尋單元4520。
該腔室控制單元4500控制施與測試標的積體電路晶片之電壓,溫度,老化測試時間等。
於老化測試時,該位移頻率搜尋單元4520搜尋每一掃描區段之最佳位移頻率,以將掃描區段位移入該積體電路晶片之掃描路徑。例如,該位移頻率搜尋單元4520根據上述之至少一實施例,判斷每一掃描區段之最佳位移頻率。於一些實施例中,判斷最佳位移頻率之程序不僅於該用以最小化老化測試時間之裝置中執行,而且判斷最佳位移頻率之程序於一分開裝置執行,所判斷的位移頻率還可被該位移頻率搜尋單元4520所使用。
該位移單元4510使用由該位移頻率搜尋單元4520所搜尋之最佳位移頻率將掃描區段位移入該掃描路徑,而老化測試由腔室控制單元4500執行,從而最小化老化測試時間。
於本發明之一些實施例中,可使用每一掃描區段的最佳位移頻率僅執行老化測試,或執行測試晶片是否正常之晶片測試與老化測試。用以最小化老化測試時間之裝置可與老化測試一起執行上述之一掃描測試。
用以最小化老化測試時間之裝置可實施於如圖37及38所示老化測試系統之一部份。於本發明之一些實施例中,可使用每一掃描區段的最佳位移頻率僅執行老化測試,或可連同測試晶片與老化測試一起執行。例如,可使用每一掃描區段被分配給最佳位移頻率之一掃描樣式組僅執行老化測試,,或老化測試及掃描測試兩者一起執行。
用以最小化老化測試時間之裝置可使用於如圖36所示重新排序掃描樣式之方法,重新安排移入該掃描路徑之掃描樣式順序。於此情況,由重新排序於該掃描樣式組之樣式位置之掃描樣式位移,於積體電路晶片上產生電路切換之一部分及切換操作次數可能與切換前不同,由此,耗電量等之電路操作特性,亦會產生改變。由此,可分配於該掃描樣式(或掃描區段)之位移頻率亦會增加。因此,藉由使用此等特性,整體老化測試時間可以進一步減少,或於重新排序後之掃描樣式使用上述至少一實施例搜尋或判定每一掃描區段之最佳位移頻率,老化測試品質可以進一步改善。於一些實施例,重新排序掃描樣式不僅可於該用以最小化老化測試時間之裝置中執行,而可於如一電腦之分開裝置中執行,從而該可用於該用以最小化老化測試時間之裝置。
圖46顯示使用一微控制單元(MCU)處理器積體電路晶片及一該積體電路晶片之測試樣式之一實驗結果表格,其中用於判斷位移頻率之標的掃描區段與一掃描樣式具有一對一之相對應關係。此圖表顯示每一掃描樣式搜尋之位移頻率,其使用電源限制(power-limit-based)方法於一範圍內搜尋最可用位移頻率,該掃描樣式耗電量不超過該積體電路晶片之容許耗電量;及根據前述本發明一些實施例之一位移頻率改變(shift-frequency-scaling-based)方法。
參照圖46,使用位移頻率改變(shift-frequency-scaling-based)方法的最佳化運用了圖12所示之方法。此外,參照圖46,電源限制(power-limit-based)方法位與移頻率改變(shift-frequency-scaling-based)方法間之最大位移率差是由電路結構及特性,不同的物理條件與環境等造成,其可影響位移頻率及實際積體電路晶片之積體電路晶片耗電量,及測試該積體電路晶片之實際測試環境。
圖46所示之耗電量限制是當該積體電路晶片以80MHz於功能模式操作時之平均耗電量,約為285mW。
通常,功能頻率限制與會損傷積體電路晶片之頻率限制或掃描頻率限制可能會相互不同。例如,因為電路操作特性,耗電量,訊號干擾效果,臨界時間路徑等可能於掃描測試模式及功能模式而不同,頻率限制可能會因此變化。再者,其可受限於不同因素,包含電壓差異,或供給電路上不同位置之電源等。
圖46所示表格第一列是掃描樣式數目,及第二列是該積體電路晶片漏電電流之耗電量。第三列是使用一25MHz的名義位移頻率之一掃描位移之動態耗電量。第四列是第二列與第三列之總和,其是當使用一
25MHz的名義位移頻率,每一掃描樣式之總耗電量。第五列是低於285mW耗電量限制,每一掃描樣式之最大可用位移頻率。
第六列是每一掃描樣式使用第五列位移頻率,測試微控制單元(MCU)積體電路晶片之測試結果,包含測試通過及失敗。
第七列是使用根據前述本發明一些實施例之一位移頻率改變(shift-frequency-scaling-based)方法搜尋之最大位移率,其全部皆允許測試通過。
第八列顯示了基於電源限制(power-limit-based)方法的結果之第五列相對於基於位移頻率改變(shift-frequency-scaling-based)方法的結果之第七列之增減率(%)。
如圖46所示,除第六掃描樣式以電源限制(power-limit-based)方法掃描測試失敗外,以位移頻率改變(shift-frequency-scaling-based)方法搜尋之位移頻率比平均值多約30%或更多。此情況可能有不同理由,如依一移入位元樣式錯誤臨界路徑發生,或對應至一於測試結果無影響之步重要位元等。
如圖46所示第六掃描樣式之情況,甚至當使用一位移頻率,其允許該掃描樣式之耗電量不超過該積體電路晶片之容許耗電量,可能發生該積體電路晶片無法正常測試的情況。這是因為位移頻率限制會被各種因素影響,包含因為積體電路晶片之電路結構之臨界時間路徑的訊號延遲時間,訊號干擾,供至電路不同位置之電壓或電源差異,電路之物理特性等,及耗電量。其可進一步被測試環境及條件影響,如測試標的積體電路晶片的環境溫度,晶片與晶片測試裝置的連接狀態等。
此外,藉由改變位移頻率搜尋最佳位移頻率之程序中,甚至當該掃描區段或掃描樣式以一被移入但非故意改變之位元值載入該掃描路徑時,擷取操作後之測試結果視該積體電路晶片的電路結構,可能呈現為一掃描路徑之正常位元樣式。
因此,藉由將積體電路晶片的主要輸出埠之輸出結果與一載入該掃描區段至該掃描路徑前之預期結果相比較,檢查該主要輸出結果是否通過,可搜尋一較精確的最佳位移頻率。由位移頻率的增加或減少及執行掃描擷取操作,載入該掃描區段至該掃描路徑。
圖47是顯示於積體電路晶片測試時之測試失敗洞之一示圖。
為測試一積體電路晶片,要設定該測試裝置,測試資料,或測試程式。於此時,在一正常位移頻率範圍內,一正常積體電路晶片應該是被判斷為無錯誤,但一非正常測試失敗可能發生。此非正常測試失敗(4700)被稱為一“測試失敗洞(test fail hole)”,一“測試頻率失敗洞(test frequency fail hole)”,或一“測試週期失敗洞(test period fail hole)”。
圖47揭示一情況,當測試一積體電路晶片時,一測試頻率失敗4700發生在30MHz。該測試失敗洞可能導致積體電路晶片大量製造測試不穩定及影響良率,及因此該情況應該移除。
圖48是根據本發明一些實施例解決該測試失敗洞問題之方法示圖。
圖48顯示解決該測試失敗洞問題之一例子,其將導致測試失敗洞或影響產生測試失敗洞之特定子資料之測試使之失能。
例如,可使用一方法,其不允許導致測試失敗洞之子資料的積體電路晶片測試輸出資料與其預期資料相比較。此方法稱為測試資料之“測試資料遮蔽(test data masking)”或“預期結果遮蔽(expected result masking)”。於下列實施例,該子資料是指掃描樣式,掃描區段或功能測試資料。將測試資料遮蔽施與一掃描樣式稱為掃描測試之”掃描樣式遮蔽”或”預期結果遮蔽”。另一例子,可移除或不使用該影響產生測試失敗洞之子資料。
於圖48所示之情況,基於遮蔽或被移除,在30MHz導致失敗洞之第二子資料可被搜尋。然而,遮蔽或移除子資料可能降低測試標的積體電路晶片之錯誤涵蓋範圍。再者,遮蔽或移除子資料可能導致一錯誤積體電路晶片被測試成無錯誤。此最後會導致一場逃避問題(field escape problem),其讓一錯誤積體電路晶片釋放至一場中。
因此,於用於解決測試失敗洞問題的方法之一些實施例中,搜尋導致測試失敗洞或影響產生測試失敗洞(fail hole)之子資料及對應失敗洞之位移頻率,並使用一位移頻率,其於導致測試失敗洞或影響產生測試失敗洞之子資料之特定子資料下,不會導致測試失敗洞。
圖49是根據本發明一些實施例解決該測試失敗洞問題之程序示圖。
如圖49所示,該測試裝置選擇構成測試資料之子資料(步驟S4900)。此子資料可能是掃描樣式或掃描區段。該測試裝置對該子資料增加或減少位移頻率執行一積體電路晶片測試(步驟S4910),及根據積體電路晶片測試結果,搜尋被選子資料之可用頻率或失敗洞(步驟S4920)。該測
試裝置接著使用對所選擇之子資料不造成失敗洞之頻率測試該積體電路晶片(步驟S4930)。
當搜尋掃描樣式或掃描區段之失敗洞時,可使用上述改變位移頻率以搜尋掃描樣式或掃描區段之可用頻率之各種搜尋方法。
圖50是根據本發明一些實施例解決該測試失敗洞問題之另一程序示圖。
參考圖50,一等於或小於25MHz之頻率可用於第二子資料,其造成失敗洞5000。此子資料可能是掃描樣式,掃描區段或功能測試資料。
當第一子資料,第二子資料及第三子資料分別是第一掃描樣式,第二掃描樣式及第三掃描樣式時,第一掃描樣式,第二掃描樣式及第三掃描樣式依序位移入該測試標的積體電路晶片之掃描路徑。使用上述改變位移頻率以搜尋掃描樣式或掃描區段之可用頻率之各種搜尋方法,可用以搜尋第二掃描樣式之失敗洞5000,或包含於第二掃描樣式之一掃描區段。
例如,以增加或減少第二掃描樣式之位移頻率,使用允許第一掃描樣式或第三掃描樣式被正常移入掃描路徑之一位移頻率,移入於第二掃描樣式前後之第一掃描樣式或第三掃描樣式。使用一掃描測試結果,該失敗洞及可用位移頻率範圍可用以為第二掃描樣式搜尋。當搜尋失敗洞及可用位移頻率以為第二掃描樣式搜尋時,第一掃描樣式或第三掃描樣式所使用之位移頻率可為相同或彼此不同。
於搜尋失敗洞及可用位移頻率以為第二掃描樣式搜尋之掃描測試程序中,第二掃描樣式之輸出樣式,及於第二掃描樣式前之第一掃
描樣式之輸出樣式皆可和所對應之預期樣式相比較。於此情況,當第一掃描樣式與第二掃描樣式兩者之測試結果皆為正常,目前的位移頻率是該第二掃描樣式之可用位移頻率。於另一例子,於第二掃描樣式後之第三掃描樣式之輸出樣式可和所對應之預期樣式相比較。於此情況,當第二掃描樣式與第三掃描樣式兩者之測試結果皆為正常,目前的位移頻率是該第二掃描樣式之可用位移頻率。
於一些情況,導致測試失敗洞或影響產生測試失敗洞之掃描樣式可能是第一掃描樣式或第三掃描樣式,其位於第二掃描樣式之前後。其是因為,當第一掃描樣式之輸出樣式移出時,第二掃描樣式之位移頻率可影響掃描路徑上之一位元值。再者,當第二描樣式之測試結果移出時,掃描路徑之一位元值可被將第三掃描樣式移入掃描路徑之位移頻率所影響。因此,當由特定掃描樣式或掃描區段測試結果存在一測試失敗洞,其應檢查位於標的掃描樣式或掃描區段前後之一掃描樣式或掃描區段是否影響該失敗洞產生。
例如,使用一頻率其允許第二掃描樣式正常移入第二掃描樣式之掃描路徑,藉由增加第三掃描樣式之位移頻率,檢查其測試結果。如果第二掃描樣式之測試結果是失敗,及第三掃描樣式之測試結果是通過,使第二掃描樣式無失敗之測試結果之一位移頻率應該被使用成第三掃描樣式之位移頻率。此可免除出現於第二掃描樣式測試結果的失敗洞對第三掃描樣式之影響。
於本發明之一些實施例中,掃描測試是以增加或減少位移頻率而執行。當於執行該掃描測試時,一特定位移頻率產生一失敗洞,搜尋一特定掃描樣式,其使用對應至該失敗洞之位移頻率的掃描測試之結果會
是失敗的。接著,使用低於該特定掃描樣式產生失敗洞之位移頻率及位於該特定掃描樣式前後之掃描樣式執行晶片測試。也就是,一低於會產生失敗洞位移頻率之產生失敗洞之位移頻率可用於會影響產生失敗洞之鄰近掃描樣式。
於本發明之一些實施例中,使用改變位移頻率大小以搜尋會產生失敗洞之掃描區段或掃描樣式,及其相對應之位移頻率。考慮製造程序及測試程序,於位移頻率餘量範圍內一不導致生失敗洞之位移頻率可用於該掃描區段或掃描樣式。例如,一高於對應失敗洞之位移頻率,且於餘量範圍內不會導致生失敗洞之位移頻率,可用於該掃描區段或掃描樣式。另一例子,一高於對應失敗洞之位移頻率,且於餘量範圍內不會導致生失敗洞之位移頻率,可用於對應失敗洞之掃描區段或掃描樣式之鄰近掃描區段或掃描樣式。
如上所述,於晶片大量製造測試中使用對特定子資料不造成失敗洞之頻率或頻率週期可解決因遮蔽或移除子資料而使晶片錯誤涵蓋率降低的問題。再者,也可免除場逃避問題(field escape problem),其讓一錯誤積體電路晶片釋放至一場中。不造成失敗洞之頻率或頻率週期範圍可於晶片測試中搜尋及使用。
圖51是根據本發明一些實施例,減少測試時間及改善良率之位移頻率搜尋方法的示圖。
如圖51所示之例子,假設至少兩掃描區段以相互不同之位移頻率移入一芯片之掃描路徑進行位移以測試該晶片。於此時,一餘量變大之位移頻率使用於至少兩掃描區段中,試結果皆為通過且以特定位移頻
率5100為基準具有較小測試通過餘量之第一掃描區段,及一餘量變小之位移頻率使用於具有較大測試通過餘量之第二掃描區段。
一掃描區段之位移頻率餘量可用該掃描區段之測試通過或失敗資訊加以搜尋或判定。例如,可搜尋或判定一餘量,其指出作為一掃描區段測試通過或測試失敗之界線之一頻率或一頻率周期與特定位移頻率5100之間之間隔。使用基於餘量之位移頻率之掃描區段及於其前之掃描區段,兩者之測試結果應皆為正常。
當增加具有較小位移頻率餘量之掃描區段之餘量時,於測試晶片,晶片生產程序,測試環境等對其影響較少。此因而可改善晶片的良率。
此外,當減小具有較大位移頻率餘量之掃描區段之餘量時,可節省測試時間。
因此,藉由分別考慮每一掃描區段之頻率餘量,可一起獲得改善晶片的良率及減少測試時間,其是交易關係。
如圖51所示,第一掃描區段及第二掃描區段兩者在20MHz之名義位移頻率5100皆為呈現測試通過。參考20MHz,當第一掃描區段之位移頻率餘量小於一預定參考值時,測試裝置增加第一掃描區段之位移頻率餘量,以改善晶片大量製造測試之良率。也就是,第一掃描區段之位移頻率被改變至一小於20MHz的位移頻率,以允許第一掃描區段之位移頻率符合該參考值。另一方面,參考20MHz,當第二掃描區段之位移頻率餘量大於一預定參考值時,測試裝置減少第二掃描區段之位移頻率或位移頻率週期餘量,以減少晶片大量製造測試時間。也就是,第二掃描區段之位
移頻率被改變至一大於20MHz的位移頻率,以允許第二掃描區段之位移頻率符合該參考值。
當以搜尋至少兩掃描區段之最佳位移頻率以執行一晶片測試,可能會導致兩相鄰掃描區段間邊界位元之位移時序問題。
當於一掃描區段51之最後位元及一掃描區段52之第一位元間之一間隔依序移入一掃描路徑,其緊接該掃描區段S1是CP_界線(邊界位元之計時器週期),當找到掃描區段S2之最大位移頻率時,掃描區段S1與掃描區段S2間之一第一CP_界線可能不同於判斷該最大位移頻率之掃描區段S1與掃描區段S2間之一第二CP_界線。例如,當第二CP_界線小於第一CP_界線,使用掃描區段S1與掃描區段S2之掃描測試可能判定一非瑕疵晶片成一瑕疵晶片。
於此情況,下列方法可用以解決兩相鄰掃描區段間邊界位元之位移時序問題。
(1)當掃描區段S1之最大位移頻率已判定,於搜尋接著掃描區段S1後移入之掃描區段S2之最大位移頻率時,使用已判定之掃描區段S1的最大位移頻率於掃描區段S1。
(2)執行掃描位元之位移操作之計時器的一邊緣設成一位置對應至一計時器定義週期(clock definition period,CDP)之邊界,或一位置接近該邊界。計時器定義週期(CDP)是一時間間隔以定義計時器形狀,其定義一計時器上升時間與下降時間。計時器定義週期(CDP)可於該測試裝置或測試資料中設定。
(3)於掃描區段S1之最後一位元與描區段S2之第一位元間調整一位移頻率周期或位移時間間隔,其中該描區段S2是於該掃描區段S1後依序移入至該掃描路徑。例如,當使用具有掃描區段S1與描區段S2之掃描樣式執行一掃描測試時,可利用一允許一非瑕疵片被測試成一無錯誤之位移頻率週期進行調整。該位移頻率周期或位移時間間隔可界定於測試資料或測試裝置。例如,當產生將最佳位移頻率分配給掃描區段S1與描區段S2之新測試資料時,掃描區段S1之最後一位元與描區段S2之第一位元之時序資訊也新產生,其中分配一週期可允許一非瑕疵片被測試成一無錯誤。例如,該名義位移頻率週期可以使用成該時序資訊。
(4)當因為兩相鄰掃描區段間邊界位元之位移時序問題,而使一非瑕疵晶片判定成一瑕疵晶片時,增加包含該邊界位元之掃描樣式的掃描區段之位移頻率週期。
掃描位移頻率資訊或掃描區段資訊包含使用本發明所獲得之掃描位移頻率資訊可儲存於一電腦可讀紀錄媒體,如電腦可讀程式碼或資料。程式碼之一例子包含電腦可執行程式或軟體。程式碼或資料可於一掃描測試裝置,一老化測試裝置及一電腦等中執行及使用。電腦可讀紀錄媒體可為任何資料儲存裝置,其可儲存電腦系統可讀之資料。電腦可讀紀錄媒體例子包含ROM,RAM,CD-ROM,磁帶,軟碟,光資料儲存裝置。
電腦可讀紀錄媒體可分布於可連置電腦系統之網路,因而電腦可讀碼可以以分布方式儲存及執行。於本發明之一些實施例中,電腦程式碼或資料可儲存於一伺服器,及一客戶端電腦可連接至該伺服器以使用或下載該程式碼或資料。例如,程式碼可於一伺服器電腦或客戶端電腦執行。
本揭示內容並不限制於此些實施例,但熟知本揭示內容技藝者於其標的物,精神及範圍內所做之各種變及變更,應視為如下所附之申請專利範圍內。因此,此揭示的實施例應以說明的觀點而非限制之觀點待之。因而,熟知本揭示內容技藝者將了解本發明之保護範圍並不被上述明確說明之實施例所限制,但以所附之申請專利範圍及其均等為主。
Claims (25)
- 一種積體電路晶片測試裝置,該積體電路晶片包含一測試標的電路,一掃描輸入埠,及一掃描輸出埠,該測試裝置藉由經該掃描輸入埠輸入一掃描樣式至一掃描路徑,並將該掃描輸出埠輸出之輸出樣式與一預定預期樣式進行比較,並根據比較結果,執行用以檢查該積體電路是否存在缺陷的掃描測試,該測試裝置具備:一位移頻率搜尋單元,用於搜尋一標的掃描區段之可用位移頻率,該標的掃描區段為部分或者整體地由一掃描樣式構成的至少一個掃描區段中欲搜尋可用之位移頻率的掃描區段,該掃描樣式為移入至該掃描路徑的至少一個掃描樣式,該位移頻率搜尋單元當搜尋該標的掃描區段之可用位移頻率時,通過增加或者減小該標的掃描區段之位移頻率使其與在該標的掃描區段之前或之後移入至該掃描路徑的掃描區段中至少一個掃描區段不同,或者設置為不同的位移頻率,以搜尋該掃描測試結果為通過或者失敗之位移頻率。
- 根據申請專利範圍第1項之測試裝置,其中當搜尋該標的掃描區段之可用位移頻率時,該位移頻率搜尋單元藉由增加或者減小該標的掃描區段之位移頻率,搜尋一位移頻率,該位移頻率使該掃描測試結果由通過變成失敗或由失敗變成通過。
- 根據申請專利範圍第1項之測試裝置,其中當搜尋該標的掃描區段之可用位移頻率時,當構成該標的掃描區段之一掃描樣式之掃描測試結果與在構成該標的掃描區段之該掃描樣式之前輸入該掃描路徑中的掃描 樣式之掃描測試結果兩者皆表示通過時,該位移頻率搜尋單元判定該標的掃描區段中使用的位移頻率為該標的掃描區段之可用位移頻率。
- 根據申請專利範圍第1項之測試裝置,其中當搜尋該標的掃描區段之可使用的位移頻率時,該位移頻率搜尋單元根據二元搜尋演算法,增加或者減少該標的掃描區段之位移頻率。
- 根據申請專利範圍第1項之測試裝置,其中該積體電路晶片包含一晶圓上之一晶片,或一封裝晶片。
- 根據申請專利範圍第1項之測試裝置,其中該積體電路晶片測試裝置,基於該位移頻率搜尋單元根據掃描區段分類搜尋到的可用之位移頻率,配置該掃描路徑中可正常地位移之最佳的位移頻率。
- 一種積體電路晶片測試裝置,該積體電路晶片包含一測試標的電路,一掃描輸入埠,及一掃描輸出埠,該測試裝置藉由經該掃描輸入埠輸入一掃描樣式至一掃描路徑,並將該掃描輸出埠輸出之輸出樣式與一預定預期樣式比較,並基於比較結果執行用以檢查該積體電路是否存在缺陷的掃描測試,該測試裝置具備:一位移頻率搜尋單元,其中基於執行一第一掃描測試步驟及一第二掃描測試步驟,以搜尋第二掃描區段之一可用的位移頻率,其中該第一掃描測試步驟,將部分或者全部構成第一掃描區段之第一掃描樣式移入至該掃描路徑以執行該掃描測試,該第二掃描測試步驟,將部分或者全部構成該第二掃描區段之第二掃描樣式移入至該掃描路徑以執行該掃描測試,該第一掃描樣式為在該第二掃描樣式之前移入至該掃描路徑之掃描樣式,其中該位移頻率搜尋單元,於第一掃描測試步驟時,以一第一位移頻率位移該第 一掃描區段至該掃描路徑,及於第二掃描測試步驟時,以與第一位移頻率不同之一第二位移頻率位移該第二掃描區段至該掃描路徑,且於搜尋第二掃描區段之可用位移頻率時,當第一掃描測試步驟之結果與第二掃描測試步驟之結果兩者皆表示為通過時,判定該第二位移頻率為該第二掃描區段之可用位移頻率。
- 根據申請專利範圍第7項之測試裝置,其中當該位移頻率搜尋單元搜尋該第二掃描區段之可用位移頻率時,通過增加或者減小該第二位移頻率使其與在該第二掃描區段之前或之後移入至該掃描路徑的掃描區段中至少一個掃描區段不同,或者設置為不同的頻率,以搜尋該第二掃描區段之可用位移頻率。
- 根據申請專利範圍第8項之測試裝置,其中當該位移頻率搜尋單元搜尋該第二掃描區段之可使用的位移頻率時,根據二元搜尋演算法增加或者減少該第二掃描區段之位移頻率。
- 根據申請專利範圍第7項之測試裝置,其中該積體電路晶片包含一晶圓上之一晶片,或一封裝晶片。
- 根據申請專利範圍第7項之測試裝置,其中該積體電路晶片測試裝置基於該位移頻率搜尋單元根據掃描區段分類搜尋到的可用之位移頻率,配置該掃描路徑中可正常地位移之最佳的位移頻率。
- 一種積體電路晶片測試方法,該積體電路晶片包含一測試標的電路,一掃描輸入埠,及一掃描輸出埠,該測試方法藉由經該掃描輸入埠輸入一掃描樣式至一掃描路徑,並將該掃描輸出埠輸出之輸出樣式與一 預定預期樣式比較,並基於比較結果執行用以檢查該積體電路是否存在缺陷的掃描測試,該測試方法具備:一位移頻率搜尋步驟,用於搜尋一標的掃描區段之可用位移頻率,該標的掃描區段為部分或者整體地由一掃描樣式構成的至少一個掃描區段中欲搜尋可用之位移頻率的掃描區段,該掃描樣式為移入至該掃描路徑的至少一個掃描樣式,當該位移頻率搜尋步驟當搜尋該標的掃描區段之可用位移頻率時,包括通過增加或者減小該標的掃描區段之位移頻率使其與在該標的掃描區段之前或之後移入至該掃描路徑的掃描區段中至少一個掃描區段不同,或者設置為不同的位移頻率,以搜尋該掃描測試結果為通過或者失敗的位移頻率的過程。
- 根據申請專利範圍第12項之測試方法,其中該位移頻率搜尋步驟包括當搜尋該標的掃描區段之可用位移頻率時,藉由增加或者減小該標的掃描區段之位移頻率,搜尋一位移頻率的過程,該位移頻率使該掃描測試結果由通過變成失敗,或由失敗變成通過。
- 根據申請專利範圍第12項之測試方法,其中該位移頻率搜尋步驟包括當搜尋該標的掃描區段之可用位移頻率時,當構成該標的掃描區段之一掃描樣式之掃描測試結果與在構成該標的掃描區段之該掃描樣式之前輸入該掃描路徑中的掃描樣式之掃描測試結果兩者皆表示通過時,判定該標的掃描區段中使用的位移頻率為該標的掃描區段之可用位移頻率。
- 根據申請專利範圍第12項之測試方法,其中該位移頻率搜尋步驟包括當搜尋該標的掃描區段之可使用的位移頻率時,根據二元搜尋演算法,增加或者減少該標的掃描區段之位移頻率的過程。
- 根據申請專利範圍第12項之測試方法,其中該積體電路晶片包含一晶圓上之一晶片,或一封裝晶片。
- 根據申請專利範圍第12項之測試方法,其中還包括基於該位移頻率搜尋單元根據掃描區段分類搜尋到的可用之位移頻率,配置該掃描路徑中可正常地位移之最佳的位移頻率的過程。
- 一種積體電路晶片測試方法,該積體電路晶片包含一測試標的電路,一掃描輸入埠,及一掃描輸出埠,該測試方法藉由經該掃描輸入埠輸入一掃描樣式至一掃描路徑,並將該掃描輸出埠輸出之輸出樣式與一預定預期樣式比較,並基於比較結果執行用以檢查該積體電路是否存在缺陷的掃描測試,該測試方法具備:一位移頻率搜尋步驟,其中基於執行一第一掃描測試步驟及一第二掃描測試步驟,以搜尋第二掃描區段之一可用的位移頻率,其中該第一掃描測試步驟,將部分或者全部構成第一掃描區段之第一掃描樣式移入至該掃描路徑以執行該掃描測試,該第二掃描測試步驟,將部分或者全部構成該第二掃描區段之第二掃描樣式移入至該掃描路徑以執行該掃描測試,該第一掃描樣式為在該第二掃描樣式之前移入至該掃描路徑之掃描樣式,其中該位移頻率搜尋步驟包括於第一掃描測試步驟時,以一第一位移頻率位移該第一掃描區段至該掃描路徑,及於第二掃描測試步驟時,以與第 一位移頻率不同之一第二位移頻率位移該第二掃描區段至該掃描路徑,且於搜尋第二掃描區段之可用位移頻率時,當第一掃描測試步驟之結果與第二掃描測試步驟之結果兩者皆表示為通過時,判定該第二位移頻率為該第二掃描區段之可用位移頻率的過程。
- 根據申請專利範圍第18項之測試方法,其中該位移頻率搜尋步驟包括當搜尋該第二掃描區段之可用位移頻率時,通過增加或者減小該第二位移頻率使與在該第二掃描區段之前或之後移入至該掃描路徑的掃描區段中至少一個掃描區段不同,或者設置為不同的頻率,以搜尋該第二掃描區段之可用位移頻率的過程。
- 根據申請專利範圍第19項之測試方法,其中該位移頻率搜尋步驟包括當搜尋該第二掃描區段之可使用的位移頻率時,根據二元搜尋演算法,增加或者減少該第二掃描區段之位移頻率的過程。
- 根據申請專利範圍第18項之測試方法,其中該積體電路晶片包含一晶圓上之一晶片,或一封裝晶片。
- 根據申請專利範圍第18項之測試方法,其中還包括基於該位移頻率搜尋單元根據掃描區段分類搜尋到的可用之位移頻率,配置該掃描路徑中可正常地位移之最佳的位移頻率的過程。
- 一種積體電路晶片測試系統,該系統具備:一電腦,包含根據申請專利範圍第1項至第11項之任意一項所述的積體電路晶片測試裝置;一測試本體;以及一測試頭, 該電腦在與該測試本體以有線或者無線通信的方式進行接觸的狀態下,控制該測試本體執行的積體電路晶片測試工藝,該測試本體生成該積體電路晶片測試工藝中執行的用於測試的電信號,該測試頭用於傳輸該測試本體與該積體電路晶片間的該電信號。
- 一種積體電路晶片測試之程式,用於執行根據申請專利範圍第12項至第22項之任意一項所述的積體電路晶片測試方法。
- 一種計算機可讀取記錄媒體,其用於存儲根據申請專利範圍第24項所述的程式。
Applications Claiming Priority (10)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR20140148443 | 2014-10-29 | ||
KR1020160052368A KR20170049357A (ko) | 2014-10-29 | 2016-04-28 | 칩 테스트 시간 최소화 방법 및 그 장치 |
??10-2016-0052368 | 2016-04-28 | ||
??10-2017-0053361 | 2017-04-26 | ||
KR1020170053361A KR101848480B1 (ko) | 2016-04-28 | 2017-04-26 | Ic 칩 테스트 장치, ic 칩 테스트 방법, 및 ic 칩 테스트 시스템 |
JP2017-087586 | 2017-04-26 | ||
KR1020170053344A KR20170123260A (ko) | 2016-04-28 | 2017-04-26 | Ic 칩 테스트 장치, ic 칩 테스트 방법, 및 ic 칩 테스트 시스템 |
??10-2017-0053344 | 2017-04-26 | ||
JP2017087586A JP6209299B1 (ja) | 2016-04-28 | 2017-04-26 | Icチップテスト装置、icチップテスト方法、及びicチップテストシステム |
PCT/KR2017/004486 WO2017188749A1 (ko) | 2016-04-28 | 2017-04-27 | Ic 칩 테스트 장치, ic 칩 테스트 방법, 및 ic 칩 테스트 시스템 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201805645A TW201805645A (zh) | 2018-02-16 |
TWI629493B true TWI629493B (zh) | 2018-07-11 |
Family
ID=61158853
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106138333A TWI629493B (zh) | 2014-10-29 | 2017-04-27 | 積體電路晶片測試裝置,方法及系統 |
TW106114091A TW201809712A (zh) | 2014-10-29 | 2017-04-27 | 積體電路晶片測試裝置,方法及系統 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106114091A TW201809712A (zh) | 2014-10-29 | 2017-04-27 | 積體電路晶片測試裝置,方法及系統 |
Country Status (2)
Country | Link |
---|---|
US (3) | US10228419B2 (zh) |
TW (2) | TWI629493B (zh) |
Families Citing this family (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9723578B2 (en) * | 2015-06-09 | 2017-08-01 | Cirrus Logic, Inc. | Random telegraph signal identification and measurement |
US10599798B1 (en) * | 2017-01-06 | 2020-03-24 | Ansys, Inc. | Double glitch capture mode power integrity analysis |
DE112020000640T5 (de) | 2019-01-31 | 2021-11-25 | Tektronix, Inc. | Systeme, Verfahren und Vorrichtungen für Hochgeschwindigkeits-Eingangs-/Ausgangs-Margin-Tests |
US11940483B2 (en) | 2019-01-31 | 2024-03-26 | Tektronix, Inc. | Systems, methods and devices for high-speed input/output margin testing |
US11599098B2 (en) | 2019-05-08 | 2023-03-07 | Ares Technologies, Inc. | Apparatus and methods for testing circuit elements at one or more manufacturing stages |
TWI700499B (zh) * | 2019-07-17 | 2020-08-01 | 美商第一檢測有限公司 | 晶片測試系統 |
NL2023792B1 (en) | 2019-08-16 | 2021-03-24 | Illumina Inc | Method for measuring thermal resistance at interface between consumable and thermocycler |
CN113009311A (zh) * | 2019-12-19 | 2021-06-22 | 鸿富锦精密电子(天津)有限公司 | 电路板智能检测方法、装置、系统及存储介质 |
US11579191B2 (en) * | 2020-06-19 | 2023-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system for testing an integrated circuit |
TWI817171B (zh) * | 2020-08-04 | 2023-10-01 | 日商愛德萬測試股份有限公司 | 使用測試站點特定訊號來測試被測元件的處理器、自動化測試設備、測試系統、計算機程序、測試單元和方法 |
WO2022115494A1 (en) * | 2020-11-24 | 2022-06-02 | Tektronix, Inc. | Systems, methods, and devices for high-speed input/output margin testing |
US11328112B1 (en) * | 2021-01-27 | 2022-05-10 | Nvidia Corporation | Timing-aware testing |
KR102373560B1 (ko) * | 2021-08-18 | 2022-03-14 | (주)이노티오 | Ic 칩 스캔 테스트를 위한 테스트 데이터의 사용 가능한 쉬프트 주파수를 찾기 위한 검색용 데이터를 생성하는 방법 및 그 장치 |
TWI798943B (zh) * | 2021-11-18 | 2023-04-11 | 瑞昱半導體股份有限公司 | 偵測結果記錄與輸出裝置 |
TWI809730B (zh) * | 2021-12-09 | 2023-07-21 | 南亞科技股份有限公司 | 待測元件的多重測試裝置及其測試方法 |
CN116953490B (zh) * | 2023-09-19 | 2023-12-26 | 西安智多晶微电子有限公司 | 一种测量fpga芯片内部电压降的方法、装置和系统 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200533939A (en) * | 2004-04-06 | 2005-10-16 | Agilent Technologies Inc | Apparatus and method for compensating clock period elongation during scan testing in an integrated circuit (IC) |
JP2006064395A (ja) * | 2004-08-24 | 2006-03-09 | Seiko Epson Corp | クリティカルパステスト方法、集積回路装置、クリティカルパステスト方式及び集積回路装置の製造方法 |
US20090254787A1 (en) * | 2008-04-07 | 2009-10-08 | Open-Silicon, Inc. | Shift-frequency scaling |
CN101767308A (zh) * | 2010-03-15 | 2010-07-07 | 乐清市华东仪表厂 | 新型抛光机 |
TW201537198A (zh) * | 2014-03-31 | 2015-10-01 | Mediatek Singapore Pte Ltd | 積體電路及於積體電路中建立掃描測試架構之方法 |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4493077A (en) * | 1982-09-09 | 1985-01-08 | At&T Laboratories | Scan testable integrated circuit |
DE69115338T2 (de) | 1990-04-20 | 1996-05-09 | Texas Instruments Inc | Abtasttestschaltung zur Verwendung mit Mehrfrequenzschaltungen |
KR100326344B1 (ko) | 1993-03-17 | 2002-10-11 | 내셔널 세미콘덕터 코포레이션 | 스위칭레귤레이터용주파수편이회로 |
US6966021B2 (en) * | 1998-06-16 | 2005-11-15 | Janusz Rajski | Method and apparatus for at-speed testing of digital circuits |
US6694467B2 (en) * | 1999-06-24 | 2004-02-17 | Texas Instruments Incorporated | Low power testing of very large circuits |
US6327687B1 (en) * | 1999-11-23 | 2001-12-04 | Janusz Rajski | Test pattern compression for an integrated circuit test environment |
JP2002350511A (ja) | 2001-05-30 | 2002-12-04 | Sony Corp | 半導体集積回路 |
KR100429633B1 (ko) | 2001-09-28 | 2004-05-03 | 엘지전자 주식회사 | 바운더리 스캔 테스트 시스템 및 그 딜레이 보정방법 |
US6877123B2 (en) * | 2001-12-19 | 2005-04-05 | Freescale Semiconductors, Inc. | Scan clock circuit and method therefor |
JP2005140770A (ja) | 2003-10-16 | 2005-06-02 | Matsushita Electric Ind Co Ltd | 半導体試験回路 |
TW200801550A (en) * | 2006-01-06 | 2008-01-01 | Koninkl Philips Electronics Nv | IC testing methods and apparatus |
JP2008117372A (ja) | 2006-10-13 | 2008-05-22 | Nec Electronics Corp | 半導体集積回路およびその制御方法 |
KR100907254B1 (ko) | 2007-08-30 | 2009-07-10 | 한국전자통신연구원 | Ieee 1500 래퍼를 갖는 시스템 온 칩 및 그것의 내부지연 테스트 방법 |
US8122312B2 (en) * | 2009-04-14 | 2012-02-21 | International Business Machines Corporation | Internally controlling and enhancing logic built-in self test in a multiple core microprocessor |
JP5515856B2 (ja) | 2010-02-26 | 2014-06-11 | 三菱化学株式会社 | 積層体およびそれを使用した包装材料 |
JP2013029439A (ja) | 2011-07-29 | 2013-02-07 | Fujitsu Semiconductor Ltd | 半導体集積回路装置の試験方法及び半導体集積回路装置 |
JP2013040855A (ja) | 2011-08-16 | 2013-02-28 | Fujitsu Semiconductor Ltd | 半導体集積回路検査装置,および,半導体集積回路の検査方法 |
US8645778B2 (en) * | 2011-12-31 | 2014-02-04 | Lsi Corporation | Scan test circuitry with delay defect bypass functionality |
JP2014001937A (ja) | 2012-06-15 | 2014-01-09 | Renesas Electronics Corp | スキャンテスト方法、プログラムおよびスキャンテスト回路 |
US9903916B2 (en) * | 2012-09-27 | 2018-02-27 | Nxp Usa, Inc. | Scan test system with a test interface having a clock control unit for stretching a power shift cycle |
US10746795B2 (en) * | 2012-10-30 | 2020-08-18 | Nxp Usa, Inc. | Method and apparatus for at-speed scan shift frequency test optimization |
US8839063B2 (en) * | 2013-01-24 | 2014-09-16 | Texas Instruments Incorporated | Circuits and methods for dynamic allocation of scan test resources |
US9285424B2 (en) * | 2014-07-25 | 2016-03-15 | Freescale Semiconductor,Inc. | Method and system for logic built-in self-test |
CN105891703B (zh) * | 2014-12-22 | 2020-06-30 | 恩智浦美国有限公司 | 用于集成电路的非常低电压和偏置的扫描测试的测试电路 |
-
2017
- 2017-04-27 TW TW106138333A patent/TWI629493B/zh not_active IP Right Cessation
- 2017-04-27 TW TW106114091A patent/TW201809712A/zh unknown
- 2017-10-26 US US15/795,085 patent/US10228419B2/en active Active
- 2017-11-11 US US15/810,038 patent/US9945904B1/en active Active
-
2018
- 2018-01-13 US US15/870,906 patent/US10088520B1/en active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200533939A (en) * | 2004-04-06 | 2005-10-16 | Agilent Technologies Inc | Apparatus and method for compensating clock period elongation during scan testing in an integrated circuit (IC) |
JP2006064395A (ja) * | 2004-08-24 | 2006-03-09 | Seiko Epson Corp | クリティカルパステスト方法、集積回路装置、クリティカルパステスト方式及び集積回路装置の製造方法 |
US20090254787A1 (en) * | 2008-04-07 | 2009-10-08 | Open-Silicon, Inc. | Shift-frequency scaling |
CN101767308A (zh) * | 2010-03-15 | 2010-07-07 | 乐清市华东仪表厂 | 新型抛光机 |
TW201537198A (zh) * | 2014-03-31 | 2015-10-01 | Mediatek Singapore Pte Ltd | 積體電路及於積體電路中建立掃描測試架構之方法 |
Also Published As
Publication number | Publication date |
---|---|
US20180045781A1 (en) | 2018-02-15 |
US9945904B1 (en) | 2018-04-17 |
US10088520B1 (en) | 2018-10-02 |
US20180106859A1 (en) | 2018-04-19 |
US10228419B2 (en) | 2019-03-12 |
TW201809712A (zh) | 2018-03-16 |
TW201805645A (zh) | 2018-02-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI629493B (zh) | 積體電路晶片測試裝置,方法及系統 | |
KR101923142B1 (ko) | Ic 칩 테스트 장치, ic 칩 테스트 방법, 및 ic 칩 테스트 시스템 | |
JP6209299B1 (ja) | Icチップテスト装置、icチップテスト方法、及びicチップテストシステム | |
US8086924B2 (en) | Implementing diagnosis of transitional scan chain defects using logic built in self test LBIST test patterns | |
US8799731B2 (en) | Clock control for reducing timing exceptions in scan testing of an integrated circuit | |
JP2018010005A (ja) | Icチップテスト装置、icチップテスト方法、及びicチップテストシステム | |
KR101848480B1 (ko) | Ic 칩 테스트 장치, ic 칩 테스트 방법, 및 ic 칩 테스트 시스템 | |
US20210279391A1 (en) | Semiconductor integrated circuit, circuit designing apparatus, and circuit designing method | |
US7308627B2 (en) | Self-timed reliability and yield vehicle with gated data and clock | |
CN101165502B (zh) | 测试仪同测方法 | |
US20050125755A1 (en) | Method of generating an efficient stuck-at fault and transition delay fault truncated scan test pattern for an integrated circuit design | |
TW201625973A (zh) | 用於最小化晶片測試時間之方法及裝置 | |
KR102373560B1 (ko) | Ic 칩 스캔 테스트를 위한 테스트 데이터의 사용 가능한 쉬프트 주파수를 찾기 위한 검색용 데이터를 생성하는 방법 및 그 장치 | |
TWI220934B (en) | Ate calibration method | |
US20080316846A1 (en) | Semiconductor memory device capable of storing data of various patterns and method of electrically testing the semiconductor memory device | |
US10324131B1 (en) | Laser-based integrated circuit testing techniques | |
KR101034661B1 (ko) | 2개의 로딩 메모리를 이용한 메모리 디바이스의 테스트 방법 및 그 장치 | |
KR101618821B1 (ko) | 스캔 테스트 시간 최소화 방법 및 그 장치 | |
US20170010320A1 (en) | Reducing test time and system-on-chip (soc) area reduction using simultaneous clock capture based on voltage sensor input | |
JP2012255693A (ja) | 半導体集積回路及びその制御方法 | |
KR100822889B1 (ko) | Ate를 이용한 실제속도 칩 테스트 시스템 및 방법 | |
JPWO2009066764A1 (ja) | 半導体集積回路装置及びそのテスト方法 | |
Appello | Exploiting advanced fault localization methods for yield & reliability learning on SoCs |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |