TWI609443B - Processing method and processing device - Google Patents

Processing method and processing device Download PDF

Info

Publication number
TWI609443B
TWI609443B TW102140207A TW102140207A TWI609443B TW I609443 B TWI609443 B TW I609443B TW 102140207 A TW102140207 A TW 102140207A TW 102140207 A TW102140207 A TW 102140207A TW I609443 B TWI609443 B TW I609443B
Authority
TW
Taiwan
Prior art keywords
processed
wafer
processing
cassette
loading
Prior art date
Application number
TW102140207A
Other languages
English (en)
Other versions
TW201423888A (zh
Inventor
Akira Itakura
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201423888A publication Critical patent/TW201423888A/zh
Application granted granted Critical
Publication of TWI609443B publication Critical patent/TWI609443B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

處理方法及處理裝置
本發明係關於一種處理方法及處理裝置。
半導體裝置或平面顯示器(Flat Panel Display,FPD)之製造中,會對為被處理體之半導體晶圓(以下,簡單稱作晶圓)或液晶用基板等進行成膜、蝕刻、氧化、擴散等各種處理。近年來,為了以高產率進行這些處理,便使用被稱為多腔系統之基板處理裝置。
基板處理裝置的一範例係被提出有一種叢集型構造的裝置,其具有可載置收納晶圓之晶圓匣盒(容器)的複數個裝載埠、處理晶圓的複數個製程模組、暫時保管處理結束的晶圓之儲存室以及搬運晶圓之搬送裝置(例如,參考專利文獻1)。
此基板處理裝置係將晶圓依以下之順序搬運:裝載埠所載置的晶圓匣盒→製程模組→儲存室→裝載埠所載置的晶圓匣盒。製程模組中,會將晶圓施予如蝕刻處理等所欲的處理。例如經將CF系氣體及HBr氣體作為蝕刻氣體之電漿蝕刻後的晶圓表面上會吸附有CF系氣體及HBr氣體等殘留氣體。此狀態下,從製程模組搬運出來之晶圓的表面之CF系氣體及HBr氣體等殘留氣體會與空氣中的水分反應。結果,會產生腐蝕性氣體。腐蝕性氣體吸附在晶圓上,便會有腐蝕晶圓及其周邊之虞。特別是,會因為裝載埠所載置的晶圓匣盒內佈滿空氣,而很可能會有從處理結束的晶圓產生腐蝕性氣體的情形。
於是,在將晶圓回收於裝載埠所載置的晶圓匣盒之前,會將晶圓暫時保管在稱作儲存室之臨時保管室。在儲存室中,晶圓係利用N2氣體等氣體進行晶圓周邊部氛圍的吹淨。藉此,便會除去儲存室內的腐蝕性氣體,防止晶圓回收於裝載埠所載置的晶圓匣盒時,晶圓匣盒內及其周邊被腐蝕性氣體所污染。
【先前技術文獻】
【專利文獻】
(專利文獻1)日本特開2006-278396號公報
然而,在處理結束的晶圓被回收到尚有尚未處理的晶圓之晶圓匣盒內時,會因為在儲存室內無法完全除去的腐蝕性氣體,使得尚未處理的晶圓有被腐蝕的情形。
於是,從裝載埠所載置的晶圓匣盒搬出最終尚未處理的晶圓後,便會於既定的時點,開始進行將處理結束的晶圓從儲存室回收之動作,並以尚未處理的晶圓與處理結束的晶圓不會混在於同一個晶圓匣盒內的方式進行控制。
回收晶圓到裝載埠所載置的晶圓匣盒的方法,考慮到例如存在有4個裝載埠LP1~LP4所分別載置的晶圓匣盒的情形。尚未處理的晶圓於裝載埠LP1~LP4所載置的晶圓匣盒之搬出係幾乎同時開始。而且,通常,處理結束的晶圓於裝載埠LP1~LP4所載置的晶圓匣盒之回收也幾乎同時開始。如此一來,從儲存室至各裝載埠LP所載置的晶圓匣盒之回收係依照:回收1號晶圓至裝載埠LP1所載置的晶圓匣盒→回收2號晶圓至裝載埠LP2所載置的晶圓匣盒→回收3號晶圓至裝載埠LP3所載置的晶圓匣盒→回收4號晶圓至裝載埠LP4所載置的晶圓匣盒→回收5號晶圓至裝載埠LP1所載置的晶圓匣盒→回收6號晶圓至裝載埠LP2所載置的晶圓匣盒→…之順序進行。
上述朝裝載埠所載置的晶圓匣盒之回收方法中,為了使裝載埠LP1~LP4所載置的晶圓匣盒能分別而並列式地平均化回收晶圓,在全部晶圓被完全回收至裝載埠所載置的晶圓匣盒之前,並不會停止朝任一裝載埠所載置的晶圓匣盒之回收。緣此,回收開始後,到搬出晶圓匣盒為止的時間會變長,而使得下個裝載的處理停滯。因此,產率會降低,製程模組會因長時間未使用而進入待機狀態。在這段期間,因為製程模組內的條件改變,在處理新晶圓前為了調整製程模組內的條件必須進行模擬處理,而使得生產性更低。
針對上述問題,本發明之一態樣係以可將處理結束之被處理體的回收方法適當化,來提升產率為目的,提供一種處理方法及處理裝置。
為了解決上述問題,根據本發明一態樣係提供一種處理方法, 該方法係使用處理裝置來處理被處理體的方法,其中該處理裝置包含收納被處理體的複數個容器、於內部對被處理體施予所欲的處理之複數個處理室、暫時保管被處理體的臨時保管室,以及搬運被處理體的搬送裝置,該方法包含:第1工序,係將尚未處理的被處理體從該複數個容器搬送到該複數個處理室;第2工序,係將處理結束的被處理體從該複數處理室搬送到臨時保管室;第3工序,係因應對任一個容器之最終被處理體進行處理的時點,於從該臨時保管室已開始進行處理結束的被處理體之回收的容器,優先於其他容器而依序回收處理結束之被處理體,而結束對該容器之回收。
該因應對任一個容器之最終被處理體進行處理的時點可選自以下任一時點:從該任一個容器搬出最終被處理體的時點、將該最終被處理體搬入任一個處理室的時點,或是將該最終被處理體搬入該臨時保管室的時點。
該第1工序中,係可將該複數個容器及該複數個處理室一對一相互對應而搬送被處理體。
該第1工序中,係可從該複數個容器將被處理體搬送到可處理被處理體的該處理室。
該第3工序中,係可將處理結束的被處理體回收於該任一個容器的期間,不將處理結束的被處理體回收於其他容器。
又,為了解決上述問題,根據本發明其他態樣係提供一種處理裝置,其包含:複數個容器,係收納被處理體;複數個處理室,係於內部對被處理體施予所欲的處理;臨時保管室,係暫時保管被處理體;搬送裝置,係搬運被處理體;以及控制部,係以將尚未處理的被處理體從該複數個容器搬送到該複數個處理室;將處理結束的被處理體從該複數個處理室搬送到該臨時保管室;以及 以因應對任一個容器之最終被處理體進行處理的時點,於從該臨時保管室已開始進行處理結束的被處理體之回收的容器,優先於其他容器而依序回收處理結束之被處理體,而結束對該容器之回收的方式進行控制。
根據本發明一實施形態,可將處理結束之被處理體的回收方法適當化,來提升產率。
10‧‧‧處理裝置
101、102、125、201、202、225、301、302、325、401、402、425‧‧‧晶圓
125‧‧‧對位機構
125a‧‧‧旋轉載置台
125b‧‧‧光學感測器
205‧‧‧唯讀記憶體
210‧‧‧隨機存取記憶體
220‧‧‧中央處理單元
230‧‧‧內部介面
235‧‧‧外部介面
245‧‧‧主機電腦
250‧‧‧管理伺服器
Ar1、Ar2、Ar3、Ar4‧‧‧搬運手臂
EC‧‧‧裝置電腦
F、F1、F2、F3、F4‧‧‧晶圓匣盒
H‧‧‧搬送側系統
LLM‧‧‧裝載互鎖模組
LM‧‧‧裝載模組
LP、LP1、LP2、LP3、LP4‧‧‧裝載埠
MC‧‧‧機器電腦
PM、PM1、PM2、PM3、PM4‧‧‧製程模組
TC‧‧‧傳送室
S‧‧‧處理側系統
ST‧‧‧儲存室
STA、STB、STC、STD‧‧‧區塊(箱體)
V‧‧‧閘閥
圖1係一實施形態相關的處理裝置之全體構成圖。
圖2係顯示一實施形態相關的處理裝置所使用搬運路徑之範例的圖式。
圖3係一實施形態相關的處理裝置所使用搬運路徑的說明圖。
圖4係顯示從儲存室至裝載埠之搬運路徑之範例的圖式。
圖5係從儲存室至裝載埠之搬運路徑之範例的說明圖。
圖6係顯示從一實施形態相關的儲存室至裝載埠之搬運路徑之範例的圖式。
圖7係從一實施形態相關的儲存室至裝載埠之搬運路徑之範例的說明圖。
以下,關於實施本發明形態之說明請參照圖式,本發明不限於下述的實施形態,在不脫離本發明範圍之範圍下,可對下述的實施形態進行各種變形及置換。另外,於本說明書及圖式中,實質上為相同的構成係賦予同樣的符號而省略重複的說明。
[處理裝置的全體構成]
首先,關於本發明一實施形態的處理裝置的全體構成,一併參照圖1來說明。圖1係一實施形態相關的處理裝置之全體構成圖。處理裝置10具有搬運晶圓W的搬送側系統H,以及對晶圓W進行成膜或蝕刻等處理的處理側系統S。搬送側系統H及處理側系統S係透過2個裝載互鎖模組LLM而連結。本實施形態中,裝載互鎖模組LLM雖然具有2個,然而不限於此,也可設置3個以上。
搬送側系統H係具有裝載埠LP1~LP4(以下,亦統稱為裝載埠LP)及裝載模組LM。4個晶圓匣盒F1~F4(以下,亦統稱為晶圓匣盒F)分別載置於裝 載埠LP1~LP4。晶圓匣盒F1~F4係收納複數個晶圓W的容器。例如,晶圓匣盒F內可多段地收納25片尚未處理的晶圓W。
裝載模組LM係以透過磁力驅動而滑動的方式支撐有可伸縮及旋轉的2根搬運手臂Ar1、Ar2。搬運手臂Ar1、Ar2係於其前端所安裝的叉具上保持晶圓W而在裝載模組LM上的軌道滑動。
裝載模組LM係安裝有對位機構125。尚未處理的晶圓W從裝載埠LP1~LP4所載置的晶圓匣盒F1~F4被搬出,被保持並搬運於任一的搬運手臂Ar1、Ar2,而載置於對位機構125的旋轉載置台125a。旋轉載置台125a會於載置晶圓W的狀態下旋轉,藉由光學感測器125b偵測晶圓W周緣部狀態,來對齊晶圓W的位置。
2個裝載互鎖模組LLM之兩端係設置有閘閥V。對位後,晶圓W會經由裝載模組LM搬送至2個裝載互鎖模組LLM中任一者。裝載互鎖模組LLM係透過控制閘閥V的開閉來將晶圓W於大氣側(搬送側系統H)與真空側(處理側系統S)之間傳遞。
於處理側系統S係設置有傳送室TC及4個製程模組PM1~PM4(以下,亦統稱為製程模組PM)。製程模組PM1~PM4係於其內部對晶圓W施予所欲的處理的複數個處理室之一範例。本實施形態係具有4個處理室,然而不限於此,亦可為6個,或複數個。
傳送室TC係透過閘閥V而與製程模組PM1~PM4連結。傳送室TC係具有可伸縮及旋轉的搬運手臂Ar3、Ar4。晶圓W會被保持於搬運手臂Ar3、Ar4,而被搬運至製程模組PM1~PM4。另外,傳送室TC及裝載模組LM係搬運晶圓W的搬送裝置之一範例。
處理結束的晶圓W係透過搬運手臂Ar3、Ar4及搬運手臂Ar1、Ar2搬運於裝載埠LP所載置的晶圓匣盒→裝載模組LM→裝載互鎖模組LLM→傳送室TC→製程模組PM→傳送室TC→裝載互鎖模組LLM→裝載模組LM→儲存室ST。儲存室ST係安裝於裝載模組LM(大氣側)。儲存室ST係暫時保管晶圓W的臨時保管室之一範例。於儲存室ST可於內部供給N2氣體,透過N2氣體將處理結束的晶圓W吹淨,也可不使用氣體吹淨而將處理結束的晶圓W載置一段時間。藉此,於儲存室ST內將吸附於處理結束的晶圓W之腐蝕性氣體除去。
(裝置電腦)
於此,說明關於裝置電腦EC等的構成。裝置電腦EC係連接複數個機器電腦MC,會統合複數個機器電腦MC來控制處理裝置10全體的動作。裝置電腦EC為主控制部之機能,而機器電腦MC為從控制部之機能。裝置電腦EC利用傳送複數個控制訊號給機器電腦MC來指示各機器電腦MC對處理裝置10各部之控制。
裝置電腦EC係控制處理裝置10的控制部之一範例。亦可包含複數個機器電腦MC之控制機能,利用裝置電腦EC與機器電腦MC來構成對處理裝置10進行控制的控制部。
裝置電腦EC係具有唯讀記憶體(Read Only Memory,ROM)205、隨機存取記憶體(Random Access Memory,RAM)210、中央處理單元(Central Processing Unit,CPU)220、匯流排225、內部介面(內部I/F)230及外部介面(外部I/F)235。ROM 205及RAM 210係儲存有控制晶圓W搬運或處理的程式、各種進程及各種資料。另外,ROM 205及RAM 210係記憶裝置的一範例,亦可為EEPROM、光碟、磁光碟等記憶裝置。CPU 220係根據記憶在ROM 205或RAM 210的進程來控制所指定的晶圓W之搬運及處理。CPU 220係例如,控制複數片的晶圓W之搬運順序、搬運路徑及搬運時點等。匯流排225係在ROM 205、RAM 210、CPU 220、內部介面230及外部介面235之各裝置間處理資料的路徑。內部介面230係用以於處理裝置10內使各部動作的介面。外部介面235係用以於主機電腦245、管理伺服器250及複數個機器電腦MC間收授資料的介面。
裝置電腦EC係透過區域網路(Local Area Network,LAN)與主機電腦245連接,該主機電腦245係管理設置有處理裝置10之全體工廠製造工序的製造執行系統(Manufacturing Execution System,MES)。主機電腦245係協同裝置電腦EC將工廠中工序相關的即時資訊傳送至管理伺服器250。另外,裝置電腦EC及機器電腦MC之機能可以軟體實現,也可以硬體實現。
以上,已就本實施形態相關的處理裝置10之全體構成加以說明。接下來,本實施形態相關的晶圓W之搬運請一併參照圖2及圖3來說明。
[晶圓之搬運]
圖2顯示一實施形態相關的處理裝置中,從裝載埠LP1~LP4所載置的 晶圓匣盒F到儲存室ST之搬運路徑的一範例。圖3係用以說明一實施形態相關的處理裝置10中之搬運路徑的圖式。另外,以下說明中,裝載埠LP上係已裝載晶圓匣盒F的狀態,「從裝載埠LP搬出晶圓W」之描述係指從已載置於裝載埠LP的晶圓匣盒F搬出晶圓W的意思。又,「將晶圓W回收於裝載埠LP」之描述係指回收晶圓W於已載置於裝載埠LP的晶圓匣盒F的意思。
本實施形態中,4個裝載埠LP1~LP4與4個製程模組PM1~PM4係一對一相互對應地搬運晶圓W。又,儲存室ST內係分為4個區塊STA、STB、STC、STD,4個製程模組PM1~PM4與4個區塊STA、STB、STC、STD係一對一相互對應地搬運晶圓W。從而,從裝載埠LP1搬出的所有晶圓W係搬運到製程模組PM1,於製程模組PM1內施予所欲的處理,然後被暫時保管於儲存室ST的箱體STA。因此,從裝載埠LP1搬出的晶圓W不會搬運到其他製程模組PM2~PM4、不會搬運到儲存室ST的其他箱體STB、STC、STD,也不會搬運到其他裝載埠LP2~LP4。同樣地,從裝載埠LP2、LP3、LP4搬出的晶圓W係分別搬運到製程模組PM2、PM3、PM4,施予所欲的處理後,分別被暫時保管於儲存室ST的箱體STB、STC、STD。以上係說明尚未處理的晶圓W從複數個裝載埠LP搬運到複數個製程模組PM之第1工序的一範例,以及處理結束的晶圓W從複數個製程模組PM搬運到儲存室ST之第2工序的一範例。於此,為了簡化搬運的說明,對於裝載埠LP與製程模組PM間的搬運路徑、製程模組PM與儲存室ST間的搬運路徑、及儲存室ST與裝載埠LP間的搬運路徑途中的裝載模組LM、裝載互鎖模組LLM、傳送室TC的搬運係省略而未說明。同樣地,以下的說明中亦省略了搬運路徑。
如圖3所示,第1號所搬送的晶圓W(搬送順序1,晶圓編號101)係從裝載埠LP1搬出,裝載埠LP1→經製程模組PM1→搬運至儲存室ST內的區塊STA而被暫時地保管。
第2號所搬送的晶圓W(搬送順序2,晶圓編號201)係從裝載埠LP2搬出,裝載埠LP2→經製程模組PM2→搬運至儲存室ST內的區塊STB而被暫時地保管。
同樣地,第3、4號所搬送的晶圓W(搬送順序3、4,晶圓編號301、401) 係從裝載埠LP3、LP4搬出,分別經裝載埠LP3→製程模組PM3→搬運到儲存室ST(區塊STC);以及經裝載埠LP4→製程模組PM4→搬運到儲存室ST(區塊STD),而分別暫時地保管於儲存室的各區塊STC、STD。
第5號所搬送的晶圓W(搬送順序5,晶圓編號102)係再次從裝載埠LP1搬出,裝載埠LP1→經製程模組PM1→至儲存室(區塊STA)而被暫時地保管。
如此一來,裝載埠LP所載置的同一個晶圓匣盒內F所收納的晶圓W會由同一個製程模組PM來處理,經同一路徑而收納於儲存室ST內的同一區塊。因此,本實施形態中,裝載埠LP所載置的一個晶圓匣盒F內的晶圓W便不會與收納在其他晶圓匣盒F內的晶圓W混在一起處理或者混在一起保管於儲存室ST。
暫時保管於儲存室ST內的各區塊STA~STD之處理結束的晶圓W會於既定的時點分別回收於裝載埠LP1~LP4,並收納於晶圓匣盒F1~F4。關於此回收時點之說明如下。
若有處理結束的晶圓W被回收到尚有尚未處理的晶圓W之晶圓匣盒時,則處理結束的晶圓之表面所附著的殘留氣體會與空氣中的水反應而產生腐蝕性氣體,而有使得尚未處理的晶圓被腐蝕之虞。於是,本實施形態中,從裝載埠LP1~LP4分別搬出之最終尚未處理的晶圓W被分別搬入製程模組PM1~PM4的時點便係開始從儲存室ST分別回收處理結束的晶圓W於裝載埠LP1~LP4的時點。藉此,尚未處理的晶圓W與處理結束的晶圓W就不會混在裝載埠LP所載置的同一個晶圓匣盒F。
但是,從儲存室ST分別回收晶圓W於裝載埠LP1~LP4的回收開始時點不限於此,可為分別從裝載埠LP1~LP4搬出最終尚未處理的晶圓W之時點,亦可為分別從製程模組PM1~PM4搬出最終尚未處理的晶圓W之時點,亦可為經製程模組PM1~PM4處理後,最終尚未處理的晶圓W搬入儲存室ST的各區塊之時點。
[晶圓的回收]
更進一步地,本實施形態中,係採用因應對任一晶圓匣盒F之最終尚未處理的晶圓W進行處理的時點,於從儲存室ST已開始進行處理結束的晶圓W之回收的晶圓匣盒F,優先於其他晶圓匣盒F而依序回收處理結束的晶圓W,先結束上述晶圓匣盒F之回收的回收方法(第3工序)。亦即,第 3工序中,回收處理結束的晶圓W於任一的晶圓匣盒F的期間,在此晶圓匣盒F回收完畢之前,裝載埠LP所載置的其他晶圓匣盒F並不會回收處理結束的晶圓W。
關於以上所說明之本實施形態以一個晶圓匣盒F優先地回收晶圓W的方法,與於各晶圓匣盒平均地回收晶圓W的方法,就其相異處於以下加以說明。
如前述般,尚未處理的晶圓W之搬出係於裝載埠LP1~LP4幾乎同時開始。而且,處理結束的晶圓W於裝載埠LP1~LP4之回收也幾乎同時開始。如此一來,從儲存室ST的各箱體STA、STB、STC、STD到各裝載埠LP1、LP2、LP3、LP4之回收係如圖4及圖5(a)所示,而依以下順序進行。
(1)箱體STA的第1號晶圓101回收於裝載埠LP1
(2)箱體STB的第2號晶圓201回收於裝載埠LP2
(3)箱體STC的第3號晶圓301回收於裝載埠LP3
(4)箱體STD的第4號晶圓401回收於裝載埠LP4
(5)箱體STA的第5號晶圓102回收於裝載埠LP1
…。
如以上般,處理結束的晶圓W之回收能平均地於裝載埠LP1~LP4進行的方法中,在全部處理結束的晶圓W被回收至所有的裝載埠LP之前,不會停止於任一的裝載埠LP之回收。例如,於裝載埠LP1~LP4所載置的晶圓匣盒F1~F4各收納25片晶圓W的情形,如圖5(b)所示,會在裝載埠LP1~LP4分別回收各24片,總計96片晶圓W後,回收第25片的晶圓W於裝載埠LP1(總共97片)。此時,裝載埠LP1所載置的晶圓匣盒F1之回收終於要結束了,可從裝載埠LP1搬出晶圓匣盒F1,然後將下批搬入裝載埠LP1,開始進行晶圓W的處理。因此,從現批的晶圓W之回收到下批的晶圓W要開始處理之間需要一段很長的時間。因此,產率會降低,並且製程模組PM也會進入長時間的待機狀態。在這段期間,因為製程模組PM內的條件改變,在處理新晶圓W前為了調整製程模組PM內的條件必須進行模擬處理,而使得生產性更低。
相對於此,本實施形態中,係將處理結束之晶圓W的回收方法適當化,來提升產率,而可提升生產性。亦即,本實施形態相關的搬運方法中,係 如圖6及圖7(a)所示,依以下的順序回收晶圓W。
(1)晶圓於裝載埠LP1之回收
依儲存室ST的箱體STA的第1號晶圓101回收至裝載埠LP1→儲存室ST的箱體STA的第2號晶圓102回收至裝載埠LP1→…→儲存室ST的箱體STA的第25號晶圓125回收至裝載埠LP1之順序回收晶圓W至裝載埠LP1所載置的同一個晶圓匣盒F1內。
據此,結束晶圓W於裝載埠LP1所載置的晶圓匣盒F1之回收。回收已結束的晶圓匣盒F1會從裝載埠LP1搬出,下個晶圓匣盒F5會搬入至裝載埠LP1。結果,如圖7(b)所示,新晶圓匣盒F5之晶圓(下個裝載的晶圓W)的處理係與晶圓W於晶圓匣盒F2之回收並行。
如此一來,(1)晶圓W於裝載埠LP1所載置的晶圓匣盒F1之回收結束後;便開始(2)晶圓W於裝載埠LP2所載置的晶圓匣盒F2之回收。
(2)晶圓於裝載埠LP1之回收
如箱體STB的第1號晶圓201回收至裝載埠LP2→箱體STB的第2號晶圓202回收至裝載埠LP2→…→箱體STB的第25號晶圓225回收至裝載埠LP2之方式,將於裝載埠LP2所載置的晶圓匣盒F2之回收優先於其他晶圓匣盒F3、F4之回收,於這個晶圓匣盒F2之回收結束之後,於其他晶圓匣盒F3、F4之回收才依序開始。亦即,(3)晶圓W於裝載埠LP3之回收結束後;接下來進行(4)晶圓W於裝載埠LP4之回收。如此,先結束一個於晶圓匣盒F之回收,再開始下一個於晶圓匣盒F之回收。
根據上述方式,首先,對裝載埠LP1所載置的晶圓匣盒F1之全部晶圓W的回收結束後,晶圓匣盒F1會從裝載埠LP1搬出。據此,不等待其他裝載埠LP2~LP4之晶圓W的回收而將下批的晶圓匣盒F1搬入至裝載埠LP1,便能夠開始下批的晶圓W之處理。因此,能提升產率並提高生產性。又,據此,便可不等待其他裝載埠LP2~LP4的晶圓W之回收而將下批的晶圓匣盒F1內的晶圓W搬入製程模組PM1,來進行處理。因此,可縮短製程模組PM之待機時間。
如以上之說明,根據本實施形態,改變於各裝載埠LP之回收方法,使得晶圓W於複數個裝載埠LP之回收不採取平均進行之方式,而改以儲存室ST之區塊單位來直列式地進行回收。亦即,對於裝載埠LP所載置的某 晶圓匣盒F,控制在該晶圓匣盒F的全部晶圓W回收後,才開始於其他晶圓匣盒F之回收,晶圓W於任一晶圓匣盒F之回收期間,晶圓W並不會回收於其他晶圓匣盒F。藉此,已結束回收的晶圓匣盒F可依序搬出裝載埠LP。結果,下批之晶圓匣盒F可提早搬入,下批之尚未處理的晶圓W之處理便可迅速開始。例如,一片晶圓W從儲存室ST到裝載埠LP之回收處理所需時間是15秒,比起平均地回收晶圓W於各裝載埠LP1~LP4的方式,到從裝載埠LP搬出最初的晶圓匣盒F為止,可縮短3(剩餘的尚未回收之裝載埠數)X 24片(各裝載埠剩餘的回收片數)X 15秒(一次的回收時間)=18分鐘的時間。
另外,本實施形態中,複數個裝載埠LP及複數個製程模組PM係一對一相互對應地搬運晶圓W。據此,因為裝載埠LP所載置的同一個晶圓匣盒F內的晶圓W全都可依同一條件處理,而不受製程模組PM間機器差異的影響,因此具有同一批內不容易發生品質差異之情形的優點。在這個情況下,各製程模組PM所進行的處理可以相同也可以相異。
但是,複數個裝載埠LP及複數個製程模組PM亦可不一對一相互對應,而依序從裝載埠LP所載置的複數個晶圓匣盒F將晶圓W搬運至可進行晶圓W處理之製程模組PM。根據這樣的搬運方法,可提升產率,使生產性更高。
又,本實施形態中,已說明了尚未處理的晶圓W之搬出係於各裝載埠LP幾乎同時開始,處理結束的晶圓W之回收於各裝載埠LP也幾乎同時開始。然而,處理結束的晶圓W從儲存室ST之回收時點亦可能有偏差的情況。例如,裝載埠LP所載置的一個晶圓匣盒F內所收納的晶圓W之片數與其他晶圓匣盒F內所收納的晶圓W之片數不同時,回收時點就可能偏差。又,各製程模組PM處理時間不相等的情況,回收時點也可能偏差。
以上,已藉由實施例說明本發明之處理方法及處理裝置,然本發明不受限於上述實施例,可在本發明之範圍內進行各種變形及改良。又,上述實施例及變形例若有複數個的情況,則在不矛盾的範圍下可相互組合。
例如,於製程模組PM(處理室)進行的處理係可為電漿處理也可為電漿處理以外的其他處理。在進行電漿處理的情況下,發生電漿的機構係可使用電容耦合型電漿(CCP:Capacitively Coupled Plasma)發生機構、感應耦合型 電漿(ICP:Inductively Coupled Plasma)發生機構、螺旋波激發型電漿(HWP:Helicon Wave Plasma)發生機構、從輻射狀槽孔天線(Radial Line Slot Antenna)所生成的微波電漿或具有槽面天線(SPA:Slot Plane Antenna)電漿之微波激發表面波電漿發生機構、電子回旋共振電漿(ECR:Electron Cyclotron resonance Plasma)發生機構,以及使用上述發生方法之遠程電漿發生機構等。
本發明中,被施予處理的被處理體不限於上述實施形態之說明所使用的(半導體)晶圓,亦可為例如,平面顯示器(FPD:Flat Panel Display)用的大型基板、EL元件或太陽電池用的基板。
125‧‧‧對位機構
Ar3、Ar4‧‧‧搬運手臂
F1、F2、F3、F4‧‧‧晶圓匣盒
LLM‧‧‧裝載互鎖模組
LM‧‧‧裝載模組
LP1、LP2、LP3、LP4‧‧‧裝載埠
PM1、PM2、PM3、PM4‧‧‧製程模組
TC‧‧‧傳送室
ST‧‧‧儲存室
STA、STB、STC、STD‧‧‧區塊(箱體)
V‧‧‧閘閥

Claims (8)

  1. 一種處理方法,係使用處理裝置來處理被處理體的方法,其中該處理裝置包含收納被處理體的複數個容器、於內部對被處理體施予所欲的處理之複數個處理室、暫時保管被處理體的臨時保管室,以及搬運被處理體的搬送裝置,該方法包含:第1工序,係將尚未處理的被處理體從該複數個容器搬送到該複數個處理室;第2工序,係將處理結束的被處理體從該複數處理室搬送到臨時保管室;第3工序,係因應對任一個容器之最終被處理體進行處理的時點,於從該臨時保管室已開始進行處理結束的被處理體之回收的容器,優先於其他容器而依序回收處理結束之被處理體,而結束對該容器之回收。
  2. 如申請專利範圍第1項之處理方法,其中該因應對任一個容器之最終被處理體進行處理的時點係選自以下任一時點:從該任一個容器搬出最終被處理體的時點、將該最終被處理體搬入任一個處理室的時點、從任一個處理室搬出最終被處理體之時點,或是將該最終被處理體搬入該臨時保管室的時點。
  3. 如申請專利範圍第1項之處理方法,其中該第1工序中,係將該複數個容器及該複數個處理室一對一相互對應而搬送被處理體。
  4. 如申請專利範圍第2項之處理方法,其中該第1工序中,係將該複數個容器及該複數個處理室一對一相互對應而搬送被處理體。
  5. 如申請專利範圍第1至4項中任一項之處理方法,其中該第1工序中,係從該複數個容器將被處理體搬送到可處理被處理體的該處理室。
  6. 如申請專利範圍第1至4項中任一項之處理方法,其中該第3工序中,係將處理結束的被處理體回收於該任一個容器的期間,不將處理結束的被處理體回收於其他容器。
  7. 如申請專利範圍第5項之處理方法,其中該第3工序中,係將處理結束的被處理體回收於該任一個容器的期間,不將處理結束的被處理體回收於其他容器。
  8. 一種處理裝置,係包含:複數個容器,係收納被處理體;複數個處理室,係於內部對被處理體施予所欲的處理;臨時保管室,係暫時保管被處理體;搬送裝置,係搬運被處理體;以及控制部,係以將尚未處理的被處理體從該複數個容器搬送到該複數個處理室;將處理結束的被處理體從該複數個處理室搬送到該臨時保管室;以及係以因應對任一個容器之最終被處理體進行處理的時點,於從該臨時保管室已開始進行處理結束的被處理體之回收的容器,優先於其他容器而依序回收處理結束之被處理體,而結束對該容器之回收的方式進行控制。
TW102140207A 2012-11-07 2013-11-06 Processing method and processing device TWI609443B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012245821A JP5981307B2 (ja) 2012-11-07 2012-11-07 処理方法及び処理装置

Publications (2)

Publication Number Publication Date
TW201423888A TW201423888A (zh) 2014-06-16
TWI609443B true TWI609443B (zh) 2017-12-21

Family

ID=50684565

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102140207A TWI609443B (zh) 2012-11-07 2013-11-06 Processing method and processing device

Country Status (5)

Country Link
US (1) US9865488B2 (zh)
JP (1) JP5981307B2 (zh)
KR (1) KR102166968B1 (zh)
TW (1) TWI609443B (zh)
WO (1) WO2014073457A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
CN113140483A (zh) * 2021-03-03 2021-07-20 上海璞芯科技有限公司 一种晶圆的传片方法和传片平台

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010051837A1 (en) * 2000-06-07 2001-12-13 Masanori Tateyama Substrate processing system and substrate processing method

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0582017B1 (en) * 1992-08-04 1995-10-18 International Business Machines Corporation Dispatching apparatus with a gas supply distribution system for handling and storing pressurized sealable transportable containers
ES2101070T3 (es) * 1992-08-04 1997-07-01 Ibm Recipientes portatiles estancos a presion para almacenar una rebanada de semiconductor en un ambiente gaseoso protector.
US6157866A (en) * 1997-06-19 2000-12-05 Advanced Micro Devices, Inc. Automated material handling system for a manufacturing facility divided into separate fabrication areas
JPH11121582A (ja) * 1997-10-15 1999-04-30 Mitsubishi Electric Corp 半導体ウェハ製造設備制御方法および半導体ウェハ製造設備
JP2001053130A (ja) * 1999-08-16 2001-02-23 Tokyo Electron Ltd 容器の搬入出装置及び搬入出方法
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2006278396A (ja) 2005-03-28 2006-10-12 Tokyo Electron Ltd 処理装置及びプログラム
JP5067023B2 (ja) * 2007-06-05 2012-11-07 凸版印刷株式会社 ガラス基板の移載装置
JP5458633B2 (ja) * 2008-06-20 2014-04-02 株式会社Ihi 処理設備及び搬送制御方法
JP5433290B2 (ja) * 2009-04-20 2014-03-05 東京エレクトロン株式会社 基板収納方法及び制御装置
JP5059054B2 (ja) * 2009-05-25 2012-10-24 東京エレクトロン株式会社 基板処理システム、基板検出装置および基板検出方法
JP5445006B2 (ja) * 2009-10-05 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5504880B2 (ja) * 2009-12-25 2014-05-28 凸版印刷株式会社 カセット搬送制御方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010051837A1 (en) * 2000-06-07 2001-12-13 Masanori Tateyama Substrate processing system and substrate processing method

Also Published As

Publication number Publication date
WO2014073457A1 (ja) 2014-05-15
KR102166968B1 (ko) 2020-10-16
TW201423888A (zh) 2014-06-16
KR20150082171A (ko) 2015-07-15
JP5981307B2 (ja) 2016-08-31
US20150235886A1 (en) 2015-08-20
US9865488B2 (en) 2018-01-09
JP2014096420A (ja) 2014-05-22

Similar Documents

Publication Publication Date Title
KR102386557B1 (ko) 기판 처리 방법 및 기판 처리 시스템
JP5187274B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
KR102385670B1 (ko) 기판 반송 방법 및 기판 처리 장치
KR20080054149A (ko) 반도체 소자 제조 장치
JP2010192855A (ja) 基板処理装置
JP5185054B2 (ja) 基板搬送方法、制御プログラム及び記憶媒体
WO2014168006A1 (ja) 基板処理装置、記録媒体及び半導体装置の製造方法
JP2010278249A (ja) 基板処理装置、基板処理方法及び記憶媒体
TWI609443B (zh) Processing method and processing device
JP2004319761A (ja) 真空処理装置
US9389607B2 (en) Method for setting substrate-treatment time, and storage medium
JPH1092900A (ja) 真空処理装置
TWI699848B (zh) 基板收納方法及基板處理裝置
JP5997542B2 (ja) 真空処理装置及び真空処理方法
JP5348290B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
TW201601236A (zh) 容器更換方法
JP7175151B2 (ja) 搬送方法
JP3665716B2 (ja) 処理システム
JP2007142447A (ja) カセットの運用管理方法及び基板の処理方法
KR20180069190A (ko) 기판 처리 장치의 에이징 기판 교체 방법
JP2005252105A (ja) 基板処理装置
CN115910844A (zh) 基板处理装置、半导体器件的制造方法以及记录介质
KR100859784B1 (ko) 기판 처리 장치 및 기판 처리 방법
CN116190287A (zh) 基板处理装置及包括该基板处理装置的半导体制造设备
KR20180077914A (ko) 기판식각장치의 기판 식각 제어방법