TWI609169B - 量測系統及方法 - Google Patents

量測系統及方法 Download PDF

Info

Publication number
TWI609169B
TWI609169B TW102122771A TW102122771A TWI609169B TW I609169 B TWI609169 B TW I609169B TW 102122771 A TW102122771 A TW 102122771A TW 102122771 A TW102122771 A TW 102122771A TW I609169 B TWI609169 B TW I609169B
Authority
TW
Taiwan
Prior art keywords
radiation
stack
dielectric
mode
measurement
Prior art date
Application number
TW102122771A
Other languages
English (en)
Other versions
TW201408988A (zh
Inventor
娜安 薩賓恩斯
喬爾 色林格森
維拉得摩 朗維司基
丹尼爾 堪德爾
亞爾 飛勒
巴瑞克 布蘭歐里茲
阿農 馬那森
伊利維 班尼斯堤
Original Assignee
克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2013/047682 external-priority patent/WO2014004555A1/en
Application filed by 克萊譚克公司 filed Critical 克萊譚克公司
Publication of TW201408988A publication Critical patent/TW201408988A/zh
Application granted granted Critical
Publication of TWI609169B publication Critical patent/TWI609169B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources
    • G01N2201/06113Coherent sources; lasers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/10Scanning
    • G01N2201/104Mechano-optical scan, i.e. object and beam moving

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

量測系統及方法 [相關申請案之交叉參考]
本申請案主張於2012年6月26日申請之美國臨時專利申請案61/664,477之優先權,該案之全文以引用方式併入本文中。
本發明係關於場量測,且更特定言之,本發明係關於近場量測技術。
用於半導體量測之主要量測量為疊對(OVL)、臨界尺寸(CD)(其包含:平均臨界尺寸、高度及側壁角度;因此特徵化印刷特徵輪廓)及聚焦劑量。有兩種可用於光學量測之主要方法:成像及散射量測。疊對可使用任一方法量測,而對裝置規則目標執行之臨界尺寸僅可使用散射量測方法執行。成像指示自某一目標之一些視覺化擷取所要度量之一方法。該視覺化係藉由量測一光學共軛平面至目標平面中之光強度而達成。散射量測本質上為由目標之離散或連續繞射階達成之該資訊量之擷取。此等繞射階通常在系統的物鏡瞳徑之一光學共軛平面中可見。此瞳徑中之電場包含目標之一截斷傅立葉變換,該截斷取決於該物鏡之數值孔徑(接收角)。目標大小及疊對控制預算之連續減小需要始終準確之量測方法。
成像技術包含(但不限於):(例如)由美國專利第7,541,201號所揭示之典型成像,該案之全文以引用方式併入本文中,及(例如)由美國 專利第7,528,941號所揭示之階選擇成像,該案之全文以引用方式併入本文中。
基於成像之OVL量測係使用提供一CCD上之OVL目標之一遠場影像之一習知顯微鏡而執行。存在(例如)由美國專利第7,317,824號所揭示之各種成像目標,該案之全文以引用方式併入本文中。
散射量測包含(但不限於):(例如)由美國專利第7,616,313號所揭示之光譜散射量測,該案之全文以引用方式併入本文中,及(例如)由美國專利第7,656,528號所揭示之角度解析散射量測,該案之全文以引用方式併入本文中。
本發明之一態樣提供一種包括定位於系統之一物鏡與一目標之間之一光學元件之量測系統,該光學元件經配置以增強與該目標相互作用之輻射之消散模態。
本發明之此等、另外及/或其他態樣及/或優點闡釋於下列[實施方式]中:可自[實施方式]推論;及/或可藉由實踐本發明學習。
71‧‧‧瞳徑區域
72‧‧‧零階阻隔視障
75‧‧‧最大強度輪廓
80‧‧‧晶圓
82‧‧‧目標
85A‧‧‧半球透鏡
85B‧‧‧超半球透鏡
86‧‧‧顯微鏡照明臂
87‧‧‧顯微鏡集光臂
88‧‧‧分光器
89‧‧‧物鏡
90‧‧‧介電質-金屬-介電質堆疊
90A‧‧‧介電質膜層/介電質層/介電質膜
90B‧‧‧金屬膜層/金屬層
90C‧‧‧介電質膜層/介電質層/介電質膜/區域
91‧‧‧消散模態
92‧‧‧傳播模態
93‧‧‧光柵
94‧‧‧光柵
95‧‧‧角度
96‧‧‧波狀表面
98‧‧‧照明/照明光/輻射
99‧‧‧消散模態/總光強度
100‧‧‧量測系統
110‧‧‧光學元件/散射器件
為更好地理解本發明之實施例且以展示如何實現之,現將純粹經由實例方式參考附圖,其中在整個說明書中相同參考符號指定對應元件或區段。
在附圖中:圖1係根據先前技術之用於不同目標大小之瞳徑光分佈之輪廓之一示意圖;圖2A及圖2B係根據本發明之一些實施例之一量測系統之高階示意圖;圖3A至圖3C係根據本發明之一些實施例之具有一固態浸沒透鏡作為一光學元件之一量測系統之高階示意圖; 圖4A係根據先前技術之一介電質-金屬-介電質堆疊之一示意圖;圖4B繪示根據本發明之一些實施例之包括一傾斜式介電質-金屬-介電質堆疊之一光學元件;圖4C繪示根據本發明之一些實施例之包括一週期性介電質-金屬-介電質堆疊之一光學元件;圖4D係根據本發明之一些實施例之由一光學元件產生之一週期性圖案之一高階示意圖;圖5A及圖5B係根據本發明之一些實施例之一照明光學元件之一高階示意圖;圖6A及圖6B係繪示根據本發明之一些實施例之一照明光學元件之操作之模擬結果之圖表;及圖7係根據本發明之一些實施例之一量測方法之一高階示意流程圖。
在闡釋[實施方式]之前,闡釋將在下文使用之某些術語之定義係有用的。
如本文所使用之術語「目標」或「量測目標」在本申請案中意指用於量測需求之任意結構。目標可為微影程序中之任意層之部分,及目標可包含相同層或不同層上之不同結構。在本發明中,量測目標以一非限制方式例證為基於光柵之成像目標。該等光柵實例簡化解釋,但不應被理解為限制本發明。
例如,不欲受理論局限,如本文所使用之術語「數值孔徑(NA)」在本申請案中意指用於特徵化在其範圍內光學系統可照明目標之角度範圍之數值,NA可表示為NA=n×sinα,其中α為最大照明角度及n為系統之折射率(即,物鏡或本發明所引入之目標與物鏡之間之光學元件之折射率)。
如本文所使用之術語「光柵」在申請案中意指任意週期性元件或特徵。
現詳細參考圖式,應強調,所展示之特定細節係作為實例且僅出於本發明之較佳實施例之繪示性討論之用途,且為提供被認為最有用及最容易理解之本發明之原理及概念態樣之描述而呈現。就此而言,並未試圖比本發明之一基礎理解所必需之描述更詳細地展示本發明之結構細節,參考圖式而採取之該描述使熟習此項技術者對如何在實踐中體現本發明之若干形式顯而易見。
在詳細解釋本發明之至少一實施例之前,應理解,本發明在其申請案中不限於建構之細節及下列描述中所闡釋或圖式中所繪示之組件之配置。本發明適用於其他實施例或以各種方式實踐或實施。同樣地,應理解,本文所採用之措詞及術語出於描述用途且不應被視為限制性。
本文提供量測系統及方法,其包括定位於系統之一物鏡與一目標之間之一光學元件。該光學元件經配置以增強由該目標反射之輻射之消散模態且亦可將與該目標相互作用之輻射之消散模態轉換成傳播模態。本發明揭示各種組態,該光學元件可包括一固態浸沒透鏡、莫爾(Moiré)元件與固態浸沒光學器件之一組合、不同設計之介電質-金屬-介電質堆疊及共振元件。該等量測系統及方法可組態為各種量測類型,包含成像及散射量測方法。該等量測系統及方法之實施例可應用於擷取器件或照明器件。
特定言之,該等所揭示之系統及方法容許對似器件目標或直接對具有遠低於200nm以下間距之器件進行量測。本發明之主要優點在於,所提出之近場光學器件提供一穩固消散模態增強,其容許偵測消散波且因此使子解析週期結構成像。本發明之穩固性表現在:在實施例中,並未強烈依賴於周圍媒體及結構之精確特性。
圖2A及圖2B係根據本發明之一些實施例之一量測系統100之高階示意圖。量測系統100包括定位於系統100之一物鏡89(亦參見下文圖3A)與一目標82之間之一光學元件110。圖2A中所繪示之光學元件110經配置以增強由目標82反射之輻射之消散模態91,以產生載送消散模態91之資訊之至少一些之傳播模態92。在實施例中,光學元件110可經設計以將與目標相互作用之輻射之消散模態轉換成傳播模態。圖2B中所繪示之光學元件110經配置以放大照射於目標82上之照明98之消散模態99,來增強反射之資訊量,如下文所解釋。量測系統100應用近場技術以藉由將消散模態91之至少一部分變成傳播模態而以來自消散模態91之資訊增強量測中之資訊量。
在實施例中,量測系統100可用於基於成像之量測以及基於散射量測兩者中,如下文所繪示。
例如,量測系統100可經配置以產生相對於先前技術系統具有增強解析度之目標82之一影像。
基於成像之疊對量測
在下文中,以一非限制例示性方式參考光柵目標。在此等目標中,各目標層中有至少一光柵。為獲得關於光柵位置之資訊,必須使用至少兩個繞射階,例如,零階及第一繞射階之一者。此將光柵間距限於由P>λ/[NA.(1+σ)]給定之系統解析度,其中λ為光之波長,NA為系統數值孔徑,及σ為一部分相干因數。例如,在具有一標準NA=0.7及σ=0.5之可見光譜中,間距限制為P>400奈米。在實際晶圓量測中,使用具有間距P>800奈米之目標。此外,解析度限制界定最小目標大小及一OVL量測之整體效能。後者直接相關於可在一給定大小之一OVL目標中印刷之週期數。
圖1係根據先前技術之用於不同目標大小之瞳徑光分佈之輪廓之一示意圖。圖1繪示基於由美國專利第7,528,941號所提出之一實例之 當前成像技術之一主要缺點,其參考上文相關技術之討論。此光學組態之特徵為集光瞳徑中之準垂直照明及零階阻隔。藉由±1繞射階之干擾建構目標影像。此一組態提供具有非常高效能之一非常穩固的(獨立於光學缺陷)OVL量測。圖1針對下列三個目標大小(自左至右)繪示用於具有一1000奈米間距及以λ=532奈米成像之一光柵之瞳徑平面中之最大強度輪廓75之1%:27微米(μm)、15微米及10微米。圓圈71指示0.7NA瞳徑區域,及圓圈72指示零階阻隔視障。顯然地,小於10微米之目標無法使用此技術量測,此係因為有限之瞳徑NA導致傳輸信號之截斷(0.7NA區域之外之任意光皆被截斷)。由於此截斷之一結果,觀察到明顯的影像失真。由於當前市場所需之目標大小為5微米,所以在未明顯增加瞳徑之NA之情況下無法使用此光學技術。
然而,量測系統100可經配置以量測由可小於10奈米之目標82散射之輻射之至少一第一繞射階。在實施例中,光學元件110包括經配置以增強量測系統100之一解析度以使此等目標量測為可能之一固態浸沒透鏡85。
基於散射之疊對(OVL)及臨界尺寸(CD)量測
關於散射量測技術之一有限NA之效益為雙重的:量測信號對輸出參數(OVL、CD)之敏感度視瞳徑位置而定。如在模擬中可展示,瞳徑NA增加2至3倍使得敏感度增加4至5倍。相應地,此亦能改良效能(準確度、精度等)達相同倍數。此外,目標大小主要藉由照明光點大小而界定。對於一給定照明光譜,點大小與照明NA成反比。相應地,照明NA增加2至3倍容許一目標大小減小相同倍數。
下文以一非限制方式討論關於兩種主要類型之基於散射量測之OVL量測(即,光譜散射量測及角度解析散射量測)之系統100之實施例。在兩個態樣中,系統100除了歸因於使用一較高NA以外亦展現改良效能。
圖3A至圖3C係根據本發明之一些實施例之具有一固態浸沒透鏡85作為光學元件110之量測系統100之高階示意圖。圖3A係系統100之一高階示意圖,及圖3B繪示使用系統100以進行光譜散射量測(參見下文)。圖3A及圖3B兩者皆繪示使用一半球固態浸沒透鏡85A作為光學元件110,而圖3C繪示亦可用作為光學元件110之一超半球透鏡(所謂之阿米奇透鏡或維爾斯特拉斯透鏡)85B。
量測系統100可包括透過一分光器88引導至物鏡89之一顯微鏡照明臂86,及透過物鏡89及分光器88接收自目標82反射之輻射之一顯微鏡集光臂87。
儘管在本技術中,固態浸沒透鏡僅用於增加原始系統之NA,但系統100亦使用固態浸沒透鏡85於其他用途,一般用於實現消散波之傳播。消散波至傳播波之變換增加來自目標82之可用於系統100的資訊量(參見圖2A)。例如,固態浸沒光學元件85部件之實施例可包括諸如一半球透鏡85A(圖3B)或一超半球透鏡85B(阿米奇或維爾斯特拉斯固態浸沒透鏡(SIL)-圖3C)之齊明透鏡。透鏡85可以其平坦表面朝向目標82而定位,其中透鏡85之平坦表面與目標82之間的空隙遠小於光的波長(對於可見光通常為500奈米)。此空隙容許消散波91自目標82耦合至齊明透鏡,在透鏡85內將消散波91轉換成傳播波。透鏡85內之傳播波接著透過具有一數值孔徑<1之齊明透鏡的球面射出(即,作為傳播波92)。此等波92繼而由系統100中之顯微鏡集光臂87量測,該顯微鏡集光臂87可包括(例如)具有一CCD之一習知光學系統。例如,光學系統100之習知部分可為一光學顯微鏡,其之接收NA匹配於齊明透鏡85之射出NA,或其可為(例如)一顯微鏡之一子系統,使得齊明透鏡85可為一完整顯微鏡系統之一整合部分。
有利地,系統100可經組態以增加接收自目標82之資訊量,以減小目標間距及/或大小(目標82可包括(例如)雙倍、四倍及(一般而言)多 倍圖案化光柵)及以直接或間接增強系統效能,如下文所解釋(例如,歸因於對可用以取代當前所使用之物鏡之一較低NA物鏡之較不嚴厲需求之TIS(工具誘發移位)的減小、成本降低,或可表現在(但不限於)TIS、精度、準確度及匹配方面之任意其他種類的效能增強)。
比較半球透鏡85A與阿米奇透鏡85B產生下列差異,其可用於系統100之不同實施例中。以n作為齊明透鏡之折射率,NAobj作為接續於齊明透鏡之後之光學器件之數值孔徑及r作為齊明透鏡之曲率半徑(例如參見圖3C),目標空間NAtotal中之總數值孔徑對於半球透鏡85A而言為n.NAobj,且對於阿米奇透鏡85B而言在NAobj<l/n時為n2.NAobj;在NAobj>l/n時為n。接續於齊明透鏡之後之光學器件(例如顯微鏡照明臂86、顯微鏡集光臂87、分光器88、物鏡89)所需的最小工作距離WDobj對於半球透鏡85A而言為r且對於阿米奇透鏡85B而言為(n+1).r。
因此,有利地,半球透鏡85A不具有色像差且具有一較短工作距離WDobj,而阿米奇透鏡85B接續於齊明透鏡之後可使用較低NA之物鏡或光學器件。
在實施例中,選擇具有儘可能高之折射率之用於齊明透鏡之光學材料,以達成一高總NA(NAtotal,受限於n)。光學玻璃達到折射率n2之值,由於存在色散,通常朝向較短波長增加。結晶光學材料可具有較高折射率,諸如金紅石(TiO2),在633奈米波長處n=2.584。關於結晶材料,晶體之定向及其對光學效能(尤其是偏振)之效益需要被考慮。在實施例中,照明偏振可經操縱以進一步改良系統100之光學效能,如下文所解釋。具有一寬光譜通帶(在所要波長處透射)之材料之非限制實例可包括具有1.77之一相對較高之折射率之藍寶石、具有2之一折射率之玻璃(例如,來自OHARA公司之S-LAH79)、立方氧化鋯(其為具有2.2之折射率之一晶體)等等。接續於齊明透鏡之後之光學器件(例如,顯微鏡照明臂86、顯微鏡集光臂87、分光器88、物鏡89)可為折射、反 射或折反射。最後兩個替代尤其適於在UV波長處使用。
在實施例中,量測系統100包括固態浸沒透鏡85作為光學元件110,其經配置以增強入射於目標82上之一輻射入射角度範圍,以增強由該系統量測之至少第一繞射階。
在用於量測OVL及CD兩者之光譜散射量測中,期望敏感度相依於照明之入射角。例如,使用圖3B中之組態實現以有效複角(NA>1)進行量測。固態浸沒透鏡85有效地增加系統100之NA以增強其敏感度及因此基於光譜之量測之效能。
在角度解析散射量測中,有兩種主要類型之量測:零階,用於光柵對光柵OVL量測、橢圓偏極術及CD量測;及第一階,用於光柵對光柵OVL量測。在實施例中,包括固態浸沒透鏡85作為光學元件110之量測系統100經配置以增強零階量測之敏感度。對於第一階類型之量測,增加NA使第一階處於具有較大NA之瞳徑中。此有效地實現具有相同照明波長之較小間距。此外,此實現第一階與第零階之更好分離,其減小其等之間之串擾且增加效能。因此,量測系統100之實施例可經配置以增強入射於目標82之一輻射入射角範圍,以增強由該系統量測之至少第一繞射階。
在實施例中,系統100可進一步包括經配置以控制照明輻射之偏振的一偏光器(未展示)。該偏光器可包括一波板,或實施空間及時間偏振控制之任意其他方法。配置系統100以與固態浸沒增強NA結合使用偏振量且可在靈敏度及效能方面產生廣泛優點。作為對於物鏡之一簡單附加,固態浸沒技術容許在光學路徑中使用所有一般光學元件,諸如(但不限於)偏光器、分析器、準交叉極化、分光器、光束監測器。
散射疊對(SCOL)量測之系統優點及組態
有利地,使用提供一較高NA之光學元件110之系統100在下列態 樣中改良散射疊對(SCOL)量測。系統100及光學元件110可經組態以增強下列優點之任意者。第一,系統100提供探測較小值之間距之能力所涉及之一基礎效能增強且更接近於設計規則(DR)。第二,系統100容許更靈活性地選擇波長及間距。當使用第一階角度解析散射量測時,使用較高NA實現目標間距之減小或量測波長之增加,同時維持量測瞳徑內之第一階。
第三,系統100減少相關於目標大小及目標雜訊之不準確性。該等不準確性因該系統所改良之多個態樣而減少。第一,對於一給定單元大小,因瞳徑中之階之當前混合而引起之不準確性變得更小,此係因為不同階進一步遠離彼此。第二,在不對SNR(信雜比)造成重大影響的情況下,可使第一階照明變跡。此減少照明光點之殘影,此為高度期望的。第三,增加系統100中之照明NA使光點大小更小且因此亦減少單元間之污染。
另外,目標雜訊為更小,此係因為當掃描區域(例如目標82)遠大於光點大小,相關於目標雜訊之不準確性與光點大小/掃描大小(若其為一相位雜訊)之比率或與相關長度/掃描大小(若其為一振幅雜訊)之比率成比例。藉由增加照明NA,系統100因光點大小隨照明NA之增加而減小及因相關長度隨間距而減小而進一步減少目標雜訊,及系統100可在照明NA為較高時以較小間距操作。
系統100之進一步優點為:(i)當系統100平均瞳徑點時,消除相關於單元間污染之一些不準確性。在此等情況中,系統100可經配置以具有集光NA內之所有+1/-1階,其可使用光學元件110(諸如固態浸沒透鏡85)容易達成。此外,(ii)系統100能執行4單元信號之正規化,而不具有處置對CFS(相關傅立葉散射量測)之照明非均勻性之繞射效應之問題。因此,系統100可因此在顯微鏡照明臂86處使用較不緊密之照明均勻度需求。最後,固定像素大小及增加NA造成敏感度的提高,此 係因為系統100實際收集更多的量測資料。
臨界尺寸(CD)量測之系統優點及組態
有利地,使用提供一較高NA之光學元件110之系統100在下列態樣中改良臨界尺寸(CD)。系統100及光學組件110可經組態以增強下列優點之任意者。第一,較大照明NA在晶圓80上產生一較小光點,其實現較小目標82之量測。第二,較大照明NA實現消散波91之照明及集光(參見下文之進一步細節),即,NA>1,該等消散波特別關注於CD及聚焦及劑量量測。第三,系統100之量測可與特定照明及集光偏振耦合,以抵銷由起源於固態浸沒半球透鏡85之平面部分之全反射(「全反射」DC)引起之一系統誤差。例如,系統100可進一步包括徑向及切向減速器或偏光器,或其他減速器或可變或恆定偏光器(未展示),其等置於照明及集光路徑中以改良敏感度/信號比率。當然,可使用各種照明及集光偏振(恆定或系統化(例如,徑向及切向)或依據像素而定(例如,偏光器/照明減速器/分析器/集光減速器之任意像素具有其自身性質,無論恆定或可調整))以改良對其等自身之量測,而不利用本發明之任意其他部分。因此,系統100可經設計以藉由合併此等額外光學元件而具有一更高之敏感度對信號之比率。此等照明/集光路徑增強可用於不同量測系統中,例如,測角法(角度解析散射量測)及光譜法(例如,使用不同物鏡以進行照明及集光)。
例如,用於具有一恆定偏光器作為分析器之測角光學系統之不同光學路徑之模擬產生下列結果:(i)在與晶圓80相距10奈米處添加固態浸沒物鏡85產生九倍改良精度,(ii)在與晶圓80相距50奈米處添加固態浸沒物鏡85產生四倍改良精度,(iii)在與晶圓80相距100奈米處添加固態浸沒物鏡85產生接近三倍之改良精度,(iv)在光學路徑中添加一分率(例如四分之一)波板(恆定減速器)產生兩倍改良精度。具有固態浸沒透鏡85作為光學元件110之系統100亦可藉由添加減速器而比 不具有光學元件110之一系統更容易改良。
莫爾元件
在實施例中,系統100可包括一莫爾光柵或一莫爾透鏡作為光學元件110以增強系統100之一空間解析度。
該莫爾元件(即,光柵或透鏡)可藉由將含有目標位置之資訊之消散波91轉換成傳播波92而用於提供小間距目標(未解析間距)之一遠場成像。由於消散模態自目標82以約一百奈米之比例衰減,所以此方法意味將該莫爾元件放置作為直接定位於目標近場中之光學元件110之部分。因此,光學元件110可包括具有接近但不等於經量測目標82(Ptarget)之間距之一間距之一光柵。在散射自近場光柵上之目標82反射之光之後,新的繞射階對應於一粗間距,即:
其等可為具有器件光柵間距Pdevice之一適當選擇之傳播波。
莫爾方法可在考量額外光柵之情況下應用於照明路徑或集光路徑中(或實際應用於兩者中,此係因為光學器件110位於目標近場中)。在前者情況中,系統100可包括對目標82提供一莫爾圖案之一近場照明器,且自目標82反射之光包含對應於莫爾間距PMoiré之繞射階,其等為包括關於目標位置之資訊之傳播波。在後者情況中,系統100可包括一近場集光器,其收集在散射自近場光柵(其為近場集光器之部分)上之目標82反射之光之後建立之對應於莫爾間距PMoiré之傳播波。
在兩者情況中,主要問題在於:相較於零階之繞射階之較小振幅及光學系統中之任意類型之向後散射光(鬼影像等)。例如,即使近 場光學器件110位於距離目標82約50奈米之一距離處,且經量測目標之間距為約70奈米至80奈米時,亦減小消散模態之振幅達兩個數量級。相應地,為使此子解析度可成像,期望藉由系統100增強消散模態之振幅達至少兩個數量級。
光學元件110可僅包括一或多個莫爾光柵/透鏡、莫爾光柵/透鏡與固態浸沒透鏡85之一組合,或莫爾光柵/透鏡與固態浸沒透鏡85之一級聯組合。莫爾光柵/透鏡及固態浸沒透鏡85之任一者或兩者可用於將消散模態91變換成傳播模態,以在(例如)相對於具有小間距之目標82而實施之成像OVL及第一階SCOL時增加第一繞射階之資訊量。特定言之,固態浸沒透鏡85與莫爾元件之一組合可容許擴大可量測目標之範圍。因此,使用固態浸沒透鏡85克服目前所使用之莫爾元件之限制,即,其等相對較窄之經量測目標之範圍(可容許間距Pt之範圍必須滿足1/Pt在<1/P±2NA/λ之範圍內之條件,其中P為莫爾元件之間距)。相同考量繪示固態浸沒透鏡85與一級聯之莫爾光柵或透鏡一起使用之優點。
介電質-金屬-介電質堆疊
在實施例中,元材料亦可用於增強藉由目標82散射之輻射之消散模態91。一般而言,元材料為具有負介電常數(ε<0)及負磁導率(μ<0)兩者之人造材料,其提供一標準材料中之任意消散模態之增強。此外,因為強勁消散TE(橫向電)波之行為主要藉由磁導率μ支配,而強勁消散TM(橫向磁)波之行為主要藉由介電常數ε支配,所以光學元件110可經設計以僅具有ε、μ之一者為負以僅增強該等模態之一者。對於TM波,負介電常數可足以滿足增強之需求,及因此光學元件110可使用具有ε<0之一適當金屬(銀、金、銅等)作為一真實元材料以增強消散波。常用於此用途之組態為由兩個介電質層(具有介電常數εD)及金屬層(具有介電常數εM)組成之具有εM至-εD之一夾層狀組態。圖 4A係根據先前技術之此介電質-金屬-介電質堆疊90之一示意圖。消散模態91可為用於繪示情況之TM波。
在實施例中,光學元件110可包括經配置以增強由目標82散射之輻射之消散模態91之一介電質-金屬-介電質堆疊90。一元材料(諸如一介電質-金屬-介電質堆疊90)可用於增加系統100對較小間距之敏感度。此等元材料可經組態以基於設定於介電質膜層90A、90C之間之一金屬膜層90B之間的介面處的激發表面電漿模態而進一步增強消散模態91。
不欲受理論局限,如下文所解釋,匹配條件可用於計算消散模態91之振幅增強。考量傳播穿過膜堆疊90(其包括被介電質膜90A、90C環繞之金屬膜90B)之一TM波,透射及反射係數可計算為如下。將橫向波數表示為q=2π/Ptarget,則該等層I之各者之垂直方向上的波數 為
消散模態振幅增強可因消散模態與表面電漿共振相互作用相關聯及強烈相依於金屬層厚度而發生。消散模態振幅增強可進一步與元材料性質相關聯,且可在金屬層厚度值之一較寬範圍內實現。金屬與介電質層之參數之間需要一良好匹配。一良好匹配係藉由一匹配條件(MC)接近零來指示:
兩種機制皆受限於金屬損失係數Im(ε2)(即,介電常數之虛部)。對於銀(其在可見光譜範圍Im(ε2)~0.02中具有最小損失)而言,層90B中之最佳振幅增強為約50。然而,當考慮周圍介電質層90A、90C中 之振幅衰減及介電質層90A、90C與空氣之間之光反射時,無法藉由如圖4A所繪示之此一堆疊90來達成消散模態之實際增強。
然而,發明者已發現:在一些情況中,修改堆疊90之定向及/或形式可產生消散模態之一顯著整體增強。例如,量測系統100可包括光學元件110,其包括經配置以增強由目標82散射之輻射91之消散模態之一傾斜式介電質-金屬-介電質堆疊90。
圖4B繪示根據本發明之一些實施例之包括一傾斜式介電質-金屬-介電質堆疊90之光學元件110。傾斜式介電質-金屬-介電質堆疊90以相對於目標82成一角度θ95而定位。不欲受理論局限,在所繪示之情況中,垂直方向上之波數可表示為:
此外,匹配條件可表示為:
使用q 2>>|ε 2|,MC可近似為:
其中MC0為不具有傾斜角之匹配條件之值。作為推導之結果,光學元件110可經設計以藉由調整傾斜角θ95而達成MC之虛部之一理想匹配,及藉由選擇適當材料達成MC之實部之匹配。發明者已發現:材料及傾斜角之一適當選擇容許增加振幅增強高達至四個數量級。
在另一實施例中,發明者已發現:包括具有相對於物鏡89及目標82之一波狀表面96之一週期性介電質-金屬-介電質堆疊90之光學元 件110亦增強由目標82散射之輻射之消散模態。
圖4C繪示根據本發明之一些實施例之包括一週期性介電質-金屬-介電質堆疊90之光學元件110。週期性介電質-金屬-介電質堆疊90可具有相對於目標82之一正弦表面96。不欲受理論局限,在所繪示之情況中,用於匹配條件之下列表達式可用作為一簡單情況之一非限制實例,其中週期函數為關於間距Pdevice及振幅A之一純正弦波:
在實施例中,表面振幅A可經選擇以產生一週期性點網,其中對應於自目標82反射之光之繞射階被增強達若干個數量級,其中呈現具 有傳播間距之莫爾圖案:。圖4D係根 據本發明之一些實施例之此一圖案之一高階示意圖,其中第一繞射階之振幅被指示為「+1」81A及「-1」81B。
下列推導繪示增強自目標散射之輻射之消散模態時之週期性介電質-金屬-介電質堆疊90之效率。下文亦繪示上文所呈現之條件之推導,未限制其等適用性。
對於圖4B所繪示之傾斜式堆疊90,假定金屬層90B之一厚度h、一傾斜角θ及垂直於堆疊90之z'軸,且起始於下部金屬介電質介面(90A至90B),則電場及磁場可被描述為:在介電質90C中:
在金屬90B中:
在介電質90A中:
其中
當堆疊90為不傾斜時(圖4A),透射係數被表示為:
此外,最佳放大倍數對應於:
其中k 0=2π/λ
在傾斜式堆疊90(圖4B)之情況中,對應條件為:
假定θ為較小,則介電常數可為表示為:ε 2=-ε 1+α+,其中α及β兩者皆為較小及q 2>>|ε 2|,及使用首階(leading order):
最大放大倍數可在下列情況時達成:
此等方程式容許設計光學元件110以藉由組態傾斜角θ達成MC之虛部之理想匹配,同時藉由適當選擇材料(金屬及介電質)匹配MC之實部。
為達成+1及-1繞射階之分離,圖4B中所繪示之傾斜原理必須以傾斜角重複應用至兩側。此一組態為圖4C中所繪示之堆疊90之一週 期性波狀組態。在一非限制實例中,週期性波狀表面可表示為一餘弦,例如,在區域90C中:
此外,所得匹配係數為:
因此,該週期性堆疊90之間距可經調適以產生+1及-1繞射階之所需分離,如圖4D示意性繪示。
共振照明光學元件
在介電質-金屬-介電質堆疊90之本申請案中,一額外難點在於:因介電質層90A、90C與周圍空氣之間之介面引起之振幅增強之衰減。當介電質層90A、90C較佳為較薄以不消除由金屬層90B產生之振幅增強時,介電質層90A、90C與周圍媒介之間之匹配條件可損壞一器件之整體增強能力。
然而,發明者已進一步發現:亦可以下列方式克服因介電質層90A、90C與周圍空氣之間之介面引起之振幅增強之衰減。更詳細地考慮用於照明路徑之莫爾方法(參見上文),注意,照明路徑中之近場器件之用途在於產生具有接近於經量測目標之間距之一間距之週期性照明。發明者已發現:可藉由將兩個光柵放置於一照明光學元件110之近場中而達成一顯著改良。一額外需求為增強入射光之振幅達至少兩個數量級。兩個需求可使用(例如)圖5A及圖5B中所繪示之光學元件 110而實現。圖5A及圖5B係根據本發明之一些實施例之照明光學元件110之一高階示意圖;及圖6A及圖6B係繪示根據本發明之一些實施例之照明光學元件110之操作之模擬結果之圖表。
此設計背後之主要想法為:在照明光98到達目標82之前散射照明光98。此意謂,目標82係以一已知繞射圖案照明。當使用正確間距以散射±1繞射階時,散射器件110增強該等階,一旦該等階到達目標,該等階之大多數能量將變換成自該目標散射之為傳播波之零階。
在實施例中,量測系統100可包括具有與兩個光柵93、94相關聯之介電質-金屬-介電質堆疊90(具有根據匹配條件而匹配之層90A、90B、90C,如上文所解釋)之光學元件110。圖5A繪示圍封於光柵93、94內之堆疊90之一實施例,及圖5B繪示(分別)嵌入於堆疊90之介電質層90C、90A中之光柵93、94之一實施例。
在實施例中,光柵93、94之介電常數(ε)為可經改變以視需要獲得更多反射或透射之一自由參數。介電質層90A、90C之厚度可經選擇以平衡因過厚層引起之信號強度之降級及因一過近空氣介電質介面引起之增強效應之衰減(作用放大區域必須與環繞介電質之空氣充分隔離)。金屬層90B之厚度亦可經選擇以在消散模態增強之獲得之增加與自目標82返回之(在自照明放大消散模態轉換之後)載送資訊之散射零階之損失之間平衡。
介電質-金屬-介電質堆疊90可經配置以放大照明目標82之輻射98之消散模態99。在實施例中,光柵93、94具有相同間距且充當共振器以使消散模態在金屬層90B中被重複放大。在實施例中,及不欲受理論局限,共振光學元件110可操作為消散模態之一放大共振腔。在實施例中,可以類似於上文所描述之一方式選擇匹配條件。該匹配條件設定金屬及介電質之介電常數(ε),而光柵93、94之介電常數(ε)及其等形式為自由參數且可經改變以視需要獲得更多反射或透射。
不欲受理論局限,兩個光柵93、94之間之區域可視為一干涉儀,其中在第一光柵93上散射之光產生在±1繞射階中放大之消散模態,及接著一旦自目標82散射即變換回至傳播零階。當沿金屬之邊界產生表面電漿(由於其與介電質匹配且具有一負繞射指數)時,此等消散模態在金屬層90B內增強。一旦該等消散模態達到第二光柵94,一些被散射且一些向前透射。該等散射模態變換成零階且在金屬層90B內傳播(在其內損失一些能量),及在上部光柵93上再次散射以再次產生相同效應。通過下部光柵94之所有第一階模態之不相干總和為到達目標82之總光強度99,非常類似在一Fabry-Perot干涉儀中。控制增益之參數為兩個光柵93、94之幾何形狀、光柵93、94之介電常數(ε)及光柵93、94至金屬層90B之距離。此等參數可經組態以設定反射及透射因數(參見下文實例)。例如,光柵94可具有僅容許一小部分光漏出光柵93、94之間之作用區域之一大反射因數,及藉由如此而實現光學元件110之共振行為。因為光柵93、94之間之波為傳播波,所以光柵93、94與金屬層90B之間之距離判定沿碰撞光柵93、94之傳播波週期之振幅。由於一傳播波具有一正弦行為,該距離可經設定使得描述該波之正弦在光柵93、94之各者處到達其峰值。光學元件110之間距與目標82之間距匹配,以確保散射±1繞射模態變換成傳播零階模態。
圖6A及圖6B係繪示根據本發明之一些實施例之照明光學元件110之操作之模擬結果之圖表。該結果相關於如圖5B中所繪示之光學元件110。圖6A繪示相對於傳輸及反射-1階之範數之增益,及圖6B繪示相對於傳輸及反射0階之範數之增益,兩者皆在最佳化光柵之ε之後。圖6A及圖6B繪示光柵93、94之間之共振效應及該等模態之間之能量之變換。
因此,光學元件110達成兩個目標,即,增強消散模態及減少可污染±1模態中所含之資訊之傳播零階。因此,光學元件110亦減少場 光闌之需要。層90A、90B、90C及光柵93、94之尺寸及其等相對位置可經組態以進一步增加由光學元件110達成之增益。
一般而言,光學元件110中間之金屬層90B扮演用於消散模態之一作用媒介之角色,而兩側上之光柵93、94提供一共振條件,其容許一方面達成最大增強及另一方面提供與周圍媒介之所需隔離。此光學元件可理解為一消散模態雷射。自數值模擬得出:一給定組態容許所需之兩個數量級增強,即使未進行任意周圍媒介之參數之微調。週期(作為一實例)等於兩個周圍光柵之兩倍週期之週期夾層組態之一些組合亦為可能的。
光學元件110在削弱包含零階之傳播模態之同時增強消散模態。因此,此削弱可用作為增強第一階模態同時抑制零階之可改良量測效能之一方式。
由於光柵間距及調變深度為器件效能中之一重要參數且一定程度上取決於目標及/或光學參數(例如,波長),所以系統100可有利地控制該等光柵間距及調變深度。此可藉由各種物理現象達成,包含(但不限於):光折射效應(使用光折射材料及具有適當空間性質之一照明光束(例如,兩個光束之間之繞射產生一正弦圖案),以適當功率入射於其上-控制週期及調變深度);聲光效應;電光效應;上述之一組合。
使用中間層中之一增益/作用媒介(例如,泵浦雷射材料)可增強通過該媒介之光及減少整體損失。
光學元件110可藉由習知方法(例如,e光束、微影術)(甚至使用分段)而製造。
光學元件110可增強設計規則及非設計規則目標之效能。
圖7係根據本發明之一些實施例之一量測方法200之一高階示意流程圖。量測方法200可包括下列階段:將一光學元件定位於一物鏡 與一目標之間(階段210)及配置該光學元件以增強由該目標反射之輻射之消散模態(階段220)。該光學元件可經進一步配置以將與目標相互作用之輻射之消散模態轉換成傳播模態(階段221)。
在實施例中,量測方法200可包括產生目標之一影像(階段222)及使用一固態浸沒透鏡以增強該影像之一解析度(階段225)。
在實施例中,量測方法200可包括量測由目標散射之輻射之至少一第一繞射階(階段230)及增強由目標散射之輻射之至少第一繞射階(階段232)及/或增強入射於目標之輻射之一入射角範圍(階段234)。
在實施例中,量測方法200可包括使用一固態浸沒透鏡以增強繞射階及入射角範圍(階段235)。
在實施例中,量測方法200可包括(例如)藉由使用一莫爾光柵以增強空間解析度(階段242)及/或藉由組態光學元件以增強由目標散射之輻射之消散模態(階段250)而增強散射輻射之一空間解析度(階段240)。
在實施例中,量測方法200可包括使用一傾斜式介電質-金屬-介電質堆疊以增強消散模態(階段252),使用具有一波狀表面之一週期性介電質-金屬-介電質堆疊以增強消散模態(階段254)及/或使用與兩個光柵相關聯之一介電質-金屬-介電質堆疊以增強由該等光柵之至少一者散射之輻射之消散模態(階段260)。
在上文描述中,一實施例為本發明之一實例或實施方案。「一項實施例」、「一實施例」或「一些實施例」之各種呈現方式不一定全部指相同實施例。
儘管本發明之各種特徵可在一單一實施例之背景中描述,但該等特徵亦可單獨提供或以任意合適組合提供。相反,儘管為簡潔,本發明可在分離實施例之背景中描述,但本發明亦可實施於一單一實施例中。
本發明之實施例可包含來自上文所揭示之不同實施例之特徵,及實施例可併入來自上文所揭示之其他實施例之元件。本發明之元件之揭示於一特定實施例之背景中不被視為限制其等單獨用於特定實施例中。
此外,應理解,本發明可以各種方式實施或實踐,及本發明可在除了上文描述中概述之實施例以外之實施例中實施。
本發明不限於該等圖式或對應描述。例如,流程無需進行過各個所繪示之圖框或狀態,或以與繪示及描述完全相同之順序進行。
除非另外定義,本文所使用之技術術語及科學術語之含義應為本發明所屬之一般技術者所常理解的含義。
儘管本發明已相對於有限數量之實施例而予以描述,但此等不應被解讀為對本發明之範疇之限制,而是作為一些較佳實施例之例證。其他可能變更、修改及應用亦在本發明之範疇內。相應地,本發明之範疇不應被迄今已描述之而限制,但受限於隨附申請專利範圍及其等法律等效物。
80‧‧‧晶圓
82‧‧‧目標
91‧‧‧消散模態
92‧‧‧傳播模態
100‧‧‧量測系統
110‧‧‧光學元件

Claims (25)

  1. 一種用於一疊對量測目標之量測系統,其包括:一照明子系統,其經組態以沿著一照明光學路徑以輻射之一第一消散模態照明該疊對量測目標;一集光子系統,其經組態以沿著一集光光學路徑以測量由該疊對量測目標散射之輻射之一第二消散模態,輻射之該第二消散模態包含輻射之至少一第一繞射階;一物鏡,其經組態以執行以下至少一者:自該照明子系統透射輻射之該第一消散模態,或將由該疊對量測目標散射之輻射之該第二消散模態透射至該集光子系統;及一光學元件,其定位於該物鏡與該疊對量測目標之間,該光學元件經組態以放大與該疊對量測目標相互作用之輻射之該第一消散模態或輻射之該第二消散模態之至少一者,且經組態以抑制輻射之一第零階模態汙染輻射之該第二消散模態。
  2. 如請求項1之量測系統,其中該光學元件經組態以將輻射之該第一消散模態或輻射之該第二消散模態之至少一者轉換成輻射之一傳播模態。
  3. 如請求項1之量測系統,其中該光學元件包括一固態浸沒透鏡,其經組態以放大該量測系統之一解析度。
  4. 如請求項1之量測系統,其中該光學元件包括一固態浸沒透鏡,其經組態以增加入射於該疊對量測目標之輻射之入射角之一範圍,且進一步經組態以放大包含輻射之該至少該第一繞射階的輻射之該第二消散模態。
  5. 如請求項1之量測系統,其中該光學元件包括一莫爾光柵,其經組態以放大由該疊對量測目標散射之輻射之該第二消散模態以 增強該量測系統之一空間解析度。
  6. 如請求項1之量測系統,其中該光學元件包括一介電質-金屬-介電質堆疊,其以相對於該疊對量測目標之一頂部表面或該物鏡之一底部表面之至少一者之一角度傾斜,其中該介電質-金屬-介電質堆疊經組態以放大由該疊對量測目標散射之輻射之該第二消散模態。
  7. 如請求項1之量測系統,其中該光學元件包括一介電質-金屬-介電質堆疊,其包含相對於該疊對量測目標之一頂部表面或該物鏡之一底部表面之至少一者上升及下降之一週期性波狀表面,其中該介電質-金屬-介電質堆疊經組態以放大由該疊對量測目標散射之輻射之該第二消散模態。
  8. 如請求項1之量測系統,其中該光學元件包括一介電質-金屬-介電質堆疊,其與兩個光柵相關聯,該介電質-金屬-介電質堆疊經組態以放大輻射之該第二消散模態。
  9. 如請求項1之量測系統,其中該疊對量測目標包括至少一層,其中該至少一層包括至少一光柵。
  10. 如請求項1之量測系統,其中該光學元件經定位使得該光學元件小於自該疊對量測目標之一入射輻射之一波長。
  11. 如請求項1之量測系統,其中輻射之該至少該第一繞射階包括輻射之至少一第一正繞射階或輻射之至少一第一負繞射階。
  12. 如請求項3之量測系統,其中該光學元件包括該固態浸沒透鏡,其以自該照明子系統之輻射之該第一消散模態照明該疊對量測目標而產生該疊對量測目標之一影像。
  13. 一種量測方法,其包括:將一光學元件定位於一物鏡與一疊對量測目標之間,其中該物鏡經組態以執行以下至少一者:自一量測系統之一照明子系統 透射輻射之一第一消散模態,或將由該疊對量測目標散射之輻射之一第二消散模態透射至該量測系統之一集光子系統;組態該光學元件以放大與該疊對量測目標相互作用之輻射之該第一消散模態或輻射之該第二消散模態;組態該光學元件以抑制輻射之一第零階模態汙染由該疊對量測目標散射之輻射之該第二消散模態;及以該集光子系統量測由該疊對量測目標散射之輻射之該第二消散模態中之輻射之至少一第一繞射階。
  14. 如請求項13之方法,進一步包括:將與該疊對量測目標相互作用之輻射之該第一消散模態或輻射之該第二消散模態之至少一者轉換成輻射之一傳播模態。
  15. 如請求項13之量測方法,進一步包括:藉由使用一固態浸沒透鏡作為該光學元件以自該照明子系統之輻射之該第一消散模態照明該疊對量測目標而產生該疊對量測目標之一影像。
  16. 如請求項13之量測方法,進一步包括:藉由使用一固態浸沒透鏡作為該光學元件來增加入射於該疊對量測目標上之輻射之入射角之一範圍以放大包含由該疊對量測目標散射之輻射之該至少該第一繞射階之輻射之該第二消散模態。
  17. 如請求項13之量測方法,進一步包括藉由使用一莫爾光柵作為該光學元件以放大由該疊對量測目標散射之輻射之該第二消散模態來增加該量測系統之一空間解析度。
  18. 如請求項13之量測方法,進一步包括藉由使用一介電質-金屬-介電質堆疊作為該光學元件以放大由該疊對量測目標散射之輻射之該第二消散模態。
  19. 如請求項18之量測方法,其中該介電質-金屬-介電質堆疊以相對於該疊對量測目標之一頂部表面或該物鏡之一底部表面之至少一者之一角度傾斜。
  20. 如請求項18之量測方法,其中該介電質-金屬-介電質堆疊包含相對於該疊對量測目標之一頂部表面或該物鏡之一底部表面之至少一者上升及下降之一週期性波狀表面。
  21. 如請求項13之量測方法,其進一步包括藉由使用包含兩個光柵之一介電質-金屬-介電質堆疊以放大由該疊對量測目標散射之輻射之該第二消散模態。
  22. 如請求項13之量測方法,其中該疊對量測目標包括至少一層,其中該至少一層包括至少一光柵。
  23. 如請求項13之量測方法,其中該光學元件經定位使得該光學元件小於自該疊對量測目標之一入射輻射之一波長。
  24. 如請求項13之量測方法,其中輻射之該至少該第一繞射階包括輻射之至少一第一正繞射階或輻射之至少一第一負繞射階。
  25. 如請求項15之量測方法,其中包括該固態浸沒透鏡之該光學元件經組態以增加該量測系統之一空間解析度。
TW102122771A 2012-06-26 2013-06-26 量測系統及方法 TWI609169B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261664477P 2012-06-26 2012-06-26
US201361764435P 2013-02-13 2013-02-13
PCT/US2013/047682 WO2014004555A1 (en) 2012-06-26 2013-06-25 Near field metrology

Publications (2)

Publication Number Publication Date
TW201408988A TW201408988A (zh) 2014-03-01
TWI609169B true TWI609169B (zh) 2017-12-21

Family

ID=49783807

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102122771A TWI609169B (zh) 2012-06-26 2013-06-26 量測系統及方法
TW102122770A TWI629448B (zh) 2012-06-26 2013-06-26 角度解析反射計及用於量測之方法、系統及電腦程式產品

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW102122770A TWI629448B (zh) 2012-06-26 2013-06-26 角度解析反射計及用於量測之方法、系統及電腦程式產品

Country Status (6)

Country Link
US (3) US9958385B2 (zh)
EP (1) EP2865003A1 (zh)
JP (3) JP6353831B2 (zh)
KR (3) KR102330741B1 (zh)
TW (2) TWI609169B (zh)
WO (1) WO2014004564A1 (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190016607A (ko) 2010-07-22 2019-02-18 카-페 시스템 게엠베하 식별자를 갖는 포션 캡슐
CA2862611C (en) 2011-02-24 2020-11-03 Eximo Medical Ltd. Hybrid catheter for tissue resection
JP6353831B2 (ja) 2012-06-26 2018-07-04 ケーエルエー−テンカー コーポレイション 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去
US9851300B1 (en) 2014-04-04 2017-12-26 Kla-Tencor Corporation Decreasing inaccuracy due to non-periodic effects on scatterometric signals
EP3552571A3 (en) 2014-05-18 2019-11-27 Eximo Medical Ltd. System for tissue ablation using pulsed laser
WO2015191543A1 (en) * 2014-06-10 2015-12-17 Applied Materials Israel, Ltd. Scanning an object using multiple mechanical stages
US10520832B2 (en) * 2015-05-19 2019-12-31 Kla-Tencor Corporation Topographic phase control for overlay measurement
NL2017505A (en) * 2015-10-09 2017-04-11 Asml Netherlands Bv Method and apparatus for inspection and metrology
WO2017147261A1 (en) * 2016-02-24 2017-08-31 Kla-Tencor Corporation Accuracy improvements in optical metrology
US11684420B2 (en) 2016-05-05 2023-06-27 Eximo Medical Ltd. Apparatus and methods for resecting and/or ablating an undesired tissue
WO2017209544A1 (ko) * 2016-06-02 2017-12-07 주식회사 더웨이브톡 패턴 구조물 검사 장치 및 검사 방법
KR101971272B1 (ko) 2016-06-02 2019-08-27 주식회사 더웨이브톡 패턴 구조물 검사 장치 및 검사 방법
EP3318927A1 (en) * 2016-11-04 2018-05-09 ASML Netherlands B.V. Method and apparatus for measuring a parameter of a lithographic process, computer program products for implementing such methods & apparatus
KR102650388B1 (ko) * 2016-11-23 2024-03-25 삼성전자주식회사 검사 장치 및 그를 이용한 반도체 소자의 제조 방법
TWI649635B (zh) * 2017-01-24 2019-02-01 台灣積體電路製造股份有限公司 層疊誤差測量裝置及方法
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
JP6942555B2 (ja) * 2017-08-03 2021-09-29 東京エレクトロン株式会社 基板処理方法、コンピュータ記憶媒体及び基板処理システム
US11378451B2 (en) 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
US11156846B2 (en) 2019-04-19 2021-10-26 Kla Corporation High-brightness illumination source for optical metrology
DE102019215972A1 (de) * 2019-10-17 2021-04-22 Carl Zeiss Smt Gmbh Verfahren zur Messung einer Reflektivität eines Objekts für Messlicht sowie Metrologiesystem zur Durchführung des Verfahrens
US11762305B2 (en) 2019-12-05 2023-09-19 Asml Netherlands B.V. Alignment method
KR20230056781A (ko) * 2020-09-02 2023-04-27 어플라이드 머티리얼즈 이스라엘 리미티드 다중 관점 웨이퍼 분석
US20240027913A1 (en) * 2020-12-08 2024-01-25 Asml Netherlands B.V. Metrology system and coherence adjusters
US20230108539A1 (en) * 2021-10-06 2023-04-06 Kla Corporation Design-assisted large field of view metrology

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010050896A1 (en) * 1998-05-29 2001-12-13 Terastor Corporation, A Delaware Corporation Beam focusing in near-field optical recording and reading
TW200612212A (en) * 2004-08-19 2006-04-16 Zetetic Inst Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6288780B1 (en) * 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
JPH10253889A (ja) * 1997-03-13 1998-09-25 Olympus Optical Co Ltd 走査型顕微鏡装置
AU3102699A (en) * 1998-03-19 1999-10-11 Board Of Regents, The University Of Texas System Fiber-optic confocal imaging apparatus and methods of use
US6151127A (en) * 1998-05-28 2000-11-21 The General Hospital Corporation Confocal microscopy
US6800859B1 (en) * 1998-12-28 2004-10-05 Hitachi, Ltd. Method and equipment for detecting pattern defect
US6512385B1 (en) * 1999-07-26 2003-01-28 Paul Pfaff Method for testing a device under test including the interference of two beams
JP4009409B2 (ja) * 1999-10-29 2007-11-14 株式会社日立製作所 パターン欠陥検査方法及びその装置
US7049633B2 (en) * 1999-12-10 2006-05-23 Tokyo Electron Limited Method of measuring meso-scale structures on wafers
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) * 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US7115858B1 (en) * 2000-09-25 2006-10-03 Nanometrics Incorporated Apparatus and method for the measurement of diffracting structures
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
DE10146944A1 (de) * 2001-09-24 2003-04-10 Zeiss Carl Jena Gmbh Meßanordnung
US6958814B2 (en) * 2002-03-01 2005-10-25 Applied Materials, Inc. Apparatus and method for measuring a property of a layer in a multilayered structure
US6792328B2 (en) 2002-03-29 2004-09-14 Timbre Technologies, Inc. Metrology diffraction signal adaptation for tool-to-tool matching
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
KR101223195B1 (ko) * 2002-09-09 2013-01-21 지고 코포레이션 박막 구조의 특징화를 포함하여, 타원편광 측정, 반사 측정 및 산란 측정을 위한 간섭측정 방법 및 장치
SG152898A1 (en) 2002-09-20 2009-06-29 Asml Netherlands Bv Alignment systems and methods for lithographic systems
JP2004166151A (ja) * 2002-11-15 2004-06-10 Fuji Photo Film Co Ltd 画像データ作成方法および装置
US7525659B2 (en) * 2003-01-15 2009-04-28 Negevtech Ltd. System for detection of water defects
US20040227944A1 (en) * 2003-02-28 2004-11-18 Nikon Corporation Mark position detection apparatus
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
JP4209709B2 (ja) * 2003-03-20 2009-01-14 株式会社キーエンス 変位計
US7420675B2 (en) 2003-06-25 2008-09-02 The University Of Akron Multi-wavelength imaging system
ATE396428T1 (de) * 2003-09-26 2008-06-15 Zeiss Carl Smt Ag Belichtungsverfahren sowie projektions- belichtungssystem zur ausführung des verfahrens
JP4074867B2 (ja) 2003-11-04 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 第1及び第2位置合せマークの相対位置を計測する方法及び装置
WO2005089299A2 (en) * 2004-03-15 2005-09-29 Zygo Corporation Interferometer having an auxiliary reference surface
US7081957B2 (en) 2004-04-08 2006-07-25 Therma-Wave, Inc. Aperture to reduce sensitivity to sample tilt in small spotsize reflectometers
DE102004034960A1 (de) * 2004-07-16 2006-02-02 Carl Zeiss Jena Gmbh Korrektur-Vorrichtung für eine optische Anordnung und konfokales Mikroskop mit einer solchen Vorrichtung
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
EP1640706A1 (en) 2004-09-22 2006-03-29 Eldim Sa Wavelength and incidence angle resolved ellipsometer or reflectometer
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US20060164649A1 (en) * 2005-01-24 2006-07-27 Eliezer Rosengaus Multi-spectral techniques for defocus detection
US7528953B2 (en) 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7161667B2 (en) * 2005-05-06 2007-01-09 Kla-Tencor Technologies Corporation Wafer edge inspection
US7433034B1 (en) * 2005-06-17 2008-10-07 Nanometrics Incorporated Darkfield defect inspection with spectral contents
JP4843679B2 (ja) * 2005-10-28 2011-12-21 カール ツァイス エスエムエス ゲゼルシャフト ミット ベシュレンクテル ハフツング 荷電粒子ビーム曝露システム
US20070121090A1 (en) 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP2309221A1 (en) * 2006-02-24 2011-04-13 The General Hospital Corporation Methods and systems for performing angle-resolved fourier-domain optical coherence tomography
US7523021B2 (en) 2006-03-08 2009-04-21 Tokyo Electron Limited Weighting function to enhance measured diffraction signals in optical metrology
US7528941B2 (en) 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
US7664608B2 (en) * 2006-07-14 2010-02-16 Hitachi High-Technologies Corporation Defect inspection method and apparatus
US7643666B2 (en) 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7428044B2 (en) 2006-11-16 2008-09-23 Tokyo Electron Limited Drift compensation for an optical metrology tool
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
KR101519932B1 (ko) * 2006-12-22 2015-05-13 지고 코포레이션 표면 특징물의 특성을 측정하기 위한 장치 및 방법
US7741131B2 (en) * 2007-05-25 2010-06-22 Electro Scientific Industries, Inc. Laser processing of light reflective multilayer target structure
WO2008152802A1 (ja) * 2007-06-13 2008-12-18 Nikon Corporation 共焦点顕微鏡装置
US8164739B2 (en) * 2007-09-28 2012-04-24 Asml Holding N.V. Controlling fluctuations in pointing, positioning, size or divergence errors of a beam of light for optical apparatus
JP5331813B2 (ja) 2007-10-02 2013-10-30 ケーエルエー−テンカー・コーポレーション 反射対物鏡、ミラーを有する広帯域対物光学系、及び屈折レンズを有する光学撮像システム、及び2つ以上の結像経路を有する広帯域光学撮像システム
JP2009122660A (ja) 2007-10-25 2009-06-04 Panasonic Corp 画像表示装置
KR20100092014A (ko) * 2007-11-12 2010-08-19 마이크로닉 레이저 시스템즈 에이비 패턴 에러들을 검출하기 위한 방법들 및 장치들
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US8115992B2 (en) * 2007-12-31 2012-02-14 Stc.Unm Structural illumination and evanescent coupling for the extension of imaging interferometric microscopy
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8194301B2 (en) * 2008-03-04 2012-06-05 Kla-Tencor Corporation Multi-spot scanning system and method
JP2009253209A (ja) * 2008-04-10 2009-10-29 Canon Inc 露光装置及びデバイス製造方法
NL1036702A1 (nl) 2008-04-15 2009-10-19 Asml Holding Nv Diffraction elements for alignment targets.
NL1036856A1 (nl) * 2008-04-24 2009-10-27 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US7986412B2 (en) * 2008-06-03 2011-07-26 Jzw Llc Interferometric defect detection and classification
US7990534B2 (en) 2008-07-08 2011-08-02 Tokyo Electron Limited System and method for azimuth angle calibration
US8930156B2 (en) * 2008-07-21 2015-01-06 Kla-Tencor Corporation Metrology through use of feed forward feed sideways and measurement cell re-use
US9080991B2 (en) * 2008-09-29 2015-07-14 Kla-Tencor Corp. Illuminating a specimen for metrology or inspection
KR101331107B1 (ko) * 2008-11-07 2013-11-19 에이에스엠엘 네델란즈 비.브이. 스캐터로미터 및 리소그래피 장치
US8120781B2 (en) * 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
JP5214538B2 (ja) * 2009-05-25 2013-06-19 オリンパス株式会社 画像取得装置、画像合成方法、及び顕微鏡システム
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US9164397B2 (en) * 2010-08-03 2015-10-20 Kla-Tencor Corporation Optics symmetrization for metrology
JP2012037310A (ja) * 2010-08-05 2012-02-23 Renesas Electronics Corp 半導体集積回路の故障解析装置及び故障解析方法
JP5718012B2 (ja) * 2010-10-13 2015-05-13 オリンパス株式会社 走査型レーザ顕微鏡
WO2012126718A1 (en) * 2011-03-21 2012-09-27 Asml Netherlands B.V. Method and apparatus for determining structure parameters of microstructures
US8681413B2 (en) * 2011-06-27 2014-03-25 Kla-Tencor Corporation Illumination control
CN103748515A (zh) 2011-08-23 2014-04-23 Asml荷兰有限公司 量测方法和设备以及器件制造方法
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US8982358B2 (en) * 2012-01-17 2015-03-17 Kla-Tencor Corporation Apparatus and method of measuring roughness and other parameters of a structure
KR101704591B1 (ko) 2012-02-21 2017-02-08 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법
US8817273B2 (en) 2012-04-24 2014-08-26 Nanometrics Incorporated Dark field diffraction based overlay
JP6353831B2 (ja) 2012-06-26 2018-07-04 ケーエルエー−テンカー コーポレイション 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
US9217717B2 (en) * 2012-12-17 2015-12-22 Kla-Tencor Corporation Two dimensional optical detector with multiple shift registers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010050896A1 (en) * 1998-05-29 2001-12-13 Terastor Corporation, A Delaware Corporation Beam focusing in near-field optical recording and reading
TW200612212A (en) * 2004-08-19 2006-04-16 Zetetic Inst Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers

Also Published As

Publication number Publication date
US20180106723A1 (en) 2018-04-19
KR20150036214A (ko) 2015-04-07
US20150116717A1 (en) 2015-04-30
WO2014004564A1 (en) 2014-01-03
TW201418661A (zh) 2014-05-16
JP6353831B2 (ja) 2018-07-04
US9958385B2 (en) 2018-05-01
US10126238B2 (en) 2018-11-13
EP2865003A1 (en) 2015-04-29
TW201408988A (zh) 2014-03-01
TWI629448B (zh) 2018-07-11
US10533940B2 (en) 2020-01-14
KR102231730B1 (ko) 2021-03-24
JP2020073888A (ja) 2020-05-14
KR102330743B1 (ko) 2021-11-23
JP7046898B2 (ja) 2022-04-04
US20190094142A1 (en) 2019-03-28
JP6628835B2 (ja) 2020-01-15
KR20210080592A (ko) 2021-06-30
KR20210033063A (ko) 2021-03-25
KR102330741B1 (ko) 2021-11-23
JP2018179998A (ja) 2018-11-15
JP2015524555A (ja) 2015-08-24

Similar Documents

Publication Publication Date Title
TWI609169B (zh) 量測系統及方法
US10261014B2 (en) Near field metrology
KR102386664B1 (ko) 분광 빔 프로파일 계측
JP5444334B2 (ja) 干渉欠陥検知及び分類
TWI461857B (zh) 用於角度解析分光鏡微影特性描述之方法及裝置
TW201643414A (zh) 具有小照明光斑尺寸之光學計量
WO1998045869A1 (en) Apodizing filter system useful for reducing spot size in optical measurements and for other applications
US10126659B2 (en) Method and apparatus for inspection and metrology
KR20140127339A (ko) 필드 향상 요소를 갖는 타겟을 사용하는 광학 계측
JP7438424B2 (ja) 粒子検出のためのシステム及び方法
WO1998045869A9 (en) Apodizing filter system useful for reducing spot size in optical measurements and for other applications
JP2005530144A (ja) 単一構造の光学測定法
CN115307735A (zh) 用于以层特定照明光谱的计量的系统及方法
KR102362673B1 (ko) 개선된 스폿 크기 능력을 갖는 단일 파장 엘립소메트리
US20110188032A1 (en) Far-field superlensing
JP7508659B2 (ja) 空間的に変化する偏光回転子および偏光子を用いた高感度粒子検出
KR20190095962A (ko) 포커스-민감성 계측 타겟들을 위한 시스템 및 방법
JP7353380B2 (ja) 反射回折格子の製造
KR101928439B1 (ko) 나노구조의 cd에 대한 광학 측정 시스템 및 측정 방법
Bischoff et al. New aspects of optical scatterometry applied to microtechnology
CN116819884B (zh) 掩模倾斜角度测量装置及曝光设备
CN116295038B (zh) 基于超表面光栅的纳米级二维位移测量装置及方法
WO2022190210A1 (ja) 欠陥検査装置、欠陥検査方法、および、調整用基板
Quintanilha et al. Sub-50-nm measurements using a 193-nm angle-resolved scatterfield microscope
JP2024508382A (ja) 感受性粒子を検出するための連続縮退楕円リターダ