TWI585980B - 用於非平面電晶體之鎢閘極技術(二) - Google Patents

用於非平面電晶體之鎢閘極技術(二) Download PDF

Info

Publication number
TWI585980B
TWI585980B TW104131442A TW104131442A TWI585980B TW I585980 B TWI585980 B TW I585980B TW 104131442 A TW104131442 A TW 104131442A TW 104131442 A TW104131442 A TW 104131442A TW I585980 B TWI585980 B TW I585980B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
gate
pair
dielectric layer
Prior art date
Application number
TW104131442A
Other languages
English (en)
Other versions
TW201614847A (en
Inventor
Sameer S Pradhan
Daniel B Bergstrom
Jin-Sung Chun
Julia Chiu
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW201614847A publication Critical patent/TW201614847A/zh
Application granted granted Critical
Publication of TWI585980B publication Critical patent/TWI585980B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]

Description

用於非平面電晶體之鎢閘極技術(二) 發明領域
本發明係有關於用於非平面電晶體之鎢閘極技術。
發明背景
本說明之實施例一般而言有關於微電子裝置製造領域,且更具體而言,有關於在非平面電晶體內製造鎢閘極。
發明概要
依據本發明之一實施例,係特地提出一種裝置,其包含有:一基板,其中該基板包含一矽鰭(silicon fin);在該基板上之一第一介電層,其中該第一介電層包含矽和氧;在該第一介電層上之一第二介電層,其中該第二介電層包含鉿和氧;在該基板上之一對閘極間隔物,其中該等閘極間隔物包含一介電材料;在該第二介電材料之上且在該對閘極間隔物之間的一NMOS金屬閘極電極,其中該NMOS金屬閘極電極包含:接近該對閘極間隔物且在該第 二介電層之上的一第一金屬層,其中該第一金屬層包含鋁、鈦和碳;及在該第一金屬層上之一第二金屬層,其中該第二金屬層包含鈦和氮;接近該對閘極間隔物之一者的一源極區,與接近該對閘極間隔物之另一者的一汲極區,其中該源極區和該汲極區包含一n型摻雜物;耦接至該源極區之一第一接點,其中該第一接點包含一鎢材料在一第一障蔽層之上;以及耦接至該汲極區之一第二接點,其中該第二接點包含一鎢材料在一第二障蔽層之上。
100‧‧‧非平面電晶體
102‧‧‧微電子基板
112‧‧‧非平面電晶體鰭/鰭
114‧‧‧頂面/非平面電晶體鰭頂面
116‧‧‧側壁/非平面電晶體鰭側壁
118‧‧‧相對的側壁/相對的非平面電晶體鰭側壁/側壁
122‧‧‧非平面電晶體閘極
124‧‧‧閘極介電層/閘極介電質
126‧‧‧閘極電極/非平面電晶體閘極電極
132‧‧‧犧牲材料
134‧‧‧溝槽
136‧‧‧犧牲閘極
142‧‧‧共形介電層/共形介電材料層
144‧‧‧閘極間隔物
146‧‧‧側壁
148‧‧‧頂面/犧牲閘極頂面
150‧‧‧源極/汲極區
150a‧‧‧源極區
150b‧‧‧汲極區
152‧‧‧第一介電材料層
154‧‧‧閘極溝槽
156‧‧‧NMOS功函數材料
158‧‧‧閘極填充障蔽/閘極障蔽層
162‧‧‧鎢閘極填充材料/凹入的非平面電晶體/凹入的非平面電晶體閘極
164‧‧‧凹口
166‧‧‧凹入的非平面電晶體閘極/覆蓋介電結構
168‧‧‧覆蓋介電材料/介電材料 層/第二介電層
170‧‧‧覆蓋介電結構
172‧‧‧第二介電材料層/第二介電層
174‧‧‧蝕刻遮罩176‧‧‧開口
182‧‧‧接觸開口
188‧‧‧導電接觸材料
190‧‧‧源極/汲極接點
200、300‧‧‧方法
210、220、310、320‧‧‧方塊
本揭露之標的在本說明書最後部分中被特別指出且被清楚地請求保護。本揭露之前述及其他特徵將由結合附圖的下述說明及所附申請專利範圍變得更加清楚。應理解的是,附圖僅描繪依據本揭露的若干實施例,且因此,不欲被視為限制其範圍。本揭露將透過使用附圖描述另外的特性及細節,使得本揭露之優勢可更容易確定,其中:圖1是依據本說明之一實施例的一非平面電晶體的一透視圖。
圖2繪示在一微電子基板中或在一微電子基板上形成的一非平面電晶體鳍部的一側截面圖。
圖3繪示依據本說明之一實施例,沈積在圖2之非平面電晶體鳍部上的一犧牲材料的一側截面圖。
圖4繪示依據本說明之一實施例,在沈積的犧牲材料中形成,以暴露圖3之非平面電晶體鳍部的一部分的一溝槽的一側截面圖。
圖5繪示依據本說明之一實施例,在圖4之溝槽中形成的一犧牲閘極的一側截面圖。
圖6繪示依據本說明之一實施例,在移除圖5之犧牲材料之後,犧牲閘極的一側截面圖。
圖7繪示依據本說明之一實施例,沈積在圖6之犧牲閘極及微電子基板上的一共形介電層的一側截面圖。
圖8繪示依據本說明之一實施例,由圖7之共形介電層形成之閘極間隔物的一側截面圖。
圖9繪示依據本說明之一實施例,在圖8之閘極間隔物任一側上的非平面電晶體鳍部中形成的一源極區及一汲極區的一側截面圖。
圖10繪示依據本說明之一實施例,沈積在圖9之閘極間隔物、犧牲閘極、非平面電晶體鳍部,及微電子基板上的第一介電材料的一側截面圖。
圖11繪示依據本說明之一實施例,在平面化第一介電材料以暴露犧牲閘極的一頂面之後,圖10之結構的一側截面圖。
圖12繪示依據本說明之一實施例,在移除犧牲閘極以形成一閘極溝槽之後,圖11之結構的一側截面圖。
圖13繪示依據本說明之一實施例,在形成與閘極間隔物之間的非平面電晶體鳍部相鄰的一閘極介電質之後,圖12之結構的一側截面圖。
圖14繪示依據本說明之一實施例,在閘極溝槽內形成一NMOS功函數材料之後,圖13之結構的一側截面圖。
圖15繪示依據本說明之一實施例,在形成沈積在NMOS功函數材料上的一閘極填充障蔽之後,圖14之結構的一側截面圖。
圖16繪示依據本說明之一實施例,沈積在圖15之閘極溝槽中的一導電閘極材料的一側截面圖。
圖17繪示依據本說明之一實施例,在移除過量的導電閘極材料以形成一非平面電晶體閘極之後,圖16之結構的一側截面圖。
圖18繪示依據本說明之一實施例,在蝕刻掉非平面電晶體閘極的一部分以形成一凹入的非平面電晶體閘極之後,圖17之結構的一側截面圖。
圖19繪示依據本說明之一實施例,在沈積一覆蓋介電材料到由形成凹入的非平面電晶體閘極所產生之凹口中之後,圖18之結構的一側截面圖。
圖20繪示依據本說明之一實施例,在移除過量的覆蓋介電材料以在非平面電晶體閘極上形成一覆蓋結構之後,圖19之結構的一側截面圖。
圖21繪示依據本說明之一實施例,沈積在圖20之第一介電材料層、閘極間隔物,及犧牲閘極頂面上的第二介電材料的一側截面圖。
圖22繪示依據本說明之一實施例,圖案形成在圖21之第二介電材料上的一蝕刻遮罩的一側截面圖。
圖23繪示依據本說明之一實施例,穿過圖22之第一及第二介電材料層所形成之一接觸開口的一側截面圖。
圖24繪示依據本說明之一實施例,在移除蝕刻遮罩之後,圖23之結構的一側截面圖。
圖25繪示依據本說明之一實施例,沈積在圖24之接觸開口中的一導電接觸材料的一側截面圖。
圖26繪示依據本說明之一實施例,在移除過量的導電接觸材料以形成一源極/汲極接點之後,圖25之結構的一側截面圖。
圖27是依據本說明之一實施例,形成一非平面電晶體的一方法的一流程圖。
圖28是依據本說明之另一實施例,形成一非平面電晶體的一方法的一流程圖。
較佳實施例之詳細說明
在以下詳細說明中,參考的附圖是以圖示方式繪示申請專利之標的可在其中被實施的特定實施例。這些實施例以充分的細節被描述以使熟於此技者能夠實施該標的。應理解的是,各種不同的實施例雖然各不相同,但不一定互斥。例如,在不背離所申請專利之標的之精神及範圍的情況下,連同一實施例在本文中描述的一特定特徵、結構,或特性可在其他實施例內實施。此說明書內提及「一個實施例」或「一實施例」意指連同該實施例所描述的一特定特徵、結構或特性被包括在本發明所包含的至少一實施中。因此,使用片語「一個實施例」或「在一實施例中」並不一定指同一實施例。除此之外,應理解的是,每一揭 露實施例內的個別元件之位置或配置可在不背離所申請專利之標的之精神及範圍下修改。因此,下述詳細說明不應被理解為具限制性意義,且標的之範圍僅藉由適當解讀的所附申請專利範圍,連同所附申請專利範圍享有權利之均等物的完整範圍來界定。在諸圖中,相同的數字在全部的幾個視圖中皆指相同或相似的元件或功能,且其中所描繪的元件不一定相互成比例,而是個別元件可被放大或縮小,以更易於理解本說明中的元件。
在製造非平面電晶體,諸如三閘電晶體及FinFET的過程中,非平面半導體本體可用以形成能夠完全耗盡、具有非常小的閘極長度(例如,小於約30nm)的電晶體。這些半導體本體一般是鰭形的,且因此,一般被稱作電晶體「鰭」。例如,在一三閘電晶體中,電晶體鰭具有在一塊體半導體基板或一絕緣體上覆矽基板上形成的一頂面及兩個相對側壁。一閘極介電質可在半導體本體之頂面及側壁上形成,且一閘極電極可在半導體本體頂面上的閘極介電質之上且鄰接半導體本體側壁上的閘極介電質被形成。因此,由於閘極介電質及閘極電極與半導體本體之三個表面相鄰,形成三個單獨的通道及閘極。因為形成了三個單獨的通道,當電晶體導通時,半導體本體可以完全耗盡。關於finFET電晶體,閘極材料及電極僅接觸半導體本體之側壁,使得二單獨通道形成(而非三閘電晶體中的三個)。
本說明之實施例有關於在非平面電晶體內形成閘極,其中一NMOS功函數材料,諸如鋁、鈦,及碳的組 成可與含鈦閘極填充障蔽結合使用以便於在形成非平面電晶體閘極之閘極電極中使用一含鎢導電材料。
圖1是一非平面電晶體100的一透視圖,非平面電晶體100包括在至少一電晶體鰭上形成的至少一閘極,該至少一電晶體鰭在一微電子基板102上形成。在本揭露之一實施例中,微電子基板102可以是一單晶矽基板。微電子基板102也可以是其他類型的基板,諸如絕緣體上覆矽(「SOI」)、鍺、砷化鎵、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵,銻化鎵等,它們中的任一者可與矽結合。
該非平面電晶體,繪示為一三閘電晶體,可包括至少一非平面電晶體鰭112。非平面電晶體鰭112可具有一頂面114及一對橫向相對的側壁,各別的側壁116與相對的側壁118。
如圖1中進一步所示,至少一非平面電晶體閘極122可在非平面電晶體鰭112上形成。非平面電晶體閘極122可藉由在非平面電晶體鰭頂面114上或與之相鄰,及在非平面電晶體鰭側壁116及相對的非平面電晶體鰭側壁118上或與之相鄰而形成一閘極介電層124被製作。一閘極電極126可在閘極介電層124上或與之相鄰而形成。在本揭露之一實施例中,非平面電晶體鰭112可延伸在一大體垂直於非平面電晶體閘極122的方向上。
閘極介電層124可由任一種已知的閘極介電材料形成,包括但並不限於,二氧化矽(SiO2)、氮氧化矽(SiOxNy)、氮化矽(Si3N4),及高k介電材料,諸如氧化鉿、 矽酸鉿、氧化鑭、鋁酸鑭、氧化鋯、矽酸鋯、氧化鉭、氧化鈦、鈦酸鍶鋇、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、鉭酸鈧鉛及鈮鋅酸鉛。熟於此技者將理解的是,閘極介電層124可藉由已知的技術來形成,諸如藉由共形地沈積一閘極介電材料且接著用已知的光蝕刻法及蝕刻技術來使該閘極介電材料形成圖案。
閘極電極126可藉由將討論的本發明之各種不同的實施例來形成。
一源極區及一汲極區(圖1中未示)可在非平面電晶體鰭112中、閘極電極126的兩側形成。在一實施例中,熟於此技者將理解的是,源極及汲極區可藉由摻雜非平面電晶體鰭112而形成。在另一實施例中,熟於此技者將理解的是,源極及汲極區可藉由移除非平面電晶體鰭112的一部分且用(複數)形成源極及汲極區的適當材料替換這些部分而形成。在又一實施例中,源極及汲極區可藉由在鰭112上磊晶成長摻雜或未摻雜的應變層而形成。
圖2-26繪示製造一非平面電晶體之一實施例的側截面圖,其中圖2-5是沿圖1之箭頭A-A及B-B的視圖,圖6-15是沿圖1之箭頭A-A的視圖,且圖16-26是沿圖1之箭頭C-C的視圖。
如圖2中所示,非平面電晶體鰭112可藉由用業內已知的任一種技術蝕刻微電子基板102或藉由在微電子基板102上形成非平面電晶體鰭112而形成。如圖3中所示,一犧牲材料132可沈積在非平面電晶體鰭112上,如圖3中所 示,且一溝槽134可在犧牲材料132中形成以暴露非平面電晶體鰭112的一部分,如圖4中所示。犧牲材料132可以是業內已知的任一種適當的材料,且溝槽134可藉由業內已知的任一種技術來形成,包括但並不限於,微影遮罩及蝕刻。
如圖5中所示,一犧牲閘極136可在溝槽134中形成(參見圖4)。犧牲閘極136可以是任一種適當的材料,諸如多晶矽材料等,且可藉由業內已知的任一種技術沈積在溝槽134中(參見圖4),包括但並不限於,化學氣相沈積(「CVD」)及物理氣相沈積(「PVD」)。
如圖6中所示,圖5之犧牲材料132可藉由業內已知的任一種技術被移除以暴露犧牲閘極136,諸如選擇性地蝕刻犧牲材料132。如圖7中所示,一共形介電層142可沈積在犧牲閘極136及微電子基板102上。共形介電層142可以是任一種適當的材料,包括但並不限於,氮化矽(Si3N4)及碳化矽(SiC),且可藉由任一種適當的技術來形成,包括但並不限於,原子層沈積(「ALD」)。
如圖8中所示,圖7之共形介電層142可被蝕刻,諸如藉由利用一適當蝕刻劑的定向蝕刻,以在犧牲閘極136之側壁146上形成一對閘極間隔物144,同時實質上移除與微電子基板102及犧牲閘極136之一頂面148相鄰的共形介電材料層142。據瞭解,在閘極間隔物144形成期間,鰭式間隔物(圖未示)可同時在非平面電晶體鰭112之側壁116及118上形成(參見圖1)。
如圖9中所示,一源極區150a及一汲極區150b可 在閘極間隔物144之任一側上形成。在一實施例中,源極區150a及汲極區150b可在植入N型離子摻雜物的非平面電晶體鰭112中形成。熟於此技者將理解的是,摻雜物植入是在半導性材料中引入雜質以實現改變其傳導率及電子性質之目的的一程序。這一般藉由P型離子(例如,硼)或N型離子(例如,磷)的離子植入來實現,P型離子及N型離子統稱為「摻雜物」。在另一實施例中,部分的非平面電晶體鰭112可藉由業內已知的任一種技術,諸如蝕刻來移除,且源極區150a及汲極區150b可形成以替代移除的部分。在又一實施例中,源極區及汲極區可藉由在鰭112上磊晶成長摻雜的或未摻雜的應變層而形成。在下文中,源極區150a及汲極區將被統稱為「源極/汲極區150」。熟於此技者將理解的是,具有P型源極及汲極的電晶體被稱作「PMOS」或「p通道金屬氧化物半導體」電晶體,且具有N型源極及汲極的電晶體被稱作「NMOS」或「n通道金屬氧化物半導體」電晶體。本說明有關於NMOS電晶體。因此,源極/汲極區150可以是N型。
如圖10中所示,第一介電材料層152可沈積在閘極間隔物144、犧牲閘極頂面148、非平面電晶體鰭112及微電子基板102上。第一介電材料層152可被平面化以暴露犧牲閘極頂面148,如圖11中所示。第一介電材料層152之平面化可藉由業內已知的任一種技術來實現,包括但並不限於化學機械研磨(CMP)。
如圖12中所示,圖11之犧牲閘極136可被移除以 形成一閘極溝槽154。犧牲閘極136可藉由業內已知的任一種技術來移除,諸如選擇性蝕刻。如圖13中所示,閘極介電層124,如同也繪示在圖1中,可被形成為鄰接非平面電晶體鰭112,如先前所討論的。形成閘極介電質124的材料及方法先前已經討論過。
如圖14中所示,一NMOS功函數材料156可共形地沈積在閘極溝槽154內。NMOS功函數材料156可包含包括鋁、鈦及碳的一組成。在一實施例中,按重量計,NMOS功函數材料156可包括約20到40%的鋁,約30到50%的鈦,及約10到30%的碳。在另一實施例中,按重量計,該功函數材料可包括約33%的鋁,約43%的鈦,及約24%的碳。熟於此技者將理解的是,NMOS功函數材料156可藉由一ALD製程共形地沈積以良好地覆蓋非平面電晶體鰭112,且在閘極溝槽154周圍實現一同一的閾值電壓。進一步理解的是,鋁與鈦之比可被調整以調整非平面電晶體100之功函數,而碳可能是ALD製程的一人為因素導入,而非一加入的組分。
如圖15中所示,一閘極填充障蔽158可共形地沈積在NMOS功函數材料156上。閘極填充障蔽158可以是一含鈦材料,包括但並不限於,實質上之純鈦、氮化鈦等。閘極填充障蔽158可藉由任一種已知的技術來形成。在一實施例中,閘極填充障蔽158可以是藉由一化學氣相沈積製程形成的氮化鈦,該化學氣相沈積製程包含在約400℃下利用電漿緻密化來分解四(二甲胺基)鈦(TDMAT)。在又一實施例中,閘極填充障蔽158可以是藉由一原子層沈積製程形成的 氮化鈦,該原子層沈積製程包含在約300℃下的氯化鈦(TiCl)及氨(NH3)脈衝。在又一實施例中,閘極填充障蔽158可以是一雙層的鈦及氮化鈦,其中一鈦層可藉由物理氣相沈積形成,且氮化鈦可如上文所述來形成。閘極障蔽層158可允許在一接著的步驟中使用六氟化鎢來沈積鎢以防止氟腐蝕。在鈦/氮化鈦雙層中使用的鈦層可作用為任何可能擴散通過氮化鈦層的氟的一吸氣劑。
如圖16中所示,一鎢閘極填充材料162可沈積在閘極填充障蔽158上。鎢閘極填充材料162可藉由業內已知的任一種技術形成。在一實施例中,一成核層可被形成,諸如在約300℃下的脈衝二硼烷及六氟化鎢,之後是藉由在約395℃下與氫反應的一六氟化鎢成長的塊體鎢。在一實施例中,鎢閘極填充材料162是一含鎢材料。在另一實施例中,鎢閘極填充材料162是實質上的純鎢。
過量的鎢閘極填充材料162(例如,不在圖16之閘極溝槽154內的鎢閘極填充材料162)可被移除以形成非平面電晶體閘極電極126(還參見圖1),如圖17中所示。移除過量的鎢閘極填充材料162可藉由業內已知的任一種技術來實現,包括但並不限於,化學機械研磨(CMP)、蝕刻等。
如圖18中所示,非平面電晶體閘極電極126的一部分可被移除以形成一凹口164及一凹入的非平面電晶體閘極166。移除可藉由任一種已知的技術來完成,包括但並不限於,濕式或乾式蝕刻。在一實施例中,凹口的形成可能是由一乾式蝕刻與一濕式蝕刻的組合所導致。例如,鎢 閘極填充材料162可利用一六氟化硫乾式蝕刻而形成凹口,且NMOS功函數材料156可利用後續的一濕式蝕刻而形成凹口。
如圖19中所示,一覆蓋介電材料168可被沈積以填充圖18之凹口164。覆蓋介電材料168可以是任一種適當的材料,包括但並不限於,氮化矽(Si3N4)及碳化矽(SiC),且可藉由任一種適當的沈積技術形成。覆蓋介電材料168可被平面化以移除過量的覆蓋介電材料168(例如,不在圖16之凹口內的覆蓋介電材料168)以在凹入的非平面電晶體閘極166上及一閘極間隔物144之間形成一覆蓋介電結構170,如圖20中所示。移除過量的覆蓋介電材料168可藉由業內已知的任一種技術來實現,包括但並不限於,化學機械研磨(CMP)、蝕刻等。
如圖21中所示,第二介電材料層172可沈積在第一介電材料層152、閘極間隔物144,及覆蓋介電結構170上。第二介電材料層172可藉由任一種已知的沈積技術,由任一種適當的介電材料形成,包括但並不限於,二氧化矽(SiO2)、氮氧化矽(SiOxNy),及氮化矽(Si3N4)。如圖22中所示,一蝕刻遮罩174可諸如藉由已知的微影技術在第二介電材料層172上形成具有至少一開口176的圖案。
如圖23中所示,一接觸開口182可藉由蝕穿圖24之蝕刻遮罩開口176而穿過第一介電材料層152及第二介電材料層172被形成,以暴露源極/汲極區150的一部分。圖23之蝕刻遮罩174可在之後被移除,如圖24中所示。在一實施 例中,第一介電材料層152及第二介電材料層172不同於閘極間隔物144及覆蓋介電結構170兩者之介電材料,使得第一介電材料層152及第二介電層172之蝕刻對閘極間隔物144及覆蓋介電結構170可以是選擇性的(即,蝕刻更快)。這在業內被稱作自對準。
如圖25中所示,一導電接觸材料188可沈積在圖23之接觸開口182中。導電接觸材料188可包括但並不限於,多晶矽、鎢、釕、鈀、鉑、鈷、鎳、鉿、鋯、鈦、鉭、鋁、碳化鈦、碳化鋯、碳化鉭、碳化鉿、碳化鋁、其他金屬碳化物、金屬氮化物,及金屬氧化物。應理解的是,在沈積導電接觸材料188之前,各種不同的黏合層、障蔽層、矽化物層,及/或導電層可共形地配置或形成在圖23之接觸開口182中。
如圖26中所示,圖27之過量的導電接觸材料188(例如,不在圖24之接觸開口182內的導電接觸材料188)可被移除以形成一源極/汲極接點190。移除過量的導電接觸材料188可藉由業內已知的任一種技術來實現,包括但並不限於,化學機械研磨(CMP)、蝕刻等。
如上所述,在一實施例中,第一介電材料層152及介電材料層168不同於閘極間隔物144及覆蓋介電結構166兩者之介電材料,使得第一介電材料層152及第二介電層168的蝕刻對閘極間隔物144及覆蓋介電結構166可以是選擇性的(即,蝕刻更快)。因此,凹入的非平面電晶體162在接觸開口182形成期間受到保護。這允許形成一相對較大 尺寸的源極/汲極接點190,這可增加電晶體驅動電流的性能,而源極/汲極接點190與凹入的非平面電晶體閘極162之間不會有短路的風險。
雖然本說明有關於非平面NMOS電晶體,但是應理解的是,包含非平面NMOS電晶體的積體電路也可包括非平面PMOS電晶體。因此,非平面NMOS電晶體的製程可被併入一總體的積體電路製程。
在一實施例中,如圖27中的流程圖之方法200中所示,在形成圖2-13中的結構之後,一PMOS功函數材料,諸如氮化鈦,可沈積在閘極溝槽中,如方塊210中所定義的。如方塊220中所定義的,製造NMOS閘極的區域內的PMOS功函數材料的一部分可被移除,諸如藉由業內已知的抗蝕圖案形成及蝕刻。該方法可接著繼續從圖14開始,諸如使形成圖案的抗蝕劑處於適當的位置,同時沈積NMOS功函數材料。
在一實施例中,如圖28中的流程圖之方法300中所示,在形成圖2-14中的結構之後,製造PMOS閘極的區域內的NMOS功函數材料的一部分可被移除,諸如藉由業內已知的抗蝕圖案形成及蝕刻。如方塊310中所定義的,一PMOS功函數材料,諸如氮化鈦,可沈積在閘極溝槽中,如方塊320中所定義的。該方法可接著繼續從圖15開始。應理解的是,可能不需要單獨形成閘極填充障蔽158,如圖15中所示,因為方塊310中沈積的PMOS功函數也可用作閘極填充障蔽158。
應理解的是,本說明之標的並不一定限於圖1-28中所示之特定應用。熟於此技者將理解的是,該標的可應用於其他微電子裝置製造應用。
已經詳細描述了本發明之實施例,應理解的是,後附申請專利範圍所定義的本發明不欲受上述說明中所提及之特定細節的限制,在不背離其精神或範圍的情況下,許多明顯的變化是可能的。
100‧‧‧非平面電晶體
102‧‧‧微電子基板
112‧‧‧非平面電晶體鰭/鰭
114‧‧‧頂面/非平面電晶體鰭頂面
116‧‧‧側壁/非平面電晶體鰭側壁
118‧‧‧相對的側壁/相對的非平面電晶體鰭側壁/側壁
122‧‧‧非平面電晶體閘極
124‧‧‧閘極介電層/閘極介電質
126‧‧‧閘極電極/非平面電晶體閘極電極

Claims (16)

  1. 一種微電子裝置,其包含有:一基板,其中該基板包含一矽鰭(silicon fin);在該基板上之一第一介電層,其中該第一介電層包含矽和氧;在該第一介電層上之一第二介電層,其中該第二介電層包含鉿和氧;在該基板上之一對閘極間隔物,其中該等閘極間隔物包含一介電材料;在該第二介電材料之上且在該對閘極間隔物之間的一NMOS金屬閘極電極,其中該NMOS金屬閘極電極包含:接近該對閘極間隔物且在該第二介電層之上的一第一金屬層,其中該第一金屬層包含鋁、鈦和碳;及在該第一金屬層上之一第二金屬層,其中該第二金屬層包含鈦和氮;接近該對閘極間隔物之一者的一源極區,與接近該對閘極間隔物之另一者的一汲極區,其中該源極區和該汲極區包含一n型摻雜物;耦接至該源極區之一第一接點,其中該第一接點包含一鎢材料在一第一障蔽層之上;以及耦接至該汲極區之一第二接點,其中該第二接點包 含一鎢材料在一第二障蔽層之上。
  2. 如請求項1之裝置,其中該NMOS金屬閘極電極係非平面的。
  3. 如請求項1之裝置,其中該NMOS功函數材料包含約20重量百分比至約40重量百分比之間的鋁、約30重量百分比至約50重量百分比之間的鈦、及約10重量百分比至約30重量百分比之間的碳。
  4. 如請求項1之裝置,進一步包括配置成與該NMOS金屬閘極電極相鄰且在該對閘極間隔物之間的一覆蓋介電結構。
  5. 如請求項1之裝置,其中該第二介電層包含一高k介電層。
  6. 如請求項1之裝置,其中該第一金屬層包含一功函數層。
  7. 如請求項1之裝置,其中該第二金屬層包含一障蔽層。
  8. 一種微電子裝置,其包含有:一基板,其中該基板包含一矽鰭;在該基板上之一第一介電層,其中該第一介電層包含矽和氧;在該第一介電層上之一第二介電層,其中該第二介電層包含鉿和氧;在該基板上之一對閘極間隔物,其中該等閘極間隔物包含一介電材料;在該第二介電層之上且在該對閘極間隔物之間的一NMOS金屬閘極電極,其中該NMOS金屬閘極電極包 含:接近該對閘極間隔物且在該第二介電層之上的一第一金屬層,其中該第一金屬層包含鈦和氮;及在該第一金屬層上之一第二金屬層,其中該第二金屬層包含鎢;接近該對閘極間隔物之一者的一源極區,與接近該對閘極間隔物之另一者的一汲極區,其中該源極區和該汲極區包含一n型摻雜物;耦接至該源極區之一第一接點,其中該第一接點包含一鎢材料在一第一障蔽層之上;以及耦接至該汲極區之一第二接點,其中該第二接點包含一鎢材料在一第二障蔽層之上。
  9. 如請求項8之裝置,其中該NMOS金屬閘極電極係非平面的。
  10. 如請求項8之裝置,其中該NMOS功函數材料包含約20重量百分比至約40重量百分比之間的鋁、約30重量百分比至約50重量百分比之間的鈦、及約10重量百分比至約30重量百分比之間的碳。
  11. 如請求項8之裝置,進一步包括配置成與該NMOS金屬閘極電極相鄰且在該對閘極間隔物之間的一覆蓋介電結構。
  12. 如請求項8之裝置,其中該第二介電層包含一高k介電層。
  13. 如請求項8之裝置,其中該第一金屬層包含一障蔽層。
  14. 如請求項8之裝置,其中該第二金屬層包含一填充層。
  15. 一種微電子總成,其包含有:一第一電晶體,其包含有:一基板,其中該基板包含一矽鰭;在該基板上之一第一介電層,其中該第一介電層包含矽和氧;在該第一介電層上之一第二介電層,其中該第二介電層包含鉿和氧;在該基板上之一對閘極間隔物,其中該等閘極間隔物包含一介電材料;在該第二介電材料之上且在該對閘極間隔物之間的一NMOS金屬閘極電極,其中該第一NMOS金屬閘極電極包含:接近該對閘極間隔物且在該第二介電層之上的一功函數層,其中該功函數層包含鋁、鈦和碳;及在該功函數層上之一障蔽層,其中該障蔽層包含鈦和氮;接近該對閘極間隔物之一者的一源極區,與接近該對閘極間隔物之另一者的一汲極區,其中該源極區和該汲極區包含一n型摻雜物;耦接至該源極區之一第一接點,其中該第一接點包含一鎢材料在一第一障蔽層之上;耦接至該汲極區之一第二接點,其中該第二接 點包含一鎢材料在一第二障蔽層之上;以及一第二電晶體,其包含有:一基板,其中該基板包含一矽鰭;在該基板上之一第一介電層,其中該第一介電層包含矽和氧;在該第一介電層上之一第二介電層,其中該第二介電層包含鉿和氧;在該基板上之一對閘極間隔物,其中該等閘極間隔物包含一介電材料;在該第二介電材料之上且在該對閘極間隔物之間的一NMOS金屬閘極電極,其中該NMOS金屬閘極電極包含:在該高k介電材料上之一障蔽層,其中該障蔽層包含鈦和氮;及在該含有鈦的障蔽材料上之一含有鎢的閘極填充材料;接近該對閘極間隔物之一者的一源極區,與接近該對閘極間隔物之另一者的一汲極區,其中該源極區和該汲極區包含一n型摻雜物;耦接至該源極區之一第一接點,其中該第一接點包含一鎢材料在一第一障蔽層之上;以及耦接至該汲極區之一第二接點,其中該第二接點包含一鎢材料在一第二障蔽層之上。
  16. 如請求項15之總成,其中該總成包含一積體電路之一部 分。
TW104131442A 2011-09-30 2012-09-20 用於非平面電晶體之鎢閘極技術(二) TWI585980B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/054294 WO2013048449A1 (en) 2011-09-30 2011-09-30 Tungsten gates for non-planar transistors

Publications (2)

Publication Number Publication Date
TW201614847A TW201614847A (en) 2016-04-16
TWI585980B true TWI585980B (zh) 2017-06-01

Family

ID=47996182

Family Applications (4)

Application Number Title Priority Date Filing Date
TW106117419A TWI643345B (zh) 2011-09-30 2012-09-20 用於非平面電晶體之鎢閘極技術(四)
TW106100303A TWI595666B (zh) 2011-09-30 2012-09-20 用於非平面電晶體之鎢閘極技術(三)
TW104131442A TWI585980B (zh) 2011-09-30 2012-09-20 用於非平面電晶體之鎢閘極技術(二)
TW101134489A TWI512986B (zh) 2011-09-30 2012-09-20 用於非平面電晶體之鎢閘極技術

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW106117419A TWI643345B (zh) 2011-09-30 2012-09-20 用於非平面電晶體之鎢閘極技術(四)
TW106100303A TWI595666B (zh) 2011-09-30 2012-09-20 用於非平面電晶體之鎢閘極技術(三)

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW101134489A TWI512986B (zh) 2011-09-30 2012-09-20 用於非平面電晶體之鎢閘極技術

Country Status (6)

Country Link
US (1) US9177867B2 (zh)
EP (4) EP3506367A1 (zh)
KR (4) KR20170106657A (zh)
CN (3) CN106783971A (zh)
TW (4) TWI643345B (zh)
WO (1) WO2013048449A1 (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140049075A (ko) 2011-09-30 2014-04-24 인텔 코오퍼레이션 트랜지스터 게이트용 캡핑 유전체 구조
EP3506367A1 (en) 2011-09-30 2019-07-03 Intel Corporation Tungsten gates for non-planar transistors
US9637810B2 (en) 2011-09-30 2017-05-02 Intel Corporation Tungsten gates for non-planar transistors
CN103918083A (zh) 2011-10-01 2014-07-09 英特尔公司 非平面晶体管的源极/漏极触点
CN103975424B (zh) 2011-12-06 2016-12-07 英特尔公司 用于非平面晶体管的夹层电介质
US9034703B2 (en) * 2012-09-13 2015-05-19 International Business Machines Corporation Self aligned contact with improved robustness
US20150118836A1 (en) * 2013-10-28 2015-04-30 United Microelectronics Corp. Method of fabricating semiconductor device
US9472456B2 (en) 2013-12-24 2016-10-18 Intel Corporation Technology for selectively etching titanium and titanium nitride in the presence of other materials
CN105765696B (zh) 2013-12-26 2020-09-29 英特尔公司 直接等离子体致密化工艺以及半导体器件
US10096513B2 (en) 2013-12-26 2018-10-09 Intel Corporation Direct plasma densification process and semiconductor devices
MY173962A (en) 2014-03-19 2020-02-28 Intel Corp Method, apparatus and system for single-ended communication of transaction layer packets
MY187344A (en) 2014-03-20 2021-09-22 Intel Corp Method, apparatus and system for configuring a protocol stack of an integrated circuit chip
US9698261B2 (en) 2014-06-30 2017-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical device architecture
CN105448693A (zh) * 2014-09-30 2016-03-30 中芯国际集成电路制造(上海)有限公司 钨电极的形成方法
US9634013B2 (en) * 2014-10-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for semiconductor fabrication
US9583485B2 (en) 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
US9818841B2 (en) * 2015-05-15 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with unleveled gate structure and method for forming the same
US9853123B2 (en) * 2015-10-28 2017-12-26 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
CN107731747B (zh) * 2016-08-12 2020-05-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102379707B1 (ko) 2017-09-13 2022-03-28 삼성전자주식회사 반도체 소자
CN111211045A (zh) * 2018-11-21 2020-05-29 中芯国际集成电路制造(上海)有限公司 金属栅极及其形成方法
US10755964B1 (en) * 2019-05-31 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain isolation structure and methods thereof
US11211462B2 (en) * 2020-03-05 2021-12-28 International Business Machines Corporation Using selectively formed cap layers to form self-aligned contacts to source/drain regions

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200741982A (en) * 2006-04-28 2007-11-01 Hynix Semiconductor Inc Semiconductor device having a fin channel transistor
US7528025B2 (en) * 2004-09-30 2009-05-05 Intel Corporation Nonplanar transistors with metal gate electrodes
US20110147831A1 (en) * 2009-12-23 2011-06-23 Steigerwald Joseph M Method for replacement metal gate fill
TW201123448A (en) * 2009-12-21 2011-07-01 Taiwan Semiconductor Mfg Gate electrode for field effect transistor and field effect transistor

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5399415A (en) 1993-02-05 1995-03-21 Cornell Research Foundation, Inc. Isolated tungsten microelectromechanical structures
US6030692A (en) 1996-09-13 2000-02-29 Netpco Incorporated Cover tape for formed tape packing system and process for making same
JP3025478B2 (ja) * 1998-07-13 2000-03-27 松下電器産業株式会社 半導体装置およびその製造方法
US6136697A (en) 1998-07-27 2000-10-24 Acer Semiconductor Manufacturing Inc. Void-free and volcano-free tungsten-plug for ULSI interconnection
US6331481B1 (en) 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
KR20020029531A (ko) 2000-10-13 2002-04-19 박종섭 다마신 금속게이트를 이용한 반도체소자의 제조방법
KR20020056285A (ko) * 2000-12-29 2002-07-10 박종섭 반도체 소자의 게이트 제조방법
KR100399357B1 (ko) 2001-03-19 2003-09-26 삼성전자주식회사 코발트 실리사이드를 이용한 반도체 장치 및 그 형성 방법
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
JP3654285B2 (ja) 2002-10-04 2005-06-02 セイコーエプソン株式会社 半導体装置の製造方法
KR100567056B1 (ko) 2002-12-10 2006-04-04 주식회사 하이닉스반도체 에스램 소자의 제조방법
JP4408653B2 (ja) 2003-05-30 2010-02-03 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
KR100487567B1 (ko) 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US7033931B2 (en) 2003-08-01 2006-04-25 Agere Systems Inc. Temperature optimization of a physical vapor deposition process to prevent extrusion into openings
US7030430B2 (en) * 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US6921711B2 (en) * 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
JP4447280B2 (ja) 2003-10-16 2010-04-07 リンテック株式会社 表面保護用シートおよび半導体ウエハの研削方法
US7026689B2 (en) 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
TWI277210B (en) 2004-10-26 2007-03-21 Nanya Technology Corp FinFET transistor process
US7230296B2 (en) 2004-11-08 2007-06-12 International Business Machines Corporation Self-aligned low-k gate cap
US7282766B2 (en) 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
KR100585178B1 (ko) 2005-02-05 2006-05-30 삼성전자주식회사 금속 게이트 전극을 가지는 FinFET을 포함하는반도체 소자 및 그 제조방법
KR100578818B1 (ko) 2005-02-24 2006-05-11 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 형성 방법
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
DE102005052000B3 (de) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
KR100653711B1 (ko) 2005-11-14 2006-12-05 삼성전자주식회사 쇼트키 배리어 핀 펫 소자 및 그 제조방법
KR100841094B1 (ko) 2005-12-20 2008-06-25 주식회사 실트론 실리콘 웨이퍼 연마장치, 이에 이용되는 리테이닝어셈블리, 및 이를 이용한 실리콘 웨이퍼 평평도 보정방법
US7875501B2 (en) 2006-03-15 2011-01-25 Shin-Etsu Polymer Co., Ltd. Holding jig, semiconductor wafer grinding method, semiconductor wafer protecting structure and semiconductor wafer grinding method and semiconductor chip fabrication method using the structure
US20070235763A1 (en) * 2006-03-29 2007-10-11 Doyle Brian S Substrate band gap engineered multi-gate pMOS devices
KR20070122319A (ko) * 2006-06-26 2007-12-31 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7517764B2 (en) 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
US7968425B2 (en) 2006-07-14 2011-06-28 Micron Technology, Inc. Isolation regions
KR100818433B1 (ko) 2006-09-05 2008-04-01 동부일렉트로닉스 주식회사 완전 실리사이드 게이트 구조를 갖는 모스 트랜지스터 및그 제조 방법
US7456471B2 (en) 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7667271B2 (en) * 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
KR100903383B1 (ko) 2007-07-31 2009-06-23 주식회사 하이닉스반도체 일함수가 조절된 게이트전극을 구비한 트랜지스터 및 그를구비하는 메모리소자
WO2009022639A1 (ja) 2007-08-10 2009-02-19 Dai Nippon Printing Co., Ltd. ハードコートフィルム
DE102008030854B4 (de) 2008-06-30 2014-03-20 Advanced Micro Devices, Inc. MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
US7939863B2 (en) 2008-08-07 2011-05-10 Texas Instruments Incorporated Area efficient 3D integration of low noise JFET and MOS in linear bipolar CMOS process
US8153526B2 (en) 2008-08-20 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. High planarizing method for use in a gate last process
JP2010050215A (ja) * 2008-08-20 2010-03-04 Toshiba Corp 半導体装置
KR20110050444A (ko) 2008-09-05 2011-05-13 아사히 가라스 가부시키가이샤 점착체, 점착 시트 및 그 용도
DE102008059500B4 (de) * 2008-11-28 2010-08-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Mehr-Gatetransistors mit homogen silizidierten Stegendbereichen
US8227867B2 (en) 2008-12-23 2012-07-24 International Business Machines Corporation Body contacted hybrid surface semiconductor-on-insulator devices
US7838356B2 (en) * 2008-12-31 2010-11-23 Texas Instruments Incorporated Gate dielectric first replacement gate processes and integrated circuits therefrom
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
JP5493096B2 (ja) 2009-08-06 2014-05-14 富士通セミコンダクター株式会社 半導体装置の製造方法
US8304841B2 (en) 2009-09-14 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
US8530971B2 (en) * 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US20110147851A1 (en) * 2009-12-18 2011-06-23 Thomas Christopher D Method For Depositing Gate Metal For CMOS Devices
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8334184B2 (en) 2009-12-23 2012-12-18 Intel Corporation Polish to remove topography in sacrificial gate layer prior to gate patterning
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
CN102130057B (zh) * 2010-01-14 2013-05-01 中芯国际集成电路制造(上海)有限公司 制作互补金属氧化物半导体器件的方法和结构
KR101675373B1 (ko) * 2010-03-24 2016-11-11 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8492852B2 (en) 2010-06-02 2013-07-23 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
US8278173B2 (en) 2010-06-30 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating gate structures
US8466473B2 (en) 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
CN109280713A (zh) 2011-04-01 2019-01-29 澳康姆生物实验室公司 用于检测无细胞的病原体特异性核酸的方法和试剂盒
US8637359B2 (en) 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8557666B2 (en) 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
KR20140049075A (ko) 2011-09-30 2014-04-24 인텔 코오퍼레이션 트랜지스터 게이트용 캡핑 유전체 구조
EP3506367A1 (en) 2011-09-30 2019-07-03 Intel Corporation Tungsten gates for non-planar transistors
CN103918083A (zh) 2011-10-01 2014-07-09 英特尔公司 非平面晶体管的源极/漏极触点
CN103975424B (zh) 2011-12-06 2016-12-07 英特尔公司 用于非平面晶体管的夹层电介质
US20130334713A1 (en) 2011-12-22 2013-12-19 Dingying D. Xu Electrostatic discharge compliant patterned adhesive tape

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7528025B2 (en) * 2004-09-30 2009-05-05 Intel Corporation Nonplanar transistors with metal gate electrodes
TW200741982A (en) * 2006-04-28 2007-11-01 Hynix Semiconductor Inc Semiconductor device having a fin channel transistor
TW201123448A (en) * 2009-12-21 2011-07-01 Taiwan Semiconductor Mfg Gate electrode for field effect transistor and field effect transistor
US20110147831A1 (en) * 2009-12-23 2011-06-23 Steigerwald Joseph M Method for replacement metal gate fill

Also Published As

Publication number Publication date
KR101690449B1 (ko) 2016-12-27
KR20150116915A (ko) 2015-10-16
TW201320343A (zh) 2013-05-16
KR101685555B1 (ko) 2016-12-12
EP3174106A1 (en) 2017-05-31
EP3506367A1 (en) 2019-07-03
CN106783971A (zh) 2017-05-31
TW201810675A (zh) 2018-03-16
TWI512986B (zh) 2015-12-11
CN103843143A (zh) 2014-06-04
TWI595666B (zh) 2017-08-11
EP2761662A1 (en) 2014-08-06
CN107275404A (zh) 2017-10-20
EP2761662A4 (en) 2015-08-05
TW201614847A (en) 2016-04-16
EP2761662B1 (en) 2022-02-02
KR20140054358A (ko) 2014-05-08
TW201717407A (zh) 2017-05-16
KR20160150123A (ko) 2016-12-28
WO2013048449A1 (en) 2013-04-04
EP3923347A1 (en) 2021-12-15
KR20170106657A (ko) 2017-09-21
CN103843143B (zh) 2017-07-11
EP3923347B1 (en) 2024-04-03
KR101780916B1 (ko) 2017-09-21
US20150041926A1 (en) 2015-02-12
TWI643345B (zh) 2018-12-01
US9177867B2 (en) 2015-11-03

Similar Documents

Publication Publication Date Title
TWI585980B (zh) 用於非平面電晶體之鎢閘極技術(二)
US10770591B2 (en) Source/drain contacts for non-planar transistors
US10032915B2 (en) Non-planar transistors and methods of fabrication thereof
US10020375B2 (en) Tungsten gates for non-planar transistors