TWI582828B - 形成圖案之方法 - Google Patents

形成圖案之方法 Download PDF

Info

Publication number
TWI582828B
TWI582828B TW103144853A TW103144853A TWI582828B TW I582828 B TWI582828 B TW I582828B TW 103144853 A TW103144853 A TW 103144853A TW 103144853 A TW103144853 A TW 103144853A TW I582828 B TWI582828 B TW I582828B
Authority
TW
Taiwan
Prior art keywords
mask
pattern
openings
forming
features
Prior art date
Application number
TW103144853A
Other languages
English (en)
Other versions
TW201535473A (zh
Inventor
威廉R 布朗
亞當 歐森
卡力 簡
嚴浩燮
陳學
尼克 米瑞
丹 米瓦德
彼得 三世 崔佛納斯
菲利浦 丹恩 休斯塔德
朴鍾瑾
克里斯多夫 那曼 李
Original Assignee
美光科技公司
陶氏全球科技公司
羅門哈斯電子材料公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美光科技公司, 陶氏全球科技公司, 羅門哈斯電子材料公司 filed Critical 美光科技公司
Publication of TW201535473A publication Critical patent/TW201535473A/zh
Application granted granted Critical
Publication of TWI582828B publication Critical patent/TWI582828B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Description

形成圖案之方法
形成圖案之方法。
積體電路製造經常涉及跨材料形成圖案化罩幕,然後使圖案從罩幕轉移至材料中。例如,可利用圖案化罩幕製造記憶體、邏輯器等。
一持續目標係提高積體電路之密度。一關聯目標係在圖案化罩幕內提高特徵之密度。但是,在罩幕內嘗試建立具有均勻、密集特徵之圖案時遇到困難。因此,需要開發用於形成圖案化罩幕之新穎方法。
10‧‧‧結構
12‧‧‧環
14‧‧‧罩幕材料
15‧‧‧底部
16‧‧‧圓形開口
18‧‧‧菱形開口
20‧‧‧刷層
30‧‧‧結構
32‧‧‧圖案化罩幕材料
34‧‧‧開口
50‧‧‧結構
52‧‧‧半導體底部
54‧‧‧堆棧堆疊
56‧‧‧電絕緣材料
58‧‧‧含碳材料
60‧‧‧DARC材料
62‧‧‧光致蝕刻劑
64‧‧‧開口
66‧‧‧間隔材料
68‧‧‧環形間隔件
70‧‧‧開口
72‧‧‧罩幕
80‧‧‧導電材料
84‧‧‧導電摻雜區域
100‧‧‧結構
102‧‧‧第一材料
104‧‧‧第二材料
106‧‧‧間隔件
圖1及圖2係在實例實施例之處理階段之半導體結構的示意性俯視圖。
圖3及圖4係另一實例實施例之處理階段之半導體結構的示意性俯視圖。
圖5至圖13係另一實例實施例之處理階段之半導體結構的示意性俯視圖。圖5A至圖13A分別係沿著圖5至圖13之線A-A的示意性橫截面側視圖。
圖14係在圖13之實例處理階段後之實例處理階段之半導體結構的示意性俯視圖,及圖14A係沿著圖14之線A-A的示意性橫截面側視 圖。
圖15係在圖13之實例處理階段後之另一實例處理階段之半導體結構的示意性俯視圖,及圖15A係沿著圖15之線A-A的示意性橫截面側視圖。
圖16係在圖10之實例處理階段後之另一實例處理階段之半導體結構的示意性俯視圖。圖16A係沿著圖16之線A-A的示意性橫截面側視圖。
圖17係在圖16之實例處理階段後之實例處理階段之半導體結構的示意性俯視圖。圖17A係沿著圖17之線A-A的示意性橫截面側視圖。
圖18至20係在另一實例實施例之多種處理階段之半導體結構的示意性橫截面側視圖。
圖21係根據實例2處理之圖案化基材的俯視圖(a)及側視圖(b)。
圖22係根據實例3處理之圖案化基材的俯視圖(a)及側視圖(b)。
圖23係根據實例4處理之圖案化基材的俯視圖。
圖24係根據實例5處理之圖案化基材的俯視圖。
一些實施例包含利用刷層以提高跨圖案化特徵整體之均勻性的方法。本文利用之術語「刷層」係指藉由聚合有機材料共價結合表面所形成之層。在一些實施例中,該刷層可包括矽氧烷;及可自含有矽氧烷之前驅物例如舉例而言包括聚(二甲基矽氧烷)(PDMS)之前驅物形成。在一些實施例中,該刷層可自除含有矽氧烷之聚合物外亦包括其他有機聚合物之前驅物或包括代替含有矽氧烷之聚合物的其他有機聚合物之前驅物形成。例如,可利用包括聚苯乙烯(PS)及聚(甲基丙烯酸甲酯)(PMMA)中之一者或兩者的前驅物來形成刷層。該等刷層前驅物具有一個或多個適合與表面反應從而使該刷層共價結合(即,接枝) 至該等表面的取代基。此種取代基可包括羥基基團、巰基基團等。
利用刷層以提高跨圖案化特徵之整體的均勻性之實例係參照圖1及圖2來描述。
根據圖1,以俯視圖形式顯示結構10之部分。該結構包括跨底部15之上表面所形成之罩幕材料14的環12。環12彼此接觸,並在底部15上形成兩組開口。一組對應於環中間內之圓形開口16,及另一組對應於藉由四個彼此接觸之相鄰環界定的菱形開口18。在一些實施例中,環12可視為在底部15上形成第一罩幕,及開口16及18對應於延伸通過第一罩幕並界定第一圖案之第一特徵。此種第一圖案具有跨特徵16及18之分佈之第一均勻性水平,且由於開口18相對於開口16具有明顯不同之形狀,所以此種均勻性水平低。
其次根據圖2,相對於底部15之材料在材料14(圖1)上選擇性地形成刷層20,且此刷層狹窄化開口16及18。形成刷層20可視為自圖1之第一罩幕形成第二罩幕。因為通過形成刷層可緩和開口16與18之間的形狀差異,所以此種第二罩幕具有比第一罩幕更高之均勻性水平。明確言之,該刷層已部分填充開口16及18,並緩和此種開口之間之差異。該緩和可(至少部分)源自刷層達到表面張力與其他力之熱力學上有利的平衡(thermodynamically-favored balance),藉此刷層之疏水鏈以下列方式聚集在一起:大體上最優化使表面積最小化之低自由能及鏈延伸之高自由能以實現基本上最低的總自由能。因為大體上圓形之周邊圖案具有比其他周邊圖案更小的表面積(及術語「大體上圓形」意指在製造及測量之合理容差內呈圓形),所以此行為沿著開口內部形成大體上圓形之周邊圖案。在後續處理過程中,可使開口16及18之圖案轉移至底部15內。
利用刷層以提高跨圖案化特徵整體的均勻性之另一實例係參照圖3及圖4來描述。
根據圖3,以俯視圖形式顯示結構30之部分。該結構包括跨底部15之上表面所形成的圖案化罩幕材料32。該罩幕材料具有對應於延伸通過罩幕材料之開口的特徵34。此種開口具有不規則周邊表面。由於該等開口具有不規則周邊表面,所以跨開口34整體之形狀及尺寸之分佈具有低均勻性水平。
其次根據圖4,相對於底部15之材料在罩幕材料34(圖3)上選擇性地形成刷層20。該刷層狹窄化開口34同時減少跨周邊表面之不規則性。形成刷層20可視為自圖3之第一罩幕形成第二罩幕。圖4之第二罩幕比圖3之第一罩幕具有更高之跨開口34整體之均勻性水平。在圖4之階段後之處理中,可使開口34之圖案轉移至底部15內。
在圖1至4之實施例中,在第二罩幕中所形成之均勻特徵(圖2之罩幕中之特徵16及18,及圖4之罩幕中之特徵34)具有大體上圓形周邊。在其他實施例中,可形成其他特徵形狀。例如,如果罩幕中之原圖案比闡述之特徵更為細長,則利用刷層形成之特徵係細長形而非圓形。
存在許多需要形成具有跨特徵整體之高均勻性水平的罩幕的應用。根據圖5至13描述一實例實施例。
根據圖5及5A,結構50包括半導體底部52,其上具有堆疊54。該堆疊包括位於電絕緣材料56上之含碳材料58。光致抗蝕劑62位於堆疊54上,及在所示之實施例中,藉由沉積之抗反射塗層(DARC)60將其與此種堆疊隔開。
底部52可包括半導體材料,及在一些實施例中,可包括單晶矽、基本上由其組成或由其組成。在一些實施例中,底部52可視為包括半導體基材。術語「半導體基材」意指包括半導體材料之任何結構,其包含但不限於塊體半導體材料例如半導體晶圓(單獨或包括其他材料之組件)及半導體材料層(單獨或包括其他材料之組件)。術語「基材」係指任何支撐結構,包含但不限於上文所述之半導體基材。 在一些實施例中,底部52可對應含有一種或多種與積體電路製造相關之材料的半導體基材。一些材料係在底部52之所示區域下及/或與底部52之所示區域側向相鄰;及可對應於例如耐火金屬材料、阻隔性材料、擴散材料、絕緣材料等中之一者或多者。
在一些實施例中,底部52可支撐積體電路。例如,底部52之上部區域可包括導電節點陣列(未顯示),及可利用圖5至13之處理過程以形成適合對此種導電節點形成導電接觸之圖案。如另一實例,底部52之上部區域可包括半導體材料,及可利用圖5至13之處理過程以形成適合在此種導電材料內形成導電摻雜植入區的圖案。如又另一實例,底部52可支撐記憶體陣列(例如,快閃記憶體陣列、DRAM陣列等),及可利用圖5至13之處理過程以形成適合將導電接觸對準個體記憶體單元的圖案。
電絕緣材料56可包括任何合適之成分或成分之組合;在一些實施例中可包括無機氧化物及/或無機氮化物。例如,在一些實施例中材料56可包括二氧化矽及氮化矽中之一者或兩者、基本上由其組成或由其組成。
含碳材料58可包括碳、基本上由其組成或由其組成。例如,在一些實施例中材料58可由透明碳組成。
DARC 60可包括任何合適之成分或成分之組合;及在一些實施例中可包括氧氮化矽、基本上由其組成或由其組成。DARC 60之合適材料可包含具有適當之光學性質(例如,n+k值係193奈米)及化學性質(例如,可與刷層前驅物接枝之表面)的材料。
光致抗蝕劑62可係任何合適之組分。
根據圖6及6A,通過適當之光微影處理在光致抗蝕劑62內形成開口64之佈置。所闡明之開口64係實例特徵,及可在其他實施例中形成其他特徵形狀。
根據圖7及7A,擴大開口64。在所闡明之實例中,擴大開口64至使其等彼此接觸的程度。在其他實施例中,可擴大該等開口至使得其等不互相接觸之較小的程度。在又另一實施例中,可擴大該等開口至使得其等互相合併之較大的程度。在一些實施例中,圖6及6A之開口的佈置可視為在光致抗蝕劑內所形成之開口的第一佈置,及圖7及7A之經擴大之開口可視為在光致抗蝕劑內所形成之開口的第二佈置。
根據圖8及8A,開口64內襯有間隔材料66。該間隔材料具有可與刷層前驅物反應之表面。在一些實施例中,此種表面可包括氧,及該間隔材料可稱為含氧薄膜。例如,該間隔材料可包括一或多種無機氧化物;例如,舉例而言,二氧化矽、氧氮化矽、氧化鋁等中之一者或多者。該間隔材料共形塗覆光致抗蝕劑62以跨結構50之表面建立具有起伏構形的結構。可利用任何合適之方法包含例如原子層沉積方法形成該間隔材料。
在一些實施例中,該間隔材料可經最初形成以包括缺氧表面,及然後可沿著此種表面利用氧化條件引入氧;例如,舉例而言,氧化性電漿。
在一些實施例中,該間隔材料可具有包括除氧外或代替其之來自元素週期表16族中一個或多個其他元素之表面。例如,該間隔材料可具有包括硫、硒等中之一者或多者的表面。
根據圖9及9A,各向異性蝕刻該間隔材料66以形成間隔件68。在所示組態中該等間隔件68係組態成環形圈。
開口64延伸通過環形間隔件68之中心區以暴露DARC材料60之上表面。此外,移除光致抗蝕劑62(圖7及7A中可見)以形成另一組在環形間隔件68外部之開口70。開口64可視為對應於第一組開口,及開口70可視為對應於第二組開口;及第一組之開口具有不同於第二組之開口之形狀。在一些實施例中,間隔件68可視為在堆疊54上形成第一罩 幕,及此種第一罩幕具有跨開口整體之第一均勻性水平。此種整體包括含有第一形狀之開口64,及第二形狀之開口70的分佈。
雖然所示之施實例通過間隔材料之單一沉積及蝕刻而形成間隔件68,但是在其他實施例中可利用間隔材料之多重沉積及/或蝕刻以裁出間隔件68的寬度。此外,間隔材料之附加的沉積可緩和開口64與70之間之一些不均勻性,及由此提高跨開口分佈之均勻性。
根據圖10及10A,使圖案自間隔件68轉移通過DARC材料60以暴露含碳材料58之上表面。在一些實施例中,材料60及66可視為在含碳材料58上一起形成第一罩幕。
根據圖11及11A,相對於含碳材料58之表面,在材料60及66之暴露表面上選擇性形成刷層20。該刷層減小開口64與70之形狀間的不均勻性。
在一些實施例中,該刷層可自具有合適反應基團的聚合前驅物形成,合適之反應基團可與氧(及/或元素週期表第16族中之其他元素)沿著材料60及66之暴露表面而反應以與此種暴露表面形成共價鍵。例如,在一些實施例中,該刷層前驅物可包括具有合適之反應基團(例如舉例而言羥基、巰基等)之PS、PDMS及PMMA中之一者或多者。在刷層中利用矽氧烷之一個優勢係該做法可使含碳材料58在後續處理過程(在下文討論)中相對於刷層而被選擇性地移除。PDMS係聚合有機矽氧烷之一實例,在其他實施例中,該刷層可包括其他聚合有機矽氧烷;及在一些實施例中可包括含有碳及矽且具有至少17%矽含量(以原子質量計)之聚合物。
在一些實施例中,該刷層可包括由分子量範圍在從約5,000原子質量單位至約110,000原子質量單位內之聚合物組成的PDMS。
在一些實施例中,該刷層可包括PDMS及可相對於碳選擇性結合至二氧化矽及氧氮化矽中之一者或兩者。
用於形成刷層之處理過程可包括在可在前驅物與暴露含氧表面之間實現共價結合(例如,縮合反應)之條件下將圖10及10A所示之類型的結構暴露於刷層前驅物。該等條件可包含在從約室溫至約350℃之溫度下(對於具有結合至60/66之反應性羥基基團的刷聚合物;例如,具有羥基基團之PDMS)烘烤該結構。形成刷層後,可實施沖洗以移除過量前驅物。隨後,可將刷層加熱至高於玻璃轉化溫度(Tg)之溫度以使刷層達成熱力學上有利狀態,其使表面積最小化,及其因此減小跨開口分佈之不均勻性。
在一些實施例中,可視刷層20與材料60及66一起形成跨含碳材料58之上表面之罩幕72。此種罩幕包括開口64及70,其等相較於在圖10及10A之罩幕中所示之開口變窄。但是,圖11及11A之罩幕之開口64及70的尺寸及形狀彼此大致相同。因此,已緩和開口64與70之間之形狀差異,及圖11及11A之罩幕具有高於圖10及10A之罩幕的均勻性水平。
在圖11及11A之所示實施例中,相對於含碳材料58沿著材料60及66選擇性地形成刷層20。因此,刷層形成後,含碳材料仍暴露於開口64及70底部。
多種材料60、66及58係實例材料。在一些實施例中,類似材料可包括除彼等針對材料60、66及58具體描述者外的組合物。在一些實施例中,材料60及66可稱作第一材料,及材料58稱作第二材料,且該刷層可視為相對於第二材料選擇性地在第一材料上形成。在其他實施例中,可沿著第一及第二材料之所有表面形成刷層,及然後可利用各向異性蝕刻從材料58之表面上移除刷層及由此使材料58之表面暴露於開口64及70之底部(下文根據圖18至20描述一相似實施例)。
圖5至11之實施例利用刷層以提高跨具有形狀彼此不同之開口之罩幕的均勻性。在其他實施例中,開口可在使得該等開口在周邊表面 上具有不規則性(類似於上文根據圖3描述之不規則性)之條件下形成,及可利用刷層處理過程以緩和此等開口整體上之不均勻性。
根據圖12及12A,開口64及70延伸通過材料58(即,被轉移通過材料58)以暴露電絕緣材料56之上表面。
根據圖13及13A,開口64及70延伸通過電絕緣材料56(即,被轉移通過材料56)以暴露半導體底部52之上表面,及以一或多次合適之蝕刻移除材料58、60、66及20。
在所示實施例中,在圖13及13A之處理階段下移除材料58、60、66及20。在其他實施例中,在圖13及13A之處理階段及在圖13及13A之處理階段後之其他處理階段下仍可保留此等材料中之一者或多者。
根據圖14及14A,在開口64及70內形成導電材料80並將其圖案化為一系列延伸至底部52之上表面之導電接觸。導電材料80可包括任何合適之成分或成分之組合;及在一些實施例中可包括以下各物中之一者或多者、基本上由其組成或由其組成:各種金屬(例如,鎢、鈦等);含金屬之成分(例如,金屬氮化物、金屬碳化物、金屬矽化物等)及導電摻雜半導體材料(例如,導電摻雜矽、導電摻雜鍺等)。在一些實施例中,材料80可經沉積以溢出開口64及70,及然後可藉由化學機械拋光(CMP)或其他合適之平坦化方法移除過量材料以形成如圖14及14A所示之結構。在一些實施例中,導電接觸可延伸至存在於底部52之上表面上的導電節點陣列(未顯示)。
圖14及14A闡明形成開口64及70後之實例處理階段。在其他實施例中,該等開口可用於與積體電路製造相關之其他處理過程。例如,開口64及70之圖案可藉由蝕刻至底部中以形成延伸至底部內之凹槽及/或圖案化與底部相關之材料而轉移至半導體底部52中。如另一實例,開口64及70之圖案可藉由植入摻雜劑通過開口以在藉由開口界定之位置內形成摻雜劑植入區域而轉移至半導體底部52中。例如,圖15 及15A顯示在其中通過開口植入摻雜劑以在半導體底部52內形成植入區域84之實施例中在圖13及13A之處理階段後之處理階段下的結構50。在一些實施例中,底部52之上表面可包括矽,及植入性摻雜劑可係用於形成導電摻雜區域84之n型或p型摻雜劑。
雖然圖11及11A之實施例在材料66及60上形成刷層,但是在其他實施例中,可在刷層形成前移除間隔材料66。然後相對於底層含碳材料58選擇性地在氧氮化矽材料60上形成刷層。根據圖16及17描述此種處理過程之一實例。
圖16及16A顯示在圖10及10A處理階段後之處理階段下的結構50,及具體顯示移除材料66以使圖案化材料60留於含碳材料58上。
接著根據圖17及17A,相對於含碳材料58之暴露表面選擇性地跨氧氮化矽60之暴露表面形成刷層20,此減小開口64與70之間之差異。圖17及17A之處理階段之後可實施與上文根據圖12至15所述之多種處理過程類似的處理過程。
如上文根據圖11及11A所述,在一些實施例中,可在多重材料上非選擇性地形成刷層,及然後各向異性蝕刻以形成適用於後續處理階段之特徵(例如,間隔件)。圖18至20闡明其中在多重材料上非選擇性地形成刷層之一實例實施例。
根據圖18,顯示結構100包括位於第一材料102上之圖案化第二材料104。該第一材料及該第二材料可在組成上彼此不同。
根據圖19,沿著材料104及102之表面非選擇性地形成刷層20。 在一些實施例中,材料102及104之表面可包括氧,且該刷層可藉由使刷層前驅物與此等含氧表面反應而形成。
根據圖20,各向異性地蝕刻該刷層以形成間隔件106。然後此種間隔件可用於與上文根據本發明之先前圖式所描述之處理過程類似的後續處理過程中。(在其他實施例(未顯示)中,在圖19之處理階段下, 僅可在材料104上選擇性地形成刷層20,在該種情況下可省略圖20之各向異性的蝕刻)。
在一些實施例中,希望以化學方法改性刷層以改變刷層之化學特性及由此提高刷層作為硬罩幕的適用性。例如,在一些實施例中,該刷層可包括含矽聚合物(其可包括例如至少約17%之矽[以原子質量計]),及希望將氧併入刷層內。在一些實施例中,可在圖20中所述之各向異性蝕刻前進行化學改性,及在一些實施例中可在與上文根據圖11及11A所述之選擇性沉積類似的選擇性沉積之後進行該化學改性。 與圖11及11A之沉積類似的沉積可包含沿著類似於材料66之罩幕材料的選擇性沉積以使表面暴露(類似於材料58之表面),其中該暴露表面可係含碳材料或不為含碳材料。在一些實施例中,該化學改性可包括將刷層暴露於O2電漿以使刷層轉化為氧化物膜。在一些應用中此種暴露可收縮及平滑襯有刷層之開口。
在一些實施例中,可利用有機刷層以形成間隔件。例如,有機刷層(例如,聚苯乙烯)可施加於SiO2特徵(在一些實施例中類似圖9及9A之特徵68),及然後可移除SiO2特徵以留下包括刷層之有機材料的間隔件。因此,在一些實施例中刷層之有機材料可形成旋塗間隔件。
除非另有說明,否則本文所述之多種材料、物質、組合物等可以任何合適之方法(無論是習知抑或仍待開發之方法,包含例如原子層沉積方法(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)等)形成。
術語「介電」及「電絕緣」兩者皆用於描述具有絕緣之電氣性質的材料。本發明中視兩個術語係同義詞。在一些實例中使用術語「介電」,及在其他實例中使用術語「電絕緣」,係在本發明內提供語言變體以簡化隨後之申請專利範圍內的先行基礎,及非用於指示任何顯著之化學或電差異。
圖式中多種實施例之具體定向僅作說明之用,及該等實施例在一些應用中可相對於所示之定向進行旋轉。本發明提供之說明及隨附之申請專利範圍係關於任何具有多種特徵間之所述關係的結構,與該等結構處於該等圖式之具體定向還是相對於該定向旋轉無關。
為簡化圖式,隨附之說明圖之橫截面視圖僅顯示橫截面之平面內的特徵,及不顯示橫截面之平面後的材料。
當上文將一結構稱作位於另一結構「上面」或「緊靠其上」時,其可直接位於該另一結構上或亦可存在中間結構。相比之下,當將一結構稱作「直接位於另一結構上」或「直接緊靠另一結構」時,不存在中間結構。當將一結構稱作「連接」或「耦合」至另一結構時,其可直接連接或耦合至該另一結構,或可存在中間結構。相比之下,當將一結構稱作「直接連接」或「直接耦合」時,不存在中間結構。
一些實施例包含形成圖案之方法。在材料上形成第一罩幕。該第一罩幕具有在其中延伸並界定第一圖案之特徵。該第一圖案具有跨該等特徵之分佈之第一均勻性水平。跨該第一罩幕及在該等特徵內形成刷層以使特徵變窄及從該第一罩幕建立第二罩幕。該第二罩幕具有跨狹窄之特徵之第二均勻性水平,其高於第一均勻性水平。使圖案從該第二罩幕轉移至材料內。
一些實施例包含形成圖案之方法。在半導體基材上形成堆疊。該堆疊包括位於電絕緣材料上之碳。在碳上形成第一罩幕。該第一罩幕具有在其中延伸之開口及該等開口界定第一圖案。該第一圖案具有跨開口之分佈之第一均勻性水平。在跨第一罩幕及在開口內形成刷層以使開口變窄及從第一罩幕建立第二罩幕。相對於碳沿著第一罩幕之材料選擇性地形成刷層。該第二罩幕具有跨狹窄開口之第二均勻性水平,其高於第一均勻性水平。通過碳及電絕緣材料從第二罩幕轉移圖 案。
一些實施例包含形成圖案之方法。形成圖案化光致抗蝕劑以具有複數個經由其延伸之間隔的開口。擴大該等開口。在經擴大之開口內沉積間隔材料。各向異性地蝕刻該間隔材料及移除光致抗蝕劑。該各向異性蝕刻從間隔材料形成間隔件。該等間隔件係環形圈及係具有經由其延伸之圖案的第一罩幕,該圖案具有兩種形狀之開口。跨間隔材料及在開口內形成刷層以緩和兩種形狀之間之差異並從該第一罩幕形成第二罩幕。使圖案從第二罩幕轉移至第二罩幕下之第二材料內。
下文提供一些實例以幫助讀者理解本發明之一些態樣。該等實例之特定參數不以任何方式限制本發明,除在隨附之申請專利範圍內明確引述該等參數的程度(若有)以外。
實例
使下列材料在用於下文所述之具體實例1至5中之前通過填裝活性A-2級別氧化鋁之管柱;即四氫呋喃(THF)(99.9%純度,購自Aldrich)、苯乙烯(購自Aldrich)及環己烷(HPLC純級別,購自Fischer)。自Gelest購得具有Mn=10kg/mol及Mw/Mn=1.10之羥基終止的聚(二甲基矽氧烷)(PDMS-OH)並現用。用於下文描述之具體實例1至5之所有其他材料係現用的市售材料。
於配備Agilent 1100系列折射率及MiniDAWN光散射偵測器之Agilent 1100系列液相層析(LC)系統(Wyatt Technology Co.)上藉由凝膠滲透層析法(GPC)測量實例1至5中報告之數量平均分子量MN及多分散性值。將樣品以大約1mg/mL之濃度溶解於HPLC級別THF中並在注射通過兩根PLGel 300x7.5mm Mixed C管柱(5mm,Polymer Laboratories,Inc.)前通過0.20μm之注射器式過濾器過濾。保持1mL/min之流速及35℃之溫度。用窄分子量PS標準物(EasiCal PS-2,Polymer Laboratories,Inc.)校準該等層析柱。
在隨後的實例中提及之質子核磁共振(1H NMR)譜結果係於Varian INOVA 400MHz NMR分光計上,使用10秒之延遲時間以確保完成用於定量積分之質子之全弛豫(complete relaxation)來完成。報告關於四甲基矽烷之化學位移。
實例1:合成PDMS-OH
稱量矽醇化三甲基矽烷基鋰(0.060g,0.62mmol)至20mL小瓶內及溶解於2g無水THF中。接著,稱量新昇華之六甲基(環三矽氧烷)單體(D3,9.7g,44mmol)至200mL廣口瓶中及然後溶解於48g THF中。沿著一攪拌棒將矽醇化鋰溶液添加至D3溶液中,及在用~1/2mL二甲基氯矽烷中止反應前在室溫(RT)下將內容物攪拌1h。攪拌該反應混合物整夜及然後沉澱至600mL MeOH中。傾析該MeOH,留下黏性液體,使其空氣乾燥整夜,及然後在真空烘箱內在60℃下進一步乾燥整夜以產出6.8g Mn~14,600g/mol(藉由NMR測定)之Si-H封端之PDMS。為將Si-H封端之PDMS轉化為PDMS-OH,在20mL小瓶中合併Si-H封端之PDMS(4.0g)及烯丙醇(0.29g,4.9mmol,基於矽烷18當量)。將該小瓶置於一層N2下,及向其添加一小勺5% Pt/C。對小瓶封蓋並在加熱區將其加熱至110℃維持15h。反應後之1H NMR分析顯示矽烷之完全轉化。使用己烷使該粗製反應混合物濾過玻璃料及1μm過濾器以移除剩餘之Pt/C觸媒。藉由在真空下在60℃下乾燥分離該聚合物。
實例2:基材製造及成像
使用標準微影及蝕刻技術製造於碳層上之SiO2線條圖案。從晶圓上切下小試樣片及用作實例2中之基材。在處理前,在藉助雙面碳帶安裝於25mm x 6mm之鋁樣品短線上後,藉由顯微鏡評估試樣片。藉由在0.2至2kV加速電壓及400,000放大倍率下操作之Hitachi CG4000 SEM(日立公司,日本)記錄自上而下之掃描電子顯微(SEM)影像。藉 由在15kV加速電壓及400,000放大倍率下操作之Hitachi S-4800 FE-SEM(日立公司,日本)記錄橫截面(SEM)影像。使用Hitachi’s Terminal PC數據處理軟體(V5.04~)及Terminal PC脫機CD測量軟體(V5.03~)測量臨界尺寸(CD)、線寬粗糙度(LWR)及線邊緣粗糙度(LER)值並作為來自於5幅影像之平均值來報告。圖21中顯示代表性影像。即將形成之圖案化基材具有垂直輪廓及CD=15nm;LWR 3σ=2.9nm及LER 3σ=5.2nm之線條。
實例3:PDMS-OH刷接枝法
藉由將PDMS-OH溶解在庚烷中以形成1.3重量%溶液來製造PDMS-OH溶液。通過0.2μm Whatman注射器式過濾器手工過濾該溶液,及產物濾液材料用於塗覆圖案化試樣片。藉由以下步驟在圖案化基材上形成PDMS-OH薄膜:使用在未圖案化之矽基材上形成21nm薄膜(如使用NanoSpec/AFT 2100膜厚度測量工具測量)的條件旋塗該溶液,然後在150℃下溫和烘烤60秒以移除剩餘之溶劑。然後使該塗層基材在250℃下經歷第二次烘烤達120秒以引發接枝。然後藉由一汪庚烷清洗及旋轉乾燥以移除剩餘之未嫁接的PDMS-OH,然後在150℃下再溫和烘烤60秒以移除剩餘之溶劑。在藉助雙面碳帶安裝於25mm x 6mm之鋁樣品短線上後,藉由顯微鏡評估試樣片。藉由在0.2至2kV加速電壓及400,000放大倍率下操作之Hitachi CG4000 SEM(日立公司,日本)記錄自上而下之掃描電子顯微(SEM)影像。藉由在15kV加速電壓及400,000放大倍率下操作之Hitachi S-4800 FE-SEM(日立公司,日本)記錄橫截面(SEM)影像。使用Hitachi’s Terminal PC數據處理軟體(V5.04~)及Terminal PC脫機CD測量軟體(V5.03~)測量臨界尺寸(CD)、線寬粗糙度(LWR)及線邊緣粗糙度(LER)值並作為來自於5幅影像之平均值來報告。圖22中顯示代表性影像。在PDMS-OH處理後,基材上之CD增大的線條係顯著更為平滑,及保持無底腳之垂直輪 廓。該等線條之特點係CD=28nm;LWR 3σ=1.4nm及LER 3σ=2.5nm。
實例4:基材製造及成像
藉由使用標準微影印刷技術首先形成具有複數個間隔排列之孔的圖案化光致抗蝕劑來製造SiON冠狀圖案。然後擴大孔及在經擴大之開口內沉積SiON間隔材料。然後各向異性地蝕刻基材以移除光致抗蝕劑以形成具有兩種形狀開口的環形圈圖案。然後從晶圓上切下小試樣片及用作實例4中之基材。處理前,在藉助雙面碳帶安裝於25mm x 6mm之鋁樣品短線上後,藉由顯微鏡評估試樣片。Denton Vacuum DV-502A電漿塗料器用以濺鍍銥塗層(4nm)以使樣品在電子束下呈現導電性。藉由~10mm焦距下在15kV下操作之AMRAY 4200記錄自上而下之掃描電子顯微(SEM)影像。使用ImageJ軟體分析該等影像。在圖23中顯示典型影像。該圖案由環形圈組成,環形圈具有兩種形狀開口:對應於光致抗蝕劑中之原始孔之圓孔及在區域內圍繞冠狀圖案之菱形孔,其中鄰近之冠狀圖案彼此匯集及彼此接觸。圓孔測得34.7±1.5nm,然而菱形孔之平均末端間距測得32.3±1.4nm。
實例5:PDMS-OH刷接枝法
在庚烷中製造PDMS-OH(0.8重量%)溶液及濾過具有0.2μm孔徑之Teflon過濾器。藉由1500rpm之旋塗將經過濾之溶液塗覆於實例4中之冠狀模板之試樣片上。然後使經塗覆之晶圓在N2下在300℃下退火120秒。然後藉由使用以下方法用庚烷清洗兩次來處理基材以移除未反應之PDMS-OH:在晶圓上塗覆庚烷;讓其靜置60秒;在3000rpm下旋轉乾燥晶圓,歷時60秒;重複此庚烷塗覆法(heptane puddling process),及然後在150℃下烘烤基材60秒以移除剩餘之庚烷。在藉助雙面碳帶安裝於25mm x 6mm之鋁樣品短線上後,藉由顯微鏡評估經處理之試樣片。Denton Vacuum DV-502A電漿塗料器用以濺鍍銥塗層 (4nm)以使樣品在電子束下呈現導電性。藉由~10mm焦距下在15kV下操作之AMRAY 4200記錄自上而下之掃描電子顯微(SEM)影像。使用ImageJ軟體分析該等影像。在圖24中顯示典型影像。處理後,該等孔在尺寸上變得更小及更均勻(28.0±1.3nm)及在形狀上具有不可辨之差異,因為刷層處理過程有效地使凸面菱形孔倒圓成圓孔。
按照法規,本文揭示之標的物已在語言上或多或少地針對結構及方法特徵而進行描述。但是,需瞭解,由於本文揭示之方法包括實例實施例,所以該等申請專利範圍不限於所顯示及描述之具體特徵。因此該等申請專利範圍係根據逐字措辭之完整範圍來提供,及根據均等論適當地解讀。
10‧‧‧結構
12‧‧‧環
14‧‧‧罩幕材料
15‧‧‧底部
16‧‧‧圓形開口
18‧‧‧菱形開口

Claims (15)

  1. 一種形成圖案之方法,其包括:在材料上形成第一罩幕,該第一罩幕具有在其中延伸並界定第一圖案之特徵;該第一圖案具有跨該等特徵分佈之第一均勻性水平;跨該第一罩幕及在該等特徵內形成刷層以使該等特徵變窄並自該第一罩幕建立第二罩幕;該第二罩幕具有跨該等狹窄之特徵之第二均勻性水平,其高於該第一均勻性水平;使圖案自該第二罩幕轉移至該材料中;且其中該第一罩幕包括含有一或多種來自於週期表第16族之元素之表面,及其中該刷層係通過聚合有機矽氧烷中之一者或多者經由該等元素共價結合至該表面而形成。
  2. 一種形成圖案之方法,其包括:在材料上形成第一罩幕,該第一罩幕具有在其中延伸並界定第一圖案之特徵;該第一圖案具有跨該等特徵分佈之第一均勻性水平;跨該第一罩幕及在該等特徵內形成刷層以使該等特徵變窄並自該第一罩幕建立第二罩幕;該第二罩幕具有跨該等狹窄之特徵之第二均勻性水平,其高於該第一均勻性水平;使圖案自該第二罩幕轉移至該材料中;且其中:該材料由碳組成;該第一罩幕包括含氧表面;及該刷層係通過使包括聚(二甲基矽氧烷)之前驅物與含氧表面反 應形成。
  3. 如請求項2之方法,其中聚(二甲基矽氧烷)由在分子量範圍從約5,000原子質量單位至約110,000原子質量單位內的聚合物組成。
  4. 如請求項1之方法,其中該第一罩幕之該等特徵具有不規則周邊表面,及其中該刷層減少跨周邊表面之不規則性。
  5. 如請求項1之方法,其中該轉移包括材料之蝕刻。
  6. 如請求項1之方法,其中該轉移包括將摻雜劑植入材料中。
  7. 如請求項1之方法,其中該第二罩幕之該等變窄之特徵係具有大體上圓形周邊之開口。
  8. 一種形成圖案之方法,其包括:在材料上形成第一罩幕,該第一罩幕具有在其中延伸並界定第一圖案之特徵;該第一圖案具有跨該等特徵分佈之第一均勻性水平;跨該第一罩幕及在該等特徵內形成刷層以使該等特徵變窄並自該第一罩幕建立第二罩幕;該第二罩幕具有跨該等狹窄之特徵之第二均勻性水平,其高於該第一均勻性水平;使圖案自該第二罩幕轉移至該材料中;且在該轉移前將該刷層化學改性;其中該化學改性包括使該刷層暴露於氧。
  9. 一種形成圖案之方法,其包括:在材料上形成第一罩幕,該第一罩幕具有在其中延伸並界定第一圖案之特徵;該第一圖案具有跨該等特徵分佈之第一均勻性水平;跨該第一罩幕及在該等特徵內形成刷層以使該等特徵變窄並自該第一罩幕建立第二罩幕;該第二罩幕具有跨該等狹窄之特徵之第二均勻性水平,其高於該第一均勻性水平; 使圖案自該第二罩幕轉移至該材料中;且在該轉移前將該刷層化學改性;其中該化學改性包括使該刷層暴露於O2電漿。
  10. 一種形成圖案之方法,其包括:在半導體基材上形成堆疊,該堆疊包括在電絕緣材料上之碳;在碳上形成第一罩幕,該第一罩幕具有在其中延伸之開口且該等開口界定第一圖案;該第一圖案具有跨該等開口分佈之第一均勻性水平;跨該第一罩幕上及在該等開口內形成刷層以使該等開口變窄及從該第一罩幕建立第二罩幕;該刷層係沿著該第一罩幕之材料相對於碳選擇性地形成;該第二罩幕具有跨該等變窄之開口之第二均勻性水平,其高於該第一均勻性水平;使圖案自該第二罩幕轉移通過碳及電絕緣材料;及其中該第一罩幕之形成包括:光微影圖案化光致抗蝕劑以在該光致抗蝕劑內形成開口的第一佈置;擴大該第一佈置之該等開口以形成開口之第二佈置;及用具有可與刷層前驅物反應之反應性表面的間隔材料內襯該第二佈置之開口;該等經內襯之開口係第一罩幕之開口的第一圖案。
  11. 如請求項10之方法,其中該反應性表面包括氧。
  12. 如請求項10之方法,其中藉由該間隔材料之表面之電漿氧化形成該反應性表面。
  13. 一種形成圖案之方法,其包括:在半導體基材上形成堆疊,該堆疊包括在電絕緣材料上之 碳;在碳上形成第一罩幕,該第一罩幕具有在其中延伸之開口且該等開口界定第一圖案;該第一圖案具有跨該等開口分佈之第一均勻性水平;跨該第一罩幕上及在該等開口內形成刷層以使該等開口變窄及從該第一罩幕建立第二罩幕;該刷層係沿著該第一罩幕之材料相對於碳選擇性地形成;該第二罩幕具有跨該等變窄之開口之第二均勻性水平,其高於該第一均勻性水平;使圖案自該第二罩幕轉移通過碳及電絕緣材料;及其中氧氮化矽係在碳上,及其中該第一罩幕之形成包括:光微影圖案化光致抗蝕劑以在該光致抗蝕劑內形成開口之第一佈置;擴大該第一佈置之該等開口以形成開口之第二佈置;用間隔材料內襯該第二佈置之開口以形成開口之第一圖案;及使該第一圖案轉移通過氧氮化矽,以由此形成該包括氧氮化矽之第一罩幕,其具有經由其延伸之開口之該第一圖案。
  14. 一種形成圖案之方法,其包括:形成圖案化光致抗蝕劑,其具有複數個經由其延伸之間隔排列之開口;擴大該等開口;在經擴大之開口內沉積間隔材料,及然後各向異性地蝕刻該間隔材料及移除該光致抗蝕劑;該各向異性蝕刻自該間隔材料形成間隔件;此等間隔件係環形圈及係具有經由其延伸之圖案的第一罩幕,該圖案具有兩種形狀之開口;跨該間隔材料及在該等開口內形成刷層以緩和該等兩種形狀間之差異及從該第一罩幕形成第二罩幕; 使圖案從該第二罩幕轉移至該第二罩幕下之第二材料內;其中該間隔材料包括二氧化矽或氧氮化矽;及其中該刷層係自包括聚有機硅氧烷之前驅物形成。
  15. 一種形成圖案之方法,其包括:形成圖案化光致抗蝕劑,其具有複數個經由其延伸之間隔排列之開口;擴大該等開口;在經擴大之開口內沉積間隔材料,及然後各向異性地蝕刻該間隔材料及移除該光致抗蝕劑;該各向異性蝕刻自該間隔材料形成間隔件;此等間隔件係環形圈及係具有經由其延伸之圖案的第一罩幕,該圖案具有兩種形狀之開口;跨該間隔材料及在該等開口內形成刷層以緩和該等兩種形狀間之差異及從該第一罩幕形成第二罩幕;使圖案從該第二罩幕轉移至該第二罩幕下之第二材料內;其中該間隔材料包括二氧化矽或氧氮化矽;及其中該刷層係自包括聚(二甲基矽氧烷)之前驅物形成。
TW103144853A 2013-12-23 2014-12-22 形成圖案之方法 TWI582828B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/139,582 US9184058B2 (en) 2013-12-23 2013-12-23 Methods of forming patterns by using a brush layer and masks

Publications (2)

Publication Number Publication Date
TW201535473A TW201535473A (zh) 2015-09-16
TWI582828B true TWI582828B (zh) 2017-05-11

Family

ID=53400824

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103144853A TWI582828B (zh) 2013-12-23 2014-12-22 形成圖案之方法

Country Status (4)

Country Link
US (2) US9184058B2 (zh)
JP (1) JP6085287B2 (zh)
KR (2) KR101956945B1 (zh)
TW (1) TWI582828B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9184058B2 (en) * 2013-12-23 2015-11-10 Micron Technology, Inc. Methods of forming patterns by using a brush layer and masks
US9455177B1 (en) * 2015-08-31 2016-09-27 Dow Global Technologies Llc Contact hole formation methods
US10175575B2 (en) 2016-06-01 2019-01-08 Jsr Corporation Pattern-forming method and composition
US10453686B2 (en) * 2016-08-31 2019-10-22 Tokyo Electron Limited In-situ spacer reshaping for self-aligned multi-patterning methods and systems
US10256320B1 (en) 2017-10-06 2019-04-09 International Business Machines Corporation Vertical field-effect-transistors having a silicon oxide layer with controlled thickness
JP6977474B2 (ja) * 2017-10-23 2021-12-08 東京エレクトロン株式会社 半導体装置の製造方法
US10475905B2 (en) 2018-02-01 2019-11-12 International Business Machines Corporation Techniques for vertical FET gate length control
KR102630085B1 (ko) * 2018-05-30 2024-01-25 한양대학교 산학협력단 초미세 패턴 및 그 제조 방법
KR20200078029A (ko) 2018-12-21 2020-07-01 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN112768352B (zh) * 2019-11-01 2023-12-19 华邦电子股份有限公司 图案化的方法
CN113912849A (zh) * 2020-07-07 2022-01-11 北京化工大学 一种制备端氢硅油的方法及其所得端氢硅油

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020064898A1 (en) * 1997-03-28 2002-05-30 Tetsuo Adachi Non-volatile semiconductor memory and method of making same, and semiconductor device and method of making device
US20040115924A1 (en) * 2002-12-14 2004-06-17 Min Yong Lee Method of manufacturing semiconductor device
US20040192060A1 (en) * 2003-03-20 2004-09-30 Maik Stegemann Method for fabricating a semiconductor structure
US20070215986A1 (en) * 2006-03-15 2007-09-20 Dirk Manger Hard mask layer stack and a method of patterning
US20080020582A1 (en) * 2006-06-30 2008-01-24 Keun-Hee Bai Method of forming an opening in a semiconductor device and method of manufacturing a semiconductor device using the same
US20090032880A1 (en) * 2007-08-03 2009-02-05 Applied Materials, Inc. Method and apparatus for tunable isotropic recess etching of silicon materials
US20090200646A1 (en) * 2008-02-13 2009-08-13 Millward Dan B One-Dimensional Arrays of Block Copolymer Cylinders and Applications Thereof
US20110312184A1 (en) * 2010-06-17 2011-12-22 Hynix Semiconductor Inc. Method for forming pattern of semiconductor device

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4045430B2 (ja) * 2002-12-24 2008-02-13 信越化学工業株式会社 パターン形成方法及びパターン形成材料
KR100640639B1 (ko) * 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
JP4551913B2 (ja) * 2007-06-01 2010-09-29 株式会社東芝 半導体装置の製造方法
WO2009054413A1 (ja) * 2007-10-25 2009-04-30 Nissan Chemical Industries, Ltd. 半導体装置の作製方法
US7786015B2 (en) 2008-04-28 2010-08-31 Sandisk 3D Llc Method for fabricating self-aligned complementary pillar structures and wiring
US8114301B2 (en) * 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
JP5112380B2 (ja) * 2009-04-24 2013-01-09 信越化学工業株式会社 パターン形成方法
KR20110055912A (ko) * 2009-11-20 2011-05-26 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성방법
CN102983065B (zh) 2011-09-06 2015-12-16 中芯国际集成电路制造(北京)有限公司 图案、掩模图案形成方法和半导体器件制造方法
US8551690B2 (en) 2012-01-20 2013-10-08 Micron Technology, Inc. Methods of forming patterns
US8999852B2 (en) 2012-12-12 2015-04-07 Micron Technology, Inc. Substrate mask patterns, methods of forming a structure on a substrate, methods of forming a square lattice pattern from an oblique lattice pattern, and methods of forming a pattern on a substrate
US8889559B2 (en) 2012-12-12 2014-11-18 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8889558B2 (en) 2012-12-12 2014-11-18 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8937018B2 (en) 2013-03-06 2015-01-20 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9184058B2 (en) * 2013-12-23 2015-11-10 Micron Technology, Inc. Methods of forming patterns by using a brush layer and masks

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020064898A1 (en) * 1997-03-28 2002-05-30 Tetsuo Adachi Non-volatile semiconductor memory and method of making same, and semiconductor device and method of making device
US20040115924A1 (en) * 2002-12-14 2004-06-17 Min Yong Lee Method of manufacturing semiconductor device
US20040192060A1 (en) * 2003-03-20 2004-09-30 Maik Stegemann Method for fabricating a semiconductor structure
US20070215986A1 (en) * 2006-03-15 2007-09-20 Dirk Manger Hard mask layer stack and a method of patterning
US20080020582A1 (en) * 2006-06-30 2008-01-24 Keun-Hee Bai Method of forming an opening in a semiconductor device and method of manufacturing a semiconductor device using the same
US20090032880A1 (en) * 2007-08-03 2009-02-05 Applied Materials, Inc. Method and apparatus for tunable isotropic recess etching of silicon materials
US20090200646A1 (en) * 2008-02-13 2009-08-13 Millward Dan B One-Dimensional Arrays of Block Copolymer Cylinders and Applications Thereof
US20110312184A1 (en) * 2010-06-17 2011-12-22 Hynix Semiconductor Inc. Method for forming pattern of semiconductor device

Also Published As

Publication number Publication date
JP2015149473A (ja) 2015-08-20
KR101956945B1 (ko) 2019-03-12
TW201535473A (zh) 2015-09-16
JP6085287B2 (ja) 2017-02-22
US9184058B2 (en) 2015-11-10
KR20150075374A (ko) 2015-07-03
US20150179467A1 (en) 2015-06-25
KR20160121803A (ko) 2016-10-20
US9418848B2 (en) 2016-08-16
KR102061928B1 (ko) 2020-01-03
US20160027638A1 (en) 2016-01-28

Similar Documents

Publication Publication Date Title
TWI582828B (zh) 形成圖案之方法
US10886172B2 (en) Methods for wordline separation in 3D-NAND devices
JP5726693B2 (ja) 半導体装置の製造方法
US8399174B2 (en) Method of forming fine patterns using a block copolymer
KR20150135287A (ko) 중공 구조체의 제조 방법
US8334089B2 (en) Method of fine patterning semiconductor device
CN103319931B (zh) 热退火工艺
US8563076B2 (en) Substrate structure and method of forming the same
US20150255298A1 (en) Sequential Infiltration Synthesis for Advanced Lithography
KR20180116438A (ko) 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법
KR20090083091A (ko) 블록 공중합체를 이용한 미세 패턴 형성 방법
US9911608B2 (en) Method of forming patterns
JP2012519959A (ja) パターンを形成する方法
US10784108B2 (en) Method for forming a functionalised assembly guide
WO2003030230A1 (en) Manufacture of semiconductor device with spacing narrower than lithography limit
US20090220898A1 (en) Pattern forming method and method of manufacturing semiconductor device by using the same
Patil et al. Creating surface patterns of polymer brushes by degrafting via tetrabutyl ammonium fluoride
CN113496876B (zh) 半导体结构及半导体结构的形成方法
KR101830476B1 (ko) 금속 나노입자의 규칙배열 형성방법
CN103515318B (zh) Cmos全硅化物金属栅制备方法
KR100734664B1 (ko) 랭뮤어 블로젯막의 배향 원리를 이용한 미세 패턴 형성방법
CN117438408A (zh) 半导体装置及其制备方法
CN112462580A (zh) 四重图形的制作方法
JPH01106434A (ja) 半導体装置の製造方法
KR20010045964A (ko) 반도체 소자의 워드라인 형성방법