TWI538570B - 電容耦合式遠端電漿源 - Google Patents

電容耦合式遠端電漿源 Download PDF

Info

Publication number
TWI538570B
TWI538570B TW101102799A TW101102799A TWI538570B TW I538570 B TWI538570 B TW I538570B TW 101102799 A TW101102799 A TW 101102799A TW 101102799 A TW101102799 A TW 101102799A TW I538570 B TWI538570 B TW I538570B
Authority
TW
Taiwan
Prior art keywords
plasma
electrode
chamber
fluid
capacitively coupled
Prior art date
Application number
TW101102799A
Other languages
English (en)
Other versions
TW201234936A (en
Inventor
丹尼爾J 霍夫曼
丹尼爾 卡特
維克多 布羅克
凱倫 彼得森
藍迪 葛里萊
Original Assignee
先驅能源工業公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 先驅能源工業公司 filed Critical 先驅能源工業公司
Publication of TW201234936A publication Critical patent/TW201234936A/zh
Application granted granted Critical
Publication of TWI538570B publication Critical patent/TWI538570B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/02Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma
    • H05H1/03Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma using electrostatic fields
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/466Radiofrequency discharges using capacitive coupling means, e.g. electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

電容耦合式遠端電漿源 【優先權】
本申請案是一件非臨時專利,其主張西元2011年1月25日提出申請之標題為“靜電式遠端電漿源”的美國專利申請案第61/436,131號與西元2011年11月2日提出申請之標題為“靜電式遠端電漿源及增強”的美國專利申請案第61/554,536號的權益,該二件美國專利申請案是以參照方式而均納入本文。
本發明是概括關於遠端電漿源。特定但非為限制而言,本發明是關於使用遠端電漿源來使處理氣體解離、離子化及/或激發的系統、方法、及裝置。
遠端電漿源(RPS,remote plasma source)是藉由將氣體通過使該氣體激發的電漿而用來產生其含有離子、自由基、原子與分子的活化氣體。活化氣體與自由基是用於諸多的工業與科學應用,其包括處理固體材料及/或薄膜,諸如:在半導體晶圓、顯示面板、與其他主動元件基板上所見的彼等者。含有離子、解離原子與自由基的活化或激能氣體還被用來從半導體處理室壁移除經沉積的薄膜。RPS的一個附加用途是使在泵取流中的氣體廢料離子化且減少,俾使泵取是由電漿溫度所促進且複合氣體可由流的電漿離子化所分解成為安全的元素形式。
一種RPS已經被開發出,其中氣體被引入到線性電感式電漿源中,且氣體被解離成為反應性物種,其為從室所流出且被用來在源的下游(例如:在基板上)實行作業。在此等型式的RPS中,電感線圈是典型為軸向配置在室的周圍以電感式感應在電漿內的電流。
環形源亦已經被開發出,其中電流是在概括環形室內含有的環形電漿中所感應。此等環形源經常使用基於鐵氧體的變壓器配置以電感式耦合由初級組的繞組所產生的場、將場集中在鐵氧體鐵心中且然後在構成變壓器的次級迴路的電漿中感應電流。
此等電感式線性與電感式環形遠端源具有數個缺陷。舉例來說,其典型為僅當電漿在高電流狀態時而最佳操作,其稱為ICP狀態或有時稱為H模式操作。此狀態典型為在沒有達到在源內的場強度與電流密度之臨界臨限的情況下而無法被產生。因此,低功率、高壓力操作可能會是困難且經常是不可能。同理,在ICP狀態中的操作需要達到在電漿中的適當電荷密度,且在電漿點引期間達成此狀態可能會是困難的。為此理由,在處理氣體可經引入前,此等源經常需要使用諸如氬的易於離子化氣體來達成點引。
此外,環形源在傳統上是由諸如鋁的導電導熱材料所設計,其需要水冷卻,歸因於操作此等源所需要的高功率密度。為了防止電流不是通過電漿而是流通過室本體,環形源的設計典型為在本體中納入介電裂縫。此等介電裂縫遭受在其鄰近處的高電壓降(即:高電場),其造成離子加速到源的壁部。此離子加速造成在此等位置的壁部磨損,其造成對於內壁的損壞以及裝置的縮短操作壽命。壁部的此離子轟擊還造成粒子產生。在此等源中的粒子產生可能會對於薄膜結構、半導體裝置或對於由此等遠端源所清理的下游工具為特別有損害。
線性電感式源的RPS源經歷不均勻的場分佈,由於場強度是在接近線圈纏繞線性源之處為最大。結果是源內側部分經由離子轟擊而較快速蝕刻且因此線性源經常具有縮短的壽命。
如所理解,現存RPS源經常為不符合要求且改良式RPS源將在未來幾乎必然為有所需要。
圖式中所顯示之本發明的示範實施例是在下文經概述。此等與其他實施例是在【實施方式】段落中為更完整描述。然而,要瞭解的是,無意將本發明限制在此【發明內容】或在【實施方式】所描述的形式。熟習此技術人士可認知的是,有諸多修改、等效與替代結構是歸屬在如申請專利範圍所陳述之本發明的精神與範疇內。
此揭露內容的一些實施例可經描繪特徵為一種遠端電漿源,其包含第一電極、第二電極、室、以及RF電源輸入。第一或第二電極之中的一者可至少部分圍繞另一個電極。該室可至少部分由室壁所圍住且經配置用於耦合到處理室。室壁可分開第一與第二電極。再者,該室可包括第一路徑,其用於第一流體的進入到該室中,且該室可更包括第二路徑,其經配置以將第二流體提供到處理室中。第二流體可包括由第一流體所產生的解離流體中的至少一部分。RF電源輸入可經配置以耦合到RF電源且將來自RF電源的RF功率提供到第一電極。RF功率可為以電容方式(或靜電方式,下同)耦合到第二電極,以便用電容方式維持在該室中的至少一部分內的電漿。
此揭露內容的其他實施例還可經描繪特徵為一種維持在電容耦合式(或靜電耦合式,下同)遠端電漿源中的電漿之方法。該種方法可包括:在由室壁所分開的二個電容耦合式電極之間傳遞RF功率,室壁至少部分圍住電容耦合式遠端電漿源的室。該種方法還可包括:經由其為電容方式耦合到電漿的RF功率來維持在該室內的電漿。該種方法更可包括:將第一流體提供到該室中且經由和電漿的互相作用來解離第一流體中的至少一部分。該種方法可更包括:將第二流體傳遞到處理室,其經耦合到電容耦合式遠端電漿源,其中第二流體包括由第一流體所產生的解離流體中的至少一部分。
此揭露內容的其他實施例可經描繪特徵為一種非暫時性、有形的電腦可讀儲存媒體,其用處理器可讀指令所編碼以實行一種用於遠端維持電容耦合式電漿之方法。該種方法可包括:在由室壁所分開的二個電容耦合式電極之間傳遞RF功率,室壁至少部分圍住電容耦合式遠端電漿源的室。該種方法還可包括:經由其為電容方式耦合到電漿的RF功率來維持在該室內的電漿。該種方法更可包括:將第一流體提供到該室中且經由和電漿的互相作用來解離第一 流體中的至少一部分。該種方法可更包括:將第二流體傳遞到處理室,其經耦合到電容耦合式遠端電漿源,其中第二流體包括由第一流體所產生的解離流體中的至少一部分。
本揭露內容是概括關於電漿處理。更明確而言,但在沒有侷限的情況下,本揭露內容是關於經由電容耦合式遠端電漿源的電漿處理。
首先參考圖1,圖示者是描繪本發明的數個實施例可經實現在其中的環境的方塊圖。如圖所示,概括操作來將活化氣體與自由基提供到處理室102的遠端電漿源(RPS)104操作為其與處理室102分開的功能構件。在本文所揭露者是在圖1所繪的RPS 104的數個創新變化,RPS 104可包括電力系統110、匹配網路108、及源本體106。雖然匹配網路108與電力系統110經描繪為與源本體106整合在一起(例如:在相同殼體內),此誠然非為必要,且在諸多實施中,電力系統110與匹配網路108可為與源本體106分開。
處理室102可經由種種不同型式的處理室所實現,其完成不同型式的處理(例如:蝕刻與沉積處理)。且,視其由處理室102所實行的處理型式而定,RPS 104可針對於種種目的(例如:在介電蝕刻處理之後而從晶圓清除聚合物或在沉積處理之後而清理室102內壁)而使用。此等處理可藉由從源本體106將活化氣體及/或自由基引入到處理室102所完成。
電力系統110不但可用脈衝DC與其他時變波形來操作 而且可為以例如在VHF範圍的AC頻率範圍來操作。電力系統110的功率、頻率、DC及/或AC偏壓、脈衝寬度、與脈衝調變、連同其他電氣特性可經由控制電路或邏輯150來控制,控制電路或邏輯150可用硬體、軟體、韌體、或此等者的組合來實施。熟習此技術人士將認知的是,即使控制電路或邏輯150未在其他圖中經圖示,仍可與稍後將在此揭露內容所論述的任一個實施例一起使用。用於偵測(例如:在處理室102之內)電漿密度或場強度的偵測器可與控制電路或邏輯150連通以使得電力系統110操作為反饋或前饋系統。
圖2說明一種RPS的示範功能構件的橫截面。RPS 202包括RPS室203,其至少部分由室壁所圍住且經配置以耦合到處理室206。室壁可分開第一電極210與第二電極212,其中,第一電極210被配置在RPS室203之內。第二電極212亦可假定為至少部分相對於或至少部分圍繞(例如:圍住)第一電極210。在一些實施例中,RPS室203壁是第二電極212的內表面。RF電源204將RF功率(例如:任何時變的功率)提供到RF電源輸入205且然後提供到第一電極210而第二電極212是經由選用式接地路徑208而接地或浮接。RF功率主要為以電容方式)耦合到第二電極212(一些電感耦合亦可能發生)且耦合到電漿216,其經點引且維持在RPS室203的至少一部分之內。
RPS 202可包括第一介電構件220(例如:陶瓷),其分開第一電極210和電漿216且使第一電極210和電漿216 為DC隔離,俾使電漿216和第一電極210可為以不同電位來操作。第一介電構件220還可經配置以便阻止電漿216和第一電極210互相作用。RPS 202還可包括第二介電構件222(例如:陶瓷),其分開第二電極212和電漿216且使第二電極212和電漿216為DC隔離,俾使電漿216和第二電極212可為以不同電位來操作。第二介電構件222還可經配置以便阻止電漿216和第二電極212互相作用。
以充分的功率密度,或當在二個電極210、212之間的電磁場強度為過高,在第一與第二介電構件220、222之間的流體(氣體、液體、或此二者的組合)離子化且形成電漿216。流體是物質相的一個子集合且包括(任何黏性的)氣體、液體、及電漿。點引電漿216的相同RF功率還可電容方式維持電漿216。第一流體(氣體、液體、或此二者的組合)(諸如:非活化氣體)可經由諸如軸向進入路徑218a、面向內的徑向進入路徑218b、或面向外的徑向進入路徑218c之第一路徑而被傳遞到RPS 202中。第一路徑致使第一流體能夠傳遞到室203中且在適當位置(例如:最大電漿216密度的區域;在第一與第二電極210、212之間的區域;在有最大流體-電漿互相作用處的區域)為與電漿216互相作用。第一流體可與電漿216互相作用,解離以形成第二流體(氣體、液體、或此二者的組合)(諸如:活化氣體及/或自由基),且為經由第二路徑而傳遞到處理室206。第二流體可包括由第一流體所產生的解離流體中的至少一部分。第二路徑可經實施為例如一或多個退出路徑219。
為了此揭露內容,電漿是藉由保持期望的電漿密度(亦習稱為電子或離子密度)、或藉由保持離子化率為以期望值超過電子-離子重組率而維持。在一個實施例中,電漿是在有每cm3為108到1013個自由電子的電漿密度時而維持。
隨著氣體通過電漿216,氣體解離形成活化氣體及/或自由基,其可被提供到處理室206,處理室206與RPS 202為流體連通。活化氣體及/或自由基可與在處理室206內的表面(例如:室壁或以夾頭安裝的晶圓224)互相作用以實行諸如清洗及蝕刻的種種處理。
介電構件220、222可採取種種形式。舉例來說,介電構件220、222可為在電極210、212之上所製造的化學層或障壁(例如:經由外延生長、氧化、噴濺塗覆)。介電構件220、222亦可為其和電極210、212分開而例如經由連接凸緣(未圖示)被附接到電極210、212的結構。在一些實施例中,介電構件220、222可為耦合到彼此,然而,其典型是彼此為DC隔離。
電極210、212以及介電構件220、222可採取種種的形狀,其均為涉及外部構件(第二電極212與第二介電構件222)圍住內部構件(第一電極210與第一介電構件220)。在一個實例中,RPS 202可具有管狀或柱狀的形狀。換言之,當從晶圓224的立體圖所視,RPS 202呈現為第一電極210在中心且第二電極212形成外環的一組環。在另一個實施例中,可使用其具有斜切邊的矩形(對晶圓224而言呈現為具有斜切角的一組方形)。儘管此等構件可經同軸對準(例如:同心),在一些實施例中,該二個電極不必共用同一個軸(不必為同心)。
儘管第一與第二電極210、212經圖示為具有尖銳邊緣,例如:在第一電極210與處理室206會合處,在諸多實施例中,此等尖銳邊緣可經斜切或彎曲(參閱例如:在圖8中的元件811)。此是因為在導體上的尖銳邊緣傾向提高彼等邊緣或角附近的電磁場密度,且此導致在RPS 202之中的不均勻場密度。不均勻場密度導致RPS 202的‘熱點’或部分,其經歷來自電漿216之較大的離子轟擊且因此比RPS 202的其他部分為較快速磨損。藉由使電極210、212的邊緣彎曲且因此建立更均勻的場密度,RPS 202不會經歷熱點且經歷在需要更換前之提高的使用壽命。在一個實施例中,關於第一電極210的邊緣的曲率半徑240是實質等於第一電極的半徑242,且關於第二電極212的邊緣的曲率半徑244是實質等於第二電極212的半徑246。
軸向路徑218a以及面向內與面向外的徑向路徑218b、218c分別說明對於第一流體的相對流向而不是第一路徑的絕對方向、置放、數目、或組態。在一些實施例中,第一路徑可包括在電極210、212、介電構件220、222、及/或RPS 202之中的開口,其致使第一流體(例如:經加壓的非活化氣體)能夠進入RPS 202且與電漿216互相作用。在一些實施例中,第一流體可包括其透過不同路徑傳遞到室203中的多個流體。如此,多個流體可與電漿216的不同部分互相作用。
儘管路徑218a、218b、218c經圖示為僅進入RPS 202的上半部,在RPS 202的下半部的路徑指標省略只是為了使圖簡化。熟習此技術人士將認知的是,路徑218a、218b、218c可經配置為環繞整個RPS 202,俾使第一流體是平均分佈通過電漿216。另一方面,在一些實施例中,路徑218a、218b、218c可經配置使得第一流體為以非均勻方式來散佈到RPS室203中。舉例來說,第一流體可環繞RPS室203的中心所徑向散佈而非為散佈在RPS室203的末端附近。
在一些實施例中,第一流體可包括諸如氣體、液體、或此二者的組合之一或多個流體。第二流體亦可包括諸如氣體、液體、或此二者的組合之一或多個流體。在一些情況中,第一與第二流體中的一些或全部可為相同的流體。舉例來說,非活化氣體可進入室203而作為第一流體且在電漿216中為部分解離形成活化氣體及/或自由基。此等者可連同一部分的第一流體(例如:非活化氣體)被傳遞到處理室206而作為第二流體,其中,第二流體包含一部分的第一流體與一些活化氣體及/或自由基。
電漿216的邊界是由虛線所標示,由於此邊界位置是取決於其定義而變化,且無須為依比例所繪製。電漿216的邊界可經定義為其選自範圍在每cm3為108到1013個自由電子的電漿密度。換言之,在電漿216的邊界之內的所有位置有每cm3為至少108到1013個自由電子。
在諸多實施中,電源204是由VHF電源(例如:實質高於13.6 MHz)所實現來提供交流電壓以點引及維持電漿216。已得知的是,以相當高頻(實質高於13.6 MHz)來操作致使其經施加到第一電極210的電壓將被降低,此可改良供率效率且降低RPS室203壁磨損。一個範圍的頻率可經利用(舉例而非限制為包括60 MHz)且如在本文所進一步論述,超過一個電源204亦可經利用以將功率施加到第一電極210。舉例來說,已得知的是,以附加電源(未圖示)(例如:2 MHz電源供應器)來將附加功率施加到第一電極210可產生修正的電漿216密度分佈,其可能比單一個源或頻率被施加到第一電極210的情況為更合意。
儘管電源將有時經說明為將RF功率供應到其至少部分相對於或至少部分圍繞(例如:圍住)非經供電的電極之電極(圖3、5、7、9),在其他情況中,為了避免與經供電或未經接地或未浮接的電極之人為接觸,將電源耦合到內部電極可能為較佳(例如:圖2、4、6、8)。換言之,當RF功率被供應到內部電極,較不可能與高電壓有人為接觸。
電漿216可經點引及維持在一部分的RPS室203之內或在整個RPS室203之內。在一些實施例中,電漿216可甚至經維持在RPS 202的外側,例如:在電漿216擴展到處理室206之處。
在本文所揭示的RPS 202具有相較於線性與環形源的若干個優點。首先是RPS 202的長使用壽命,其由於避免‘熱點’且達成在RPS室203之內的更均勻場分佈而成為可能。此需要在RPS室203之內保持均勻的場與功率密度。如稍早所論述在電極210、212上的彎曲邊緣之使用是使場與功率均勻性加強的一個方式。其次是如由相對於電極210、212中之至少一者的浮接電漿216電位所致能的降低離子轟擊。介電構件220、222是在電漿216和任一個或二個電極210、212之間產生DC隔離,因此致使電漿216電位能夠相對於電極210、212中之一者或二者為浮接。藉由使電漿216電位浮接,存有較低的外層電壓且因此為對於RPS室203壁的較不具損壞性的離子轟擊。較低的室203損壞亦為由較低的局部功率所致能(例如:相同功率被輸入到系統中,但局部的高功率區域是比現有技術為較不嚴重)。換言之,電容耦合式RPS 202可維持如同電感耦合式源的相同電漿216密度而具有較小的室203壁損壞。描述此優點的一個其他方式是在於藉由使DC電位(其顯示為外層電位)和RF電位隔離,更多的能量可經指引到電漿216而不是成為更強的外層電壓且因此為更短的室203使用壽命。
該一或多個介電構件220、222不但降低電漿216汙染而且還降低活化氣體與自由基和室203壁的互相作用。在電漿濺鍍金屬室壁而將汙染物釋放到電漿的先前技術中可能會引起電漿216汙染。在此技術中的室濺鍍的一個原因是接地電弧,其中電流是在二個接地電位之間的室壁表面流通。該一或多個介電構件220、222可助於避免接地電弧。
電極210、212的配置還致使非活化氣體能夠以此技術中為不可能的位置與角度而進入電漿216。舉例來說,環形與線性電感式源無法提供如同RPS 202所可能之面向外的徑向路徑218c。第一電極210的引入不但提供諸如冷卻的 其他功能而且提供對於流體通路之新的路線與置放。
RPS 202的另一個優點是避免如同在電感耦合式源中所經常需要的變壓器。RPS 202還可典型以比此技術中的源為高的頻率(例如:>30MHz、>160MHz)來操作。以此等較高頻率,用以點引及維持電漿216的電壓為較低,導致其歸因於離子轟擊的室壁損壞為較小。換言之,對於較高頻率的電容耦合式RPS 202而言,較大百分比的功率成為提高的電漿216密度而不是外層電壓。此相同優點並不會發生在電感式源,反而提高的頻率僅僅將較大的功率添加到外層電壓且因此為更大的室磨損。
以較高頻率(例如:>30MHz)操作的電容耦合式RPS 202致使RPS 202能夠比此技術中的源為具有較廣的點引及操作範圍(例如:對於壓力、流量、功率、及/或頻率的較廣的可接受參數範圍)。舉例來說,RPS 202可為以如同先前技術源的相同壓力來操作,但為以較低的功率,此可在低功率處理應用中為有利。先前技術源典型為無法以如同RPS 202的相同功率與壓力來維持電漿。
電容耦合式RPS 202還具有比蓮蓬頭式原位(in-situ)源為小的使用空間,且具有類似於電感式源的使用空間。然而,相同的RPS 202使用空間可被用於種種的處理室206尺寸(例如:在處理室206被增大以容納較大的晶圓之時),而電感式遠端源與蓮蓬頭式原位源典型將尺寸增大以容納較大的處理室。RPS 202亦為從外部安裝到處理室206,此可為有利於安裝及維修。
RPS 202還致能框架安裝式VHF電源204以及整體的匹配網路電路(固定式或可選擇式)。RPS 202還可實行拂掠頻率阻抗匹配且可包括整體點引電路。此有利為與其經常具有個別的點引與操作程序及電路之電感式遠端源相比。
在電感式源內的塗層與介電質是典型為最佳化以使得對於電漿的耦合效率為最大(例如:經由較薄的介電層)。反之,當介電構件220、222經歷對於材料型式或厚度的修改時,RPS 202並未經歷降低的耦合。此允許介電構件220、222在沒有使耦合效率降級的情況下而被修改以較佳產生某個處理結果。
用於RPS 202的操作功率可包括而不限於範圍為100-7000瓦(Watt),且壓力範圍為從10毫托(mTorr)到超過200托。電漿216密度可包括範圍為1011-1012/cm3且電漿216可在一個實施例中為允許擴展超過或經投射超過RPS源室203且進入到處理室206(亦習稱為遠端投射電漿源)。電漿216密度可為大部分的電漿216的度量,例如為跨於主要放電區域的平均值。主要放電區域可包括至少一部分的室203,且在一些實施例中,可擴展進入到處理室206。
RPS 202的另一個優點是在於,由於電極210、212中的一者可被接地或浮接,晶圓224無須被接地而因此致能獨特的處理(例如:作出氮化物表面、低能量蝕刻、或表面修改)。換言之,使用RPS 202,晶圓224可浮接或以任何期望電位(其無須為RF電路的部分者)作偏壓。此緩和接地顧慮與VHF室203相容性,然而致能晶圓224的VHF電漿處理。此技術亦為回溯相容且因此可在沒有修改的情況下而與較舊的處理室206一起使用。
雖然晶圓224在本文經圖示及描述,熟習此技術人士將認知的是,種種其他的處理目標可取代晶圓224。舉例來說,玻璃片(例如:用於LCD、LED、及電漿電視製造)可在處理室206中被處理。
在圖2所繪的構件是意圖來表達其與多個實施例關聯的功能構件-其中的幾個實施例是在本文中進一步論述。在圖2中的此等構件之描繪是無意作為機械或電氣硬體圖;因此,所繪的構件可經重新配置及修改而仍然提供相同的功能性。甚者,一些構件可經組合或移除,且附加的構件可被添加在種種實施中。舉例來說,電極210、212的幾何層面與相對定位可在各個實施例有實質變化。此外,電源204經圖示為不具有匹配網路(例如:在圖1中的108)。然而,熟習此技術人士將認知的是,電源204可假定為包括阻抗匹配電路。儘管電源204經耦合到第一電極210,電源204可替代為耦合到第二電極212且第一電極210可經接地或浮接(參閱:圖3)。雖然二個介電構件220、222經顯示,在一些實施例中,僅有單一個介電構件被使用(參閱:圖4-7)。三個進入路徑218a、218b、218c經圖示,而實際上,此等路徑中的僅有一或多者可經使用。電極210、212經圖示為具有相同長度,而在一些實施例中,接收來自電源204的RF功率之電極(經供電的電極)是比經接地或浮接的電極為短(參閱:圖8-9)。
圖3說明RPS 302的一個實施例,其中,RF功率被提供到外部電極312,其至少部分圍繞內部電極310,其中,內部電極310是經由選用式的接地連接308所接地或可為電氣浮接。RPS 302還包括第一介電構件320,其分開內部電極310和電漿316。RPS 302更包括第二介電構件322,其分開外部電極312和電漿316。
圖4說明RPS 402的一個實施例,其中,RF功率被提供到內部電極410,其至少部分為由外部電極412所圍繞,其中,外部電極412是經由選用式的接地連接408所接地或可為電氣浮接。RPS 402還包括第一介電構件420,其分開外部電極412和電漿416。
圖5說明RPS 502的一個實施例,其中,RF功率被提供到外部電極512,其環繞內部電極510,其中,內部電極510是經由選用式的接地連接508所接地或可為電氣浮接。RPS 502還包括第一介電構件520,其分開外部電極512和電漿516。
圖6說明RPS 602的一個實施例,其中,RF功率被提供到內部電極610,其至少部分為由外部電極612所圍繞,其中,外部電極612是經由選用式的接地連接608所接地或可為電氣浮接。RPS 602還包括第一介電構件620,其分開內部電極和610電漿616。
圖7說明RPS 702的一個實施例,其中,RF功率被提供到外部電極712,其環繞內部電極710,其中,內部電極710是經由選用式的接地連接708所接地或可為電氣浮接。RPS 702還包括第一介電構件720,其分開內部電極710和電漿716。
圖8說明RPS 802的一個實施例,其中,RF功率被提供到第一電極810(內部電極),其至少部分為由第二電極812(外部電極)所圍繞。第二電極812是經由選用式的接地連接808所接地或可為電氣浮接。RPS 802還包括:第一介電構件820,其分開第一電極810和電漿816;及,第二介電構件822,其分開第二電極812和電漿816。第一電極8110是比第二電極812為短(較不長)。換言之,經由電源804所供電的電極(經供電的電極)是比未經供電(接地或浮接)的電極為短。沿著此等相同線,第一介電構件820盤繞在第一電極810的前端上一換言之,提供在第一電極810的整個部分和電漿816之間的介電障壁。
第一電極810是比第二電極812為較不長。舉例來說,為了列舉出一些實例,第一電極810可為第二電極812的長度的90%、80%、50%、或25%。在一些實例中,第一電極810可具有長度為短於其直徑或半徑。在此類情形中,電漿816經成形為更像是管狀而不是圍住第一電極810。
如圖所示,第一電極810具有鄰近於處理室806的尖銳邊緣。然而,在其他實施例中,此等邊緣可經彎曲或斜切(如由虛線811所指出),且尤其可經彎曲以便具有半徑為實質等於第一電極810的直徑的一半。
圖9說明RPS 902的一個實施例,其中,RF功率被提供到其環繞第一電極910(內部電極)的第二電極912(外部電極)。內部電極910是經由選用式的接地連接908所接地或可為電氣浮接。RPS 902還包括:第一介電構件920,其分開第一電極910和電漿916;及,第二介電構件922,其分開第二電極912和電漿916。第二電極912是比第一電極910為短(較不長)。換言之,經由電源904所供電的電極(經供電的電極)是比未經供電(接地或浮接)的電極為短。第一與第二介電構件920、922經圖示為具有同等長度,雖然在一些實施例中,此等長度無須為相等。
RPS 902包括室903,其可至少部分由第一與第二介電構件920、922所圍住。電漿916可被局限在其由第二電極912所圍繞之一部分的室903之內。部分的電漿916可擴展超過第二電極912的界限,但是典型不會延伸例如室903的長度或到處理室906之中。
局限是典型為如圖示-電漿916被局限到電極910、912部分重疊處之RPS室903的區域。舉例來說,圖9的電漿916主要是被局限到第二電極912與較長的第一電極910為部分重疊處之RPS室903的區域。
在內部電極為經接地之上述的種種實施例中,RPS具有附加的利益;經由面向外的徑向進入路徑所進入的非活化氣體無須跨過在引入點(例如:在經供電的電極與室之間)的電位差。
在所有圖示的實施例中,第一與第二電極之間的徑向距離為夠小以使得電流主要經由電漿在電極間傳遞。換言之,在電極間的區域(例如:室203)可為在低功率操作模式 中的較佳電流路徑。隨著功率或壓力為增大,或種種電漿特性為更改,在任何電位的任何其他導線或電極可作用為第三電極且可用來產生或擴展針對於電漿在該等電極與此第三電極之間的“擴展區”。舉例來說,室的泵取充氣部或壁部可經接地且因此作用為第三電極,其中電漿朝向此第三電極而擴展。藉由允許電漿擴展在高場強度的區域之外,可達成種種的優點。就一者而言,活化氣體與自由基可在電漿中的擴展區而形成,在擴展區之處為有較低的場強度,否則為困難或不可能在典型的電漿區域中形成。就另一者而言,在擴展區中的電漿可用來濾波或移除不要的活化氣體與自由基,例如在不同物種具有不同使用壽命之處。擴展區還擴展了的RF功率被施加通過其的體積,因此降低局部功率密度且使得冷卻解決方式簡化。
儘管在此揭露內容中的電極已經通常被描述為第一與第二電極且圖示第一電極為至少部分由第二電極所圍繞,此等配置可經翻轉使得第一電極至少部分圍繞第二電極。
圖10說明一種維持在電容耦合式遠端電漿源中的電漿之方法。此種方法將以描繪圖2的元件之圓括號內的參照來說明。該種方法包括:在第一傳遞操作1002中,在二個電容耦合式電極(例如:210與212)之間傳遞RF功率。該等電極可由其界定電容耦合式遠端電漿源的室(例如:203)之室壁所分開。該種方法更包含:在維持操作1004中,在該室內維持電漿(例如:216)。電漿可經由其為電容方式耦合到電漿的RF功率所維持。第一流體可經由提供操作1006(例 如:徑向或軸向)而被提供到該室中。隨著第一流體和電漿互相作用,至少一部分的第一流體可在解離操作1008中被解離形成活化氣體及/或自由基。在第二傳遞操作1010中,包含活化氣體及/或自由基且有時一部分的第一流體之第二流體可接著傳遞到其耦合到電容耦合式遠端電漿源的處理室。
除了在本文所述的特定實體裝置之外,在本文所述的系統及方法可在諸如電腦系統的機器中來實施。圖11顯示以電腦系統1100的示範形式之機器的一個實施例的示意代表圖,其中,一組指令可執行用於致使裝置來實行或執行本揭露內容的觀點及/或方法中的任一或多者。在圖11中的構件僅為舉例而非限定任何硬體、軟體、嵌入邏輯構件、或實施特定實施例的二或多個此類構件組合之使用或功能性的範疇。
電腦系統1100可包括其經由匯流排1140為彼此連通且與其他構件連通的處理器1101、記憶體1103、及儲存器1108。匯流排1140還可連結顯示器1132、一或多個輸入裝置1133(其可例如包括鍵板、鍵盤、滑鼠、尖筆、等等)、一或多個輸出裝置1134、一或多個儲存裝置1135、及種種的有形儲存媒體1136。所有此等元件可直接或是經由一或多個介面或配接器而介面連接到匯流排1140。舉例來說,種種的有形儲存媒體1136可經由儲存媒體介面1126而與匯流排1140為介面連接。電腦系統1100可具有任何適合的實體形式,其包括而不限於一或多個積體電路(IC, integrated circuit)、印刷電路板(PCB,printed circuit board)、行動手持式裝置(諸如:行動電話或PDA)、膝上型或筆記型電腦、分散式電腦系統、運算網格、或伺服器。
處理器1101(例如:中央處理單元(CPU,central processing unit))可選用式含有快取記憶體單元1102,其用於指令、資料、或電腦位址的暫時局部儲存。處理器1101經配置以助於電腦可讀指令的執行。電腦系統1100可由於處理器1101執行在諸如記憶體1103、儲存器1108、儲存裝置1135、及/或儲存媒體1136之一或多個有形電腦可讀儲存媒體中所實施的軟體而提供功能性。電腦可讀媒體可儲存其實施特定實施例的軟體,且處理器1101可執行該種軟體。記憶體1103可從一或多個其他的電腦可讀媒體(諸如:大量儲存裝置1135、1136)或是透過諸如網路介面1120的適合介面而從一或多個其他來源以讀取軟體。該種軟體可致使處理器1101來實行在本文所述或說明的一或多個處理或是一或多個處理中的一或多個步驟。實行此類的處理或步驟可包括定義在記憶體1103所儲存的資料結構且如由該軟體所指導來修改資料結構。
記憶體1103可包括種種構件(例如:機器可讀媒體),其包括而不限於隨機存取記憶體(RAM,random access memory)構件(例如:RAM 1104)(例如:靜態RAM(SRAM,static RAM)、動態RAM(DRAM,dynamic RAM)、等等)、唯讀記憶體(ROM,read-only memory)構件(例如:ROM 1105)、以及其任何組合。ROM 1105可作用將資料與指令以單向式連通到處理器1101,且RAM 1104可作用與處理器1101將資料與指令以雙向式連通。ROM 1105與RAM 1104可包括下述的任何適合的有形電腦可讀媒體。在一個實例中,包括諸如在起動期間為有助於電腦系統1100內的元件間轉移資訊的基本常用程式之基本輸入/輸出系統(BIOS,basic input/output system) 1106可經儲存在記憶體1103。
固定儲存器1108可選用式透過儲存控制單元1107而雙向式連接到處理器1101。固定儲存器1108提供附加資料儲存容量且還可包括在本文所述的任何適合的有形電腦可讀媒體。儲存器1108可被用以儲存作業系統1109、EXECs 1110(可執行者)、資料1111、API/應用程式1112(應用程式)、等等。經常,雖然非為總是,儲存器1108是比主要儲存器(例如:記憶體1103)為慢的輔助儲存媒體(諸如:硬碟機)。儲存器1108還可包括選用式磁碟機、固態記憶體裝置(例如:基於快閃的系統)、或上述任何者的組合。儲存器1108中的資訊可在適當情形被納入作為在記憶體1103中的虛擬記憶體。
在一個實例中,儲存裝置1135可經由儲存裝置介面1125而與電腦系統1100(例如:經由外埠連接器(未顯示))為可移式介面連接。尤其,儲存裝置1135與關聯機器可讀媒體可提供用於電腦系統1100的機器可讀指令、資料結構、程式模組、及/或其他資料之非依電性及/或依電性的儲存。在一個實例中,軟體可完整或部分為存在於儲存裝置1135的機器可讀媒體內。在另一個實例中,軟體可完整或部分為存在於處理器1101之內。
匯流排1140連接種種的子系統。在此,對於匯流排的提及可包含在適當時為適於共同作用的一或多個數位訊號線路。使用種種匯流排架構中的任一者,匯流排1140可為數個型式的匯流排結構中的任一者,其包括而不限於記憶體匯流排、記憶體控制器、週邊匯流排、區域匯流排、及其任何組合。作為舉例而非為限制,此類架構包括:工業標準架構(ISA,Industry Standard Architecture)匯流排、增強ISA(EISA,Enhanced ISA)匯流排、微通道架構(MCA,Micro Channel Architecture)匯流排、視訊電子標準協會區域匯流排(VLB,Video Electronics Standards Association local bus)、週邊構件互連(PCI,Peripheral Component Interconnect)匯流排、PCI-高速(PCI-X,PCI-Express)匯流排、加速圖形埠(AGP,Accelerated Graphics Port)匯流排、超傳送(HTX,Hyper Transport)匯流排、序列先進技術附件(SATA,serial advanced technology attachment)匯流排、及其任何組合。
電腦系統1100還可包括輸入裝置1133。在一個實例中,電腦系統1100的使用者可經由輸入裝置1133來將命令及/或其他的資訊輸入到電腦系統1100中。輸入裝置1133的實例包括而不限於文數字輸入裝置(例如:鍵盤)、指向裝置(例如:滑鼠或觸控板)、觸控板、搖桿、遊戲台、音訊輸入裝置(例如:麥克風、聲音響應系統、等等)、光學掃描器、視訊或靜止影像捕捉裝置(例如:相機)、及其任何組合。輸入裝置1133可經由種種的輸入介面1123中的任一者(例如:輸入介面1123)而介面連接到匯流排1140,種種的輸入介面包括而不限於串行、並行、遊戲埠、USB、FIREWIRE、THUNDERBOLT、或上述者的任何組合。
在特定實施例中,當電腦系統1100被連接到網路1130,電腦系統1100可與被連接到網路1130的其他裝置(特別是行動裝置與企業系統)相通。往返於電腦系統1100的通訊可透過網路介面1120所傳送。舉例來說,網路介面1120可接收來自網路1130以一或多個封包(諸如:網際網路協定(IP,Internet Protocol)封包)的形式之進來的通訊,且電腦系統1100可將進來的通訊儲存在記憶體1103中以供處理。電腦系統1100可同理將出去的通訊(諸如:對於其他裝置的請求或響應)以一或多個封包的形式而儲存在記憶體1103中且從網路介面1120經連通到網路1130。處理器1101可存取其儲存在記憶體1103中的此等通訊封包以供處理。
網路介面1120的實例包括而不限於網路介面卡、數據機、及其任何組合。網路1130或網路段1130的實例包括而不限於廣域網路(WAN,wide area network)(例如:網際網路、企業網路)、區域網路(LAN,local area network)(例如:與辦公室、建築物、校區或其他相對小的地理空間有關聯的網路)、電話網路、在二個運算裝置之間的直接連接、及其任何組合。諸如網路1130的網路可運用有線及/或無線的通訊模式。概括而言,任何的網路拓撲(佈局)可經使用。
資訊與資料可透過顯示器1132來顯示。顯示器1132的實例包括而不限於液晶顯示器(LCD,liquid crystal display)、有機發光二極體(OLED)、陰極射線管(CRT,cathode ray tube)、電漿顯示器、及其任何組合。顯示器1132可經由匯流排1140而介面連接到處理器1101、記憶體1103、及固定儲存器1108,諸如輸入裝置1133的其他裝置亦然。顯示器1132是經由視訊介面1122而連結到匯流排1140,且在顯示器1132與匯流排1140之間的資料輸送可經由圖形控制1121所控制。
除了顯示器1132之外,電腦系統1100還可包括一或多個週邊輸出裝置1134,其包括而不限於音訊喇叭、印表機、及其任何組合。此類的週邊輸出裝置可經由輸出介面1124而連接到匯流排1140。輸出介面1124的實例包括而不限於串行埠、並行連接、USB埠、FIREWIRE埠、THUNDERBOLT埠、及其任何組合。
附加或替代而言,電腦系統1100可由於硬體接線或用其他方式實施在電路中的邏輯而提供功能性,電路可代替軟體或連同軟體來操作以執行在本文所描述或說明的一或多個處理或是一或多個處理中的一或多個步驟。在此揭露內容中對於軟體的提及可涵蓋邏輯,且對於邏輯的提及可涵蓋軟體。甚者,只要適當的話,對於電腦可讀媒體的提及可涵蓋其儲存軟體以供執行的電路(諸如:IC)、實施邏輯以供執行的電路、或是二者。本揭露內容涵蓋硬體、軟體、或是二者的任何適合組合。
總之,本發明尤其提出一種用於維持在遠端電漿源內的電容耦合式電漿之方法、系統及裝置。熟習此技術人士可易於認知的是,諸多的變化與替代可在本發明、其使用、與其組態中作成以達成如同由本文所述的實施例所達成的實質相同結果。是以,無意將本發明限制在已揭露的示範形式。諸多的變化、修改、以及替代結構歸屬在已揭露的本發明之範疇與精神內。
102...處理室
104...遠端電漿源(RPS)
106...源本體
108...匹配網路
110...電力系統
150...控制電路或邏輯
202...RPS
203...RPS室
204...RF電源或VHF電源
205...RF電源輸入
206...處理室
208...選用式接地路徑
210...第一電極
212...第二電極
216...電漿
218a...軸向進入路徑
218b...面向內的徑向進入路徑
218c...面向外的徑向進入路徑
219...退出路徑
220...第一介電構件
222...第二介電構件
224...以夾頭安裝的晶圓
240...曲率半徑
242...半徑
244...曲率半徑
246...半徑
302、402、502、602、702...RPS
308、408、508、608、708...選用式的接地連接
310、410、510、610、710...內部電極
312、412、512、612、712...外部電極
316、416、516、616、716...電漿
320、420、520、620、720...第一介電構件
322...第二介電構件
802、902...RPS
804、904...電源
806、906...處理室
808、908...選用式的接地連接
810、910...第一電極或內部電極
811...斜切或彎曲邊緣
812、912...第二電極
816、916...電漿
820、920...第一介電構件
903...室
922...第二介電構件
1002-1010...操作步驟
1100...電腦系統
1101...處理器
1102...快取記憶體單元
1103...記憶體
1104...RAM
1105...ROM
1106...BIOS
1107...儲存控制單元
1108...儲存器
1109...作業系統
1110...EXECs(可執行者)
1111...資料
1112...API或應用程式
1120...網路介面
1121...圖形控制
1122...視訊介面
1123...輸入介面
1124...輸出介面
1125...儲存裝置介面
1126...儲存媒體介面
1130...網路或網路段
1132...顯示器
1133...輸入裝置
1134...輸出裝置
1135...儲存裝置
1136...有形儲存媒體
1140‧‧‧匯流排
本發明之種種目的與優點以及更完整瞭解可藉由連同伴隨圖式來參考以上詳細說明及隨附申請專利範圍而為顯明且更容易理解,在圖式中:
圖1是方塊圖,其描繪本發明的數個實施例可經實現在其中的環境。
圖2說明遠端電漿源(RPS)的示範功能構件的橫截面。
圖3說明RPS的另一個實施例。
圖4說明RPS的另一個實施例。
圖5說明RPS的又一個實施例。
圖6說明RPS的又一個實施例。
圖7說明RPS的又一個實施例。
圖8說明RPS的又一個實施例。
圖9說明RPS的又一個實施例。
圖10說明RPS的又一個實施例。
圖11說明以電腦系統的示範形式之機器的一個實施例的示意代表圖,在其中,一組指令可執行用於致使裝置來實行或執行本揭露內容的觀點及/或方法中的任一或多者。
202‧‧‧遠端電漿源(RPS)
203‧‧‧RPS室
204‧‧‧RF電源或VHF電源
205‧‧‧RF電源輸入
206‧‧‧處理室
208‧‧‧選用式接地路徑
210‧‧‧第一電極
212‧‧‧第二電極
216‧‧‧電漿
218a‧‧‧軸向進入路徑
218b‧‧‧面向內的徑向進入路徑
218c‧‧‧面向外的徑向進入路徑
219‧‧‧退出路徑
220‧‧‧第一介電構件
222‧‧‧第二介電構件
224‧‧‧以夾頭安裝的晶圓
240‧‧‧曲率半徑
242‧‧‧半徑
244‧‧‧曲率半徑
246‧‧‧半徑

Claims (14)

  1. 一種電容耦合式遠端電漿源,其包含:第一電極;第二電極,該第一或第二電極之中的一者至少部分圍繞另一者;室,其至少部分由室壁所圍住且配置以在被外部耦合到處理室時,含有壓力範圍為從10毫托(mTorr)到200托(Torr)的電漿,該室壁分開該第一與第二電極,且該室包括:第一路徑,其用於第一流體進入到該室中的入口;及第二路徑,其配置以將第二流體提供到該處理室,其中該第二流體包括由該第一流體所產生的解離流體中的至少一部分;及RF電源輸入,其配置以耦合到RF電源且將來自該RF電源的RF功率提供到該第一電極,該RF功率以電容方式耦合到該第二電極,以便用電容方式維持在該室中的至少一部分內的電漿在10毫托到200托的壓力範圍;第一介電構件,其分開該第一電極和該電漿,並使該第一電極和該電漿為DC隔離且阻止該電漿和該第一電極互相作用;第二介電構件,其分開該第二電極和該電漿,並使該第二電極和該電漿為DC隔離且阻止該電漿和該第二電極互相作用;及可選擇式阻抗匹配電路,其耦合到該RF電源輸入及該第一電極。
  2. 如申請專利範圍第1項之電容耦合式遠端電漿源,其中該第二電極比該第一電極為長。
  3. 如申請專利範圍第1項之電容耦合式遠端電漿源,其中該第二電極是接地。
  4. 如申請專利範圍第1項之電容耦合式遠端電漿源,其中該第一與第二電極具有一或多個斜切邊。
  5. 如申請專利範圍第1項之電容耦合式遠端電漿源,其中該第一路徑是以徑向方式將該第一流體提供到該室中。
  6. 如申請專利範圍第1項之電容耦合式遠端電漿源,其中該第一路徑是以軸向方式將該第一流體提供到該室中。
  7. 如申請專利範圍第1項之電容耦合式遠端電漿源,其中該第一與第二電極是以同中心方式對準。
  8. 如申請專利範圍第1項之電容耦合式遠端電漿源,其中該室壁是該第一或第二電極之中的一者的內表面。
  9. 一種維持在電容耦合式遠端電漿源中的電漿之方法,其包含:由外部安裝該遠端電漿源至處理室;利用該電漿源及該處理室圍住壓力範圍為從10毫托(mTorr)到200托(Torr)的電漿;從RF電源在由室壁所分開的第一電極及以電容方式耦合該第一電極之第二電極之間傳遞RF功率,其中該室壁至少部分圍住該電容耦合式遠端電漿源的室;經由電容方式耦合到該電漿的RF功率來維持在該室內的電漿; 將第一流體提供到該室中;經由和該電漿的互相作用來解離該第一流體中的至少一部分;將第二流體傳遞到處理室,該處理室經耦合到該電容耦合式遠端電漿源;經由第一介電構件來使該第一電極和該電漿為DC隔離,其中該第一介電構件分開該第一電極和該電漿;經由第二介電構件來使該第二電極和該電漿為DC隔離,其中該第二介電構件分開該第二電極和該電漿;且可選擇式地匹配該電漿之阻抗與該RF電源。
  10. 如申請專利範圍第9項之方法,其更包含:將該第一或第二電極之中的一者接地。
  11. 如申請專利範圍第9項之方法,其中該第一流體是以軸向方式被提供到該室中。
  12. 如申請專利範圍第9項之方法,其中該第一流體是以徑向方式被提供到該室中。
  13. 如申請專利範圍第9項之方法,其更包含:將該第一與第二電極以同中心方式配置。
  14. 如申請專利範圍第9項之方法,其中該室壁是該第一或第二電極之中的一者的內表面。
TW101102799A 2011-01-25 2012-01-30 電容耦合式遠端電漿源 TWI538570B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161436131P 2011-01-25 2011-01-25
US201161554536P 2011-11-02 2011-11-02
US13/356,546 US8723423B2 (en) 2011-01-25 2012-01-23 Electrostatic remote plasma source

Publications (2)

Publication Number Publication Date
TW201234936A TW201234936A (en) 2012-08-16
TWI538570B true TWI538570B (zh) 2016-06-11

Family

ID=46543681

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101102799A TWI538570B (zh) 2011-01-25 2012-01-30 電容耦合式遠端電漿源

Country Status (7)

Country Link
US (3) US8723423B2 (zh)
EP (1) EP2668830A4 (zh)
JP (2) JP5905906B2 (zh)
KR (1) KR20140005244A (zh)
CN (2) CN107396526B (zh)
TW (1) TWI538570B (zh)
WO (1) WO2012103101A1 (zh)

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2790205B1 (en) 2009-02-17 2018-04-04 Solvix GmbH A power supply device for plasma processing
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287092B2 (en) * 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8723423B2 (en) * 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US9220162B2 (en) * 2011-03-09 2015-12-22 Samsung Electronics Co., Ltd. Plasma generating apparatus and plasma generating method
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US10225919B2 (en) 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
KR102025540B1 (ko) 2012-08-28 2019-09-26 에이이에스 글로벌 홀딩스 피티이 리미티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8752524B2 (en) * 2012-11-02 2014-06-17 Mcalister Technologies, Llc Fuel injection systems with enhanced thrust
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9119283B2 (en) * 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US10455729B2 (en) 2014-01-10 2019-10-22 Reno Technologies, Inc. Enclosure cooling system
US9697991B2 (en) 2014-01-10 2017-07-04 Reno Technologies, Inc. RF impedance matching network
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9550694B2 (en) 2014-03-31 2017-01-24 Corning Incorporated Methods and apparatus for material processing using plasma thermal source
US9284210B2 (en) 2014-03-31 2016-03-15 Corning Incorporated Methods and apparatus for material processing using dual source cyclonic plasma reactor
US9533909B2 (en) 2014-03-31 2017-01-03 Corning Incorporated Methods and apparatus for material processing using atmospheric thermal plasma reactor
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR102247560B1 (ko) 2014-07-14 2021-05-03 삼성전자 주식회사 Rps에서의 플라즈마 생성방법, 및 그 플라즈마 생성방법을 포함한 반도체 소자 제조방법
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US20160200618A1 (en) 2015-01-08 2016-07-14 Corning Incorporated Method and apparatus for adding thermal energy to a glass melt
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11017983B2 (en) 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
WO2016149050A1 (en) 2015-03-13 2016-09-22 Advanced Energy Industries, Inc. Plasma source device and methods
US10692699B2 (en) 2015-06-29 2020-06-23 Reno Technologies, Inc. Impedance matching with restricted capacitor switching
US11335540B2 (en) 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US11342160B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Filter for impedance matching
US10984986B2 (en) 2015-06-29 2021-04-20 Reno Technologies, Inc. Impedance matching network and method
US11342161B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Switching circuit with voltage bias
US11081316B2 (en) 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
US11150283B2 (en) 2015-06-29 2021-10-19 Reno Technologies, Inc. Amplitude and phase detection circuit
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
DE102015216976A1 (de) * 2015-09-04 2017-03-09 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung zur Erzeugung eines Plasma-Jets und Verfahren zur Oberflächenbehandlung
US9748076B1 (en) * 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10262836B2 (en) * 2017-04-28 2019-04-16 Seongsik Chang Energy-efficient plasma processes of generating free charges, ozone, and light
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
CN109148250B (zh) * 2017-06-15 2020-07-17 北京北方华创微电子装备有限公司 阻抗匹配装置和阻抗匹配方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US10727029B2 (en) 2017-07-10 2020-07-28 Reno Technologies, Inc Impedance matching using independent capacitance and frequency control
US10483090B2 (en) 2017-07-10 2019-11-19 Reno Technologies, Inc. Restricted capacitor switching
US11114280B2 (en) 2017-07-10 2021-09-07 Reno Technologies, Inc. Impedance matching with multi-level power setpoint
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US11315758B2 (en) 2017-07-10 2022-04-26 Reno Technologies, Inc. Impedance matching using electronically variable capacitance and frequency considerations
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
TWI792598B (zh) 2017-11-17 2023-02-11 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
KR102644960B1 (ko) 2017-11-29 2024-03-07 코멧 테크놀로지스 유에스에이, 인크. 임피던스 매칭 네트워크 제어를 위한 리튜닝
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN111527583B (zh) * 2017-12-27 2023-10-20 玛特森技术公司 等离子体处理设备和方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7301075B2 (ja) 2018-06-14 2023-06-30 エムケーエス インストゥルメンツ,インコーポレイテッド リモートプラズマ源用のラジカル出力モニタ及びその使用方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11521831B2 (en) 2019-05-21 2022-12-06 Reno Technologies, Inc. Impedance matching network and method with reduced memory requirements
US10886104B2 (en) * 2019-06-10 2021-01-05 Advanced Energy Industries, Inc. Adaptive plasma ignition
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11688584B2 (en) 2020-04-29 2023-06-27 Advanced Energy Industries, Inc. Programmable ignition profiles for enhanced plasma ignition
TW202143800A (zh) * 2020-05-11 2021-11-16 洪再和 分離式遠端電漿源設備
TW202143799A (zh) * 2020-05-11 2021-11-16 洪再和 具外部電漿源之半導體製程設備及其外部電漿源
US11355325B2 (en) 2020-05-28 2022-06-07 Applied Materials, Inc. Methods and systems for monitoring input power for process control in semiconductor process systems
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04193329A (ja) 1990-11-28 1992-07-13 Hitachi Ltd イオン回収装置
JPH06219718A (ja) * 1993-01-25 1994-08-09 Semiconductor Energy Lab Co Ltd プラズマを用いたc60合成方法
US5354413A (en) * 1993-03-18 1994-10-11 Advanced Micro Devices, Inc. Electrode position controller for a semiconductor etching device
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
IL118638A (en) 1996-06-12 2002-02-10 Fruchtman Amnon Beam source
JPH10199697A (ja) * 1997-01-10 1998-07-31 Pearl Kogyo Kk 大気圧プラズマによる表面処理装置
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
JP3401596B2 (ja) * 1999-09-22 2003-04-28 独立行政法人産業技術総合研究所 布帛の片面改質方法及び片面が改質された布帛
US6326584B1 (en) 1999-12-31 2001-12-04 Litmas, Inc. Methods and apparatus for RF power delivery
US6392210B1 (en) 1999-12-31 2002-05-21 Russell F. Jewett Methods and apparatus for RF power process operations with automatic input power control
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
JP4384645B2 (ja) 2001-01-11 2009-12-16 株式会社日立国際電気 処理管
JP2003049272A (ja) 2001-08-07 2003-02-21 Konica Corp 大気圧プラズマ処理装置、大気圧プラズマ処理方法及び大気圧プラズマ処理装置用の電極システム
EP1441577A4 (en) 2002-02-20 2008-08-20 Matsushita Electric Works Ltd PLASMA PROCESSING DEVICE AND METHOD
NL1020716C2 (nl) * 2002-05-30 2003-12-02 Tno Antimicrobiele verpakkingen.
US6707051B2 (en) 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
KR100486724B1 (ko) * 2002-10-15 2005-05-03 삼성전자주식회사 사행 코일 안테나를 구비한 유도결합 플라즈마 발생장치
US6802366B1 (en) 2002-10-31 2004-10-12 Advanced Energy Industries, Inc. Swage method for cooling pipes
JP2004207145A (ja) 2002-12-26 2004-07-22 Sekisui Chem Co Ltd 放電プラズマ処理装置
US7468494B2 (en) 2003-01-31 2008-12-23 Advanced Energy Industries Reaction enhancing gas feed for injecting gas into a plasma chamber
US6819096B2 (en) 2003-01-31 2004-11-16 Advanced Energy Industries, Inc. Power measurement mechanism for a transformer coupled plasma source
US6724148B1 (en) * 2003-01-31 2004-04-20 Advanced Energy Industries, Inc. Mechanism for minimizing ion bombardment energy in a plasma chamber
US6927358B2 (en) 2003-01-31 2005-08-09 Advanced Energy Industries, Inc. Vacuum seal protection in a dielectric break
US6822396B2 (en) 2003-01-31 2004-11-23 Advanced Energy Industries, Inc. Transformer ignition circuit for a transformer coupled plasma source
JP2005322416A (ja) * 2003-05-01 2005-11-17 Gunma Univ 大気圧低温プラズマ装置と表面処理方法
DE10320805B4 (de) * 2003-05-08 2010-10-28 Je Plasmaconsult Gmbh Vorrichtung zur Bearbeitung von zylindrischen, zumindest eine elektrisch leitende Ader aufweisenden Substraten
CN2671287Y (zh) * 2003-11-06 2005-01-12 中国科学院物理研究所 一种脉冲高能量密度等离子体的发生装置
US7413069B2 (en) * 2004-02-28 2008-08-19 Applied Materials, Inc. Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
US7164095B2 (en) 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7922979B2 (en) * 2005-03-28 2011-04-12 Mitsubishi Denki Kabushiki Kaisha Silent discharge plasma apparatus
WO2006103945A1 (ja) * 2005-03-28 2006-10-05 Mitsubishi Denki Kabushiki Kaisha 無声放電式プラズマ装置
US8187416B2 (en) * 2005-05-20 2012-05-29 Applied Materials, Inc. Interior antenna for substrate processing chamber
JP4930913B2 (ja) * 2005-09-12 2012-05-16 東レバッテリーセパレータフィルム合同会社 多孔性素材のプラズマ処理方法及び処理装置
JP4489680B2 (ja) * 2005-10-03 2010-06-23 株式会社アドテック プラズマ テクノロジー マイクロ波プラズマ発生方法および装置
CN100482031C (zh) * 2006-03-14 2009-04-22 中国科学院物理研究所 一种大气压介质阻挡辉光放电等离子体发生方法及装置
JP4109301B2 (ja) * 2006-08-08 2008-07-02 株式会社アドテック プラズマ テクノロジー マイクロ波プラズマトーチ
JP5277473B2 (ja) * 2006-11-28 2013-08-28 サムコ株式会社 プラズマ処理装置
CN101022074A (zh) * 2007-03-14 2007-08-22 万京林 差分馈电介质阻挡放电低温等离子体装置
TW200845197A (en) * 2007-03-28 2008-11-16 Matsushita Electric Ind Co Ltd Plasma etching apparatus
US7453191B1 (en) * 2007-07-06 2008-11-18 Uion Co., Ltd. Induction concentration remote atmospheric pressure plasma generating apparatus
CN101227790B (zh) * 2008-01-25 2011-01-26 华中科技大学 等离子体喷流装置
US9288886B2 (en) * 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
BRPI0916880B1 (pt) 2008-08-04 2019-12-10 Agc Flat Glass Na Inc fonte de plasma e método de formar revestimento que utiliza deposição química a vapor melhorada de plasma e revestimento
JP2010103455A (ja) * 2008-09-26 2010-05-06 Mitsubishi Electric Corp プラズマ処理装置
CN101720163B (zh) * 2008-10-10 2012-12-19 河南理工大学 大气压下介质阻挡类辉光放电反应器
US20100101727A1 (en) * 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
TWI500804B (zh) * 2009-11-17 2015-09-21 Applied Materials Inc 具有電極rf匹配之大面積電漿處理腔室
JP5554099B2 (ja) * 2010-03-18 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
EP2550379A4 (en) 2010-03-22 2014-02-26 Applied Materials Inc DIELECTRIC DEPOSITION USING A REMOTE PLASMA SOURCE
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
CN103237404A (zh) * 2013-05-14 2013-08-07 哈尔滨工业大学 同轴放电模式的大气等离子体发生装置

Also Published As

Publication number Publication date
EP2668830A1 (en) 2013-12-04
TW201234936A (en) 2012-08-16
CN107396526B (zh) 2021-08-31
CN107396526A (zh) 2017-11-24
JP5905906B2 (ja) 2016-04-20
US9142388B2 (en) 2015-09-22
US9524854B2 (en) 2016-12-20
CN103444268A (zh) 2013-12-11
US8723423B2 (en) 2014-05-13
JP2014511543A (ja) 2014-05-15
US20150279631A1 (en) 2015-10-01
EP2668830A4 (en) 2015-08-19
WO2012103101A1 (en) 2012-08-02
JP2016149365A (ja) 2016-08-18
US20140210345A1 (en) 2014-07-31
US20120187844A1 (en) 2012-07-26
KR20140005244A (ko) 2014-01-14

Similar Documents

Publication Publication Date Title
TWI538570B (zh) 電容耦合式遠端電漿源
TWI622081B (zh) 電漿處理裝置及電漿處理方法
JP6057480B2 (ja) 放出型プラズマ源
EP1840937A1 (en) Plasma processing apparatus and plasma processing method
KR20160018367A (ko) 다층막을 에칭하는 방법
JP2008182081A (ja) プラズマ処理装置
KR20150024277A (ko) 반도체 장치의 제조 방법
KR20170067717A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR20190035577A (ko) 플라즈마 처리 장치
US20050051273A1 (en) Plasma processing apparatus
KR20190035589A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP7366188B2 (ja) 電源システム
CN109952636B (zh) 等离子体点燃抑制
KR20070101067A (ko) 복합 플라즈마 소스 및 이를 이용한 가스 분리 방법
JP4527432B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP4943879B2 (ja) プラズマ処理装置
JP2005079416A (ja) プラズマ処理装置
WO2004006320A1 (ja) プラズマ処理装置
JP2012109377A (ja) 電極構造及びプラズマ処理装置
JP5174848B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP2008166844A (ja) プラズマ処理装置
KR101533688B1 (ko) 반전 비반전 전원 공급 구조를 갖는 용량 결합 플라즈마 챔버
JP2005079603A (ja) プラズマ処理装置